KR100442089B1 - 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법 - Google Patents

노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법 Download PDF

Info

Publication number
KR100442089B1
KR100442089B1 KR10-2002-0005052A KR20020005052A KR100442089B1 KR 100442089 B1 KR100442089 B1 KR 100442089B1 KR 20020005052 A KR20020005052 A KR 20020005052A KR 100442089 B1 KR100442089 B1 KR 100442089B1
Authority
KR
South Korea
Prior art keywords
layer
insulating layer
opening
forming
spacer
Prior art date
Application number
KR10-2002-0005052A
Other languages
English (en)
Other versions
KR20030064958A (ko
Inventor
정공수
강희성
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0005052A priority Critical patent/KR100442089B1/ko
Priority to US10/292,340 priority patent/US6812111B2/en
Publication of KR20030064958A publication Critical patent/KR20030064958A/ko
Application granted granted Critical
Publication of KR100442089B1 publication Critical patent/KR100442089B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법이 개시된다. 사진식각공정에 의하여 노치영역을 형성하는 종래의 노치된 게이트 전극 제조방법과는 달리 다층의 절연막에 형성된 계단형 개구부를 채우는 다마신 공정을 이용하여 쉽게 노치된 게이트 전극을 형성할 수 있을 뿐만 아니라 노치영역의 폭와 높이를 쉽게 조절할 수 있다.

Description

노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법{METHOD OF FORMING MOS TRANSISTOR HAVING NOTCHED GATE}
본 발명은 반도체 소자의 제조방법에 관한 것으로, 특히 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법에 관한 것이다.
반도체의 고집적화가 진행됨에 따라 집적회로를 구성하는 모스 트랜지스터의 크기도 줄어들고 있다. 그런데, 모스 트랜지스터의 채널 길이가 감소함에 따라 트랜지스터의 특성을 심각하게 열화시키는 단채널 효과(Short Channel Effect, SCE)가 발생한다. 단채널 효과는 드레인 유기장벽 감소(Drain Induced Barrier Lowering, DIBL), 펀치쓰루(punch through), 핫 캐리어(hot carrier) 효과 등에 기인하여 발생한다.
핫 캐리어 효과는 소오스 및 드레인 사이의 간격이 줄어듬에 따라 소오스로부터 방출된 전자가 드레인 접합의 가장자리 근처의 높은 전기장에 의해 급격하게 가속되어 발생되는 핫 캐리어(hot carrier)에 의해 디바이스 특성이 열화되는 현상이다. 따라서 핫 캐리어에 의한 모스 트랜지스터의 열화를 개선하기 위하여 저도핑 드레인(Lightly Doped Drain; 이하 LDD라 함) 구조가 널리 사용되고 있다.
도 1은 종래의 LDD 구조를 갖는 모스 트랜지스터를 나타내는 단면도이다.
도 1을 참조하면, 반도체기판(100) 상에 활성영역을 정의하는 소자 격리영역(105)이 형성되어 있다. 상기 활성영역 상에 게이트 절연막(110), 게이트 전극(115) 및 게이트 스페이서(125)로 이루어진 게이트 스택이 형성되어 있다. 상기 게이트 절연막(110)의 양쪽 가장자리의 반도체기판에는 저농도 불순물영역(120) 및 고농도 불순물 영역(130)으로 이루어진 소오스 및 드레인 영역이 형성되어 있다.
LDD 구조의 특징은 게이트 전극(115)에 자기정렬된 저농도 불순물 영역(120)이 채널 영역과 고농도 불순물 영역(130) 사이에 위치한 구조이다. 이러한 저농도 불순물 영역은 드레인과 채널영역 사이의 전계를 감소시켜 높은 인가전압에서도 소오스로부터 방출된 캐리어가 급속히 가속되지 않게 하여 핫 캐리어에 의한 소자의 열화를 해결할 수 있다.
그러나, LDD 구조는 게이트 전극과 LDD 영역간의 오버랩(overlap)으로 인한 기생 캐패시턴스로 인해 소자의 스피드를 저하시켜 고속 동작을 요구하는 모스 트랜지스터의 구현을 어렵게 한다. 이러한 LDD 구조로 인한 디바이스의 성능 저하를 해결하기 위하여 노치된 게이트(notched gate) 전극을 갖는 모스 트랜지스터가 제안되고 있다.
도 2 는 노치된 게이트 전극을 갖는 모스 트랜지스터를 나타내는 단면도이다.
도 2를 참조하면, 반도체기판(200) 상에 활성영역을 정의하는 소자 격리영역(205)이 형성되어 있다. 상기 활성영역 상에 게이트 절연막(210), 노치된 게이트 전극(215) 및 게이트 스페이서(225)로 이루어진 게이트 스택이 형성되어 있다. 상기 게이트 절연막(210)의 양쪽 가장자리의 반도체기판에는 저농도 불순물 영역(220) 및 고농도 불순물 영역(230)으로 이루어진 소오스 및 드레인 영역이 형성되어 있다.
노치된 게이트(notched gate) 전극의 장점은 첫째, 게이트 전극 하부에 형성된 노치영역(235)에 의하여 실질적으로 구동하는 채널 길이(channel length)가 감소한다. 이는 게이트 캐패시턴스(gate capacitance)의 감소 및 게이트와 소오스 및 드레인 사이의 오버랩 캐패시턴스(overlap capacitance)의 감소 효과를 가져오기 때문에 트랜지스터의 성능과 속도를 향상시킬 수 있다.
둘째, 노치된 게이트 전극에서 실시하는 할로 이온주입(halo implantation)은 통상의 게이트 전극보다 이온주입을 깊게 형성시킬 수 있어 펀치쓰루 방지(punch through stopping)에 더 효과적이다. 이는 게이트 전극의 가장자리 하부의 노치(notch) 영역이 이온주입을 방해하지 않기 때문이다.
세째, 노치된 게이트 전극은 게이트 전극 아래 쪽의 길이는 작게 하면서도 게이트 전극 윗부분의 길이는 크게 할 수 있는 T 형태의 게이트이기 때문에 게이트 전극 위의 실리사이드 형성을 넓게 하여 저항을 낮출 수 있게 된다.
노치된 게이트 전극의 종래의 형성방법으로는 게이트 전극을 사진식각공정으로 패터닝 하면서 게이트 전극의 가장자리 하부에 노치영역을 형성하기 위하여 특별한 식각방법을 사용한다. 예컨대, 실리콘게르마늄(SiGe)과 폴리실리콘의 적층 구조의 게이트 도전층을 형성하고, 게이트 전극을 형성하기 위한 식각공정에서 식각률의 차이를 이용하여 노치된 게이트 전극을 형성한다. 즉, 실리콘게르마늄(SiGe)의 식각률이 폴리실리콘의 식각률보다 큰 것을 이용하여 노치된 게이트 전극을 형성한다.
이와 같은 종래의 노치된 게이트 형성방법의 단점은 원하는 노치영역의 크기를 구현하기가 어렵다는 것이다. 즉, 게이트 길이(gate length)를 원하는 크기로 형성시킬 수 없다. 또한, 게이트 전극을 형성하는 건식식각 공정에서 플라즈마 가스가 게이트 전극의 형상의 변형이나 전기적인 챠징 등의 손상을 입힌다. 이는 국부적인 전기장의 집중이나 트랩 차지(trap charge)를 유발하여 게이트 절연막의 신뢰성을 떨어뜨린다.
본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로, 게이트 도전막을 건식식각 공정에 의하지 않으면서 쉽게 게이트 패턴을 형성할 수 있으며, 노치영역의 폭 및 높이를 조절할 수 있는 노치된 게이트 전극을 갖는 모스 트랜지스터 제조방법을 제공하는데 목적이 있다.
도 1은 종래의 LDD 구조를 갖는 모스 트랜지스터를 나타내는 단면도
도 2는 종래의 노치된 게이트 전극을 갖는 모스 트랜지스터를 나타내는 단면도,
도 3a 내지 도 3l는 본 발명의 제1 실시예에 의한 모스 트랜지스터의 제조방법을 설명하기 위한 단면도들,
도 4a 및 도 4b는 본 발명의 제2 실시예에 의한 모스 트랜지스터 제조방법을 설명하기 위한 단면도들이다.
*도면의 주요 부분에 대한 부호의 설명
300 : 반도체기판 315 : 하부 절연막
325 : 상부 절연막 339 : 제1 마스크 패턴
340 : 상부 개구부 349 : 제2 마스크 패턴
345 : 자기정렬 스페이서 350 : 하부 개구부
355 : 계단형 개구부 365 : 게이트 절연막
370 : 게이트 전극 375 : 노치 영역
상기 목적을 달성하기 위한 본 발명의 노치된 게이트 전극을 갖는 모스 트랜지스터 제조방법은 기판 상에 적어도 두 층의 절연막들로 구성된 다층 절연막(multi-layered insulating layer)를 형성한다. 상기 다층 절연막을 패터닝하여 상기 기판의 소정 영역을 노출시키는 개구부를 형성하되, 상기 개구부의 상부 폭이 상기 개구부의 하부 폭보다 넓은 계단형 측벽을 갖는 개구부를 형성한다. 이어서, 상기 노출된 기판 상에 게이트 절연막을 형성하고, 상기 절연막 상에 상기 계단형 개구부를 채우는 게이트 전극을 형성한다. 이어서, 상기 다층 절연막을 제거하면 상기 게이트 전극의 가장자리 하부에 노치영역이 형성된 노치된 게이트 전극을 형성한다.
상기 계단형 측벽을 갖는 개구부를 형성하는 방법은 하부 몰딩막 및 상부 몰딩막을 포함하는 다층의 절연막을 형성하고, 상기 상부 몰딩막을 마스크 패턴을 이용하여 식각하여 상부 개구부를 형성한다. 이어서, 상기 상부 개구부의 측면에 자기정렬된 스페이서를 형성한 후 상기 자기정렬 스페이서를 식각마스크로 하여 상기 하부 몰딩막을 식각하여 하부 개구부를 형성함으로써 전체적으로 상부가 하부보다 넓은 계단형 측벽을 갖는 개구부를 형성할 수 있다.
또 다른 상기 계단형 측벽을 갖는 개구부를 형성하는 방법은 사진식각 공정을 두 번 사용하는 방법이다. 즉, 하부 몰딩막 및 상부 몰딩막을 포함하는 다층의 절연막을 형성하고, 상기 상부 몰딩막을 제1 마스크 패턴을 이용하여 사진식각하여 상부 개구부를 형성한다. 이어서, 하부 몰딩막을 제2 마스크 패턴을 이용하여 식각하여 상부 개구부보다 좁은 하부 개구부를 형성하여 전체적으로 상부가 하부보다 넓은 계단형 측벽을 갖는 개구부를 형성할 수 있다.
상술한 목적, 특징들 및 장점은 첨부된 도면과 관련한 다음의 상세한 설명을 통하여 보다 분명해 질 것이다. 이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 일실시예를 상세히 설명한다.
(실시예1)
도 3a 내지 도 3l는 본 발명의 제1 실시예에 의한 노치된 게이트전극을 갖는 모스 트랜지스터 제조방법이다.
도 3a를 참조하면, 기판(300)에 활성영역을 정의하는 소자분리막(305)을 형성한다. 이어서 상기 기판(300) 상에 하부 몰딩막(317) 및 상부 몰딩막(337)을 형성한다. 상기 하부 몰딩막(317)은 희생 절연막(310) 및 하부 절연막(315)으로 구성될 수 있다. 상기 상부 몰딩막(337)은 식각저지막(320), 상부 절연막(325), 연마저지막(330) 및 캐핑 절연막(335)으로 구성될 수 있다.
상기 희생절연막(310)은 실리콘 기판을 열산화(thermal oxidation) 시키거나 또는 화학기상증착법(Chemical Vapor Deposition; 이하 CVD법이라 함)을 사용하여 실리콘 산화막(SiO2)으로 기판에 얇게 형성한다.
상기 하부 절연막(315)은 실리콘 산화막(SiO2)으로 50Å 내지 1000Å의 범위로 형성할 수 있으며, 상기 상부 절연막(325)은 실리콘 산화막(SiO2)으로 500Å 내지 3000Å의 범위로 형성할 수 있다. 형성방법은 PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD), APCVD(Atmospheric Pressure CVD), 스핀코팅(spin coating) 방식 등을 사용할 수 있다.
상기 식각 저지막(320)은 실리콘 질화막(SiN)으로 형성할 수 있다.
상기 연마 저지막(330)은 이후 공정에서 실시될 화학기계적 연마(Chemical Mechanical Polishing, 이하 CMP라 함) 공정에서 연마저지를 위한 막질로서, 실리콘 질화막(SiN)으로 형성할 수 있다.
상기 캐핑 절연막(335)은 이후에 진행될 에치백(etch-back) 공정에서 상기 연마저지막(330)을 보호하는 완충역할을 하는 막질로서 상기 연마 저지막(330)과 식각선택비가 우수한 물질을 사용한다. 예컨대, 실리콘 산화막(SiO2)을 사용할 수 있다.
도 3b를 참조하면, 상기 캐핑 절연막(335), 연마 저지막(330) 및 상부 절연막(325)을 마스크 패턴을 이용하여 상기 식각 저지막(320)이 노출될 때까지 선택적으로 식각하여 상부 개구부(340)를 형성한다.
도 3c를 참조하면, 상기 상부 개구부(340)를 포함하는 기판 전면에 스페이서 절연막을 형성하고, 전면적으로 에치백(etch-back)하여 상기 상부 개구부(340)의 측면에 자기정렬된 스페이서(345)을 형성한다. 상기 스페이서 절연막은 실리콘 질화막(Si3N4)으로 형성할 수 있으며, 두께는 5Å 내지 500Å의 범위로 형성할 수 있다. 상기 스페이서 절연막과 식각 저지막(320)은 실리콘 질화막이므로 자기정렬 스페이서(345) 형성시에 상기 식각 저지막(320)은 함께 식각되어 상기 하부 절연막(315)이 노출된다. 만약에 상기 캐핑 절연막(335)이 존재하지 않는다면 상기 스페이서 절연막과 식각선택비가 없는 상기 연마 저지막(330)은 에치백 공정에서 식각되어 제거될 수가 있다. 즉, 상기 캐핑절연막(335)은 상기 연마 저지막(330)을 보호하는 역할을 한다.
도 3d를 참조하면, 상기 자기정렬 스페이서(345)를 식각마스크로 하여 상기 하부 절연막(315)을 플라즈마를 이용하여 건식식각하여 상기 희생 절연막(310)을 노출시키는 하부 개구부(350)를 형성한다. 상기 하부 절연막(315)이 식각될 때에 상기 캐핑절연막(335)도 일부 제거된다. 상기 하부 개구부(350)의 폭은 상기 자기정렬 스페이서(345)의 폭에 의하여 조절 가능하다. 건식식각은 상기 하부 절연막(315)과 상기 희생 절연막(310)이 산화막 계열로서 식각선택비가 없으므로식각 시간을 조절하여 기판이 드러나지 않게 조절하여야 한다. 도면에서는 상기 희생 절연막(310)이 과식각(over etch)된 것으로 도시되어 있다.
도 3e를 참조하면, 상기 자기정렬 스페이서(345)를 제거하여 상부 개구부(340) 및 하부 개구부(350)로 이루어진 계단형 개구부(355)를 형성된다. 상기 계단형 개구부(355)는 상부는 넓고 하부는 좁은 형상을 가지게 된다. 본 실시예에서는 계단형 개구부의 형성을 위하여 상기 상부 개구부(340)는 사진식각공정에 의하면서 하부 개구부(350)는 스페이서를 이용한 식각으로 형성하였다. 이는 사진공정의 한계치수(Critical Dimension; CD)의 마진이 없는 경우에도 스페이서를 이용하여 효과적으로 하부 개구부를 형성할 수 있기 때문이다.
도 3f를 참조하면, 기판 전면에 절연막 보호 스페이서 절연막을 얇게 형성하고 에치백하여 상기 계단형 개구부(355)의 측면에 절연막 보호 스페이서(360)를 형성할 수 있다. 상기 절연막 보호 스페이서 절연막은 실리콘 질화막(Si3N4)으로 형성할 수 있으며, 두께는 5Å 내지 500Å의 범위로 형성할 수 있다.
도 3g를 참조하면, 상기 계단형 개구부(355) 하부의 상기 희생 절연막(310)을 세정처리로 제거하여 기판(300)이 노출되게 한다. 이 때 상기 계단형 개구부(355)의 측면에 형성된 절연막 보호 스페이서(360)는 상기 상부 및 하부 절연막(315, 325)의 측면을 보호하여 상기 계단형 개구부(355)가 커지는 것을 방지할 수 있다.
도 3h를 참조하면, 상기 노출된 기판(300) 상에 게이트 절연막(365)을 형성한다. 상기 게이트 절연막(365)은 실리콘 산화막(SiO2), 실리콘 질화막(Si3N4), 실리콘 산질화막(SiON), 지르코늄 산화막(ZrO2), 하프늄 산화막(HfO2), 탄탈륨 펜타옥사이드(Ta2O5) 및 알류미늄 산화막(Al2O3) 중에서 선택된 적어도 하나를 사용할 수 있다. 상기 게이트 절연막(365)의 두께는 10Å 내지 200Å으로 한다.
도 3i를 참조하면, 상기 게이트 절연막(365)을 갖는 결과물 상에 상기 계단형 개구부(355)를 충분히 채우기에 충분한 게이트 도전막(370)을 형성한다. 상기 게이트 도전막은 폴리실리콘, 실리콘 게르마늄(SiGe), 및 코발트(Co), 텅스텐(W), 티타늄(Ti), 니켈(Ni) 등의 금속 중에서 선택된 적어도 하나로 형성할 수 있다. 상기 게이트 도전막의 두께는 500Å 내지 3000Å으로 할 수 있다.
도 3j를 참조하면 상기 게이트 도전막을 CMP 공정으로 연마하여 게이트 전극(370)을 형성한다. CMP는 상기 연마저지막(330)이 노출될 때까지 실시한다. 본 발명의 실시예에서는 상기 하부 절연막(315) 및 상기 상부 절연막(325)의 두께를 조절함으로써 상기 연마 저지막(330)의 높이는 조절될 수 있으므로 게이트 전극의 높이를 조절할 수 있다.
도 3k를 참조하면, 상기 연마저지막(330). 상기 상부절연막(325), 상기 식각저지막(320), 상기 하부절연막(315), 상기 희생절연막(310)을 제거하여 노치된 게이트(370)를 형성하다. 이 때 상기 노치된 게이트(370)의 노치 영역(375)에는 식각되지 않는 절연막이 일부 잔존할 수 있다. 도면에서는 모두 제거된 것으로 도시되어 있다. 상기 노치된 게이트의 노치영역(375)의 폭과 높이는 상기 노치된 게이트의 폭과 높이의 5% 내지 50%의 범위로 형성하는 것이 바람직하다.
도 3l을 참조하면, 상기 노치된 게이트 전극(370)을 이온주입 마스크로 하여 저도즈(low-dose) 이온주입하여 저농도 불순물 영역(377)을 형성하고, 기판 전면에 게이트 스페이서 절연막을 형성하고 에치백하여 게이트 스페이서(380)를 형성한다.
다음으로, 상기 게이트 전극(370) 및 게이트 스페이서(380)을 이온주입 마스크로 하여 고도즈(high-dose) 이온주입하여 고농도 불순물 영역(385)을 형성하여 모스 트랜지스터를 완성한다.
(실시예2)
제2 실시예는 제1 실시예에서 상부 개구부를 형성하고 하부 개구부를 형성하기 위하여 자기정렬 스페이서를 이용하는 대신에 다시 한번 마스크 패턴을 이용하여 하부 개구부를 형성하는 방법이다.
도 4a 및 도 4b는 본 발명의 제2 실시예에 의한 노치된 게이트전극을 갖는 모스 트랜지스터 제조방법이다. 도 4a 및 도 4b에서는 제1 실시예와 중복되는 부분은 동일한 도면부호를 사용하며, 상세한 설명은 생략한다.
도 4a를 참조하면, 기판(300)에 활성영역을 정의하고 소자분리막(305)을 형성하는 한 후 하부 몰딩막(317) 및 상부 몰딩막(337)을 형성한다. 상기 하부 몰딩막(317)은 희생 절연막(310) 및 하부 절연막(315)으로 구성될 수 있다. 상기 상부 몰딩막(337)은 식각저지막(320), 상부 절연막(325), 연마저지막(330) 및 캐핑 절연막(335)으로 구성될 수 있다.
다음으로, 사진공정에 의하여 형성한 제1 마스크 패턴(339)을 식각마스크로이용하여 상기 상부 몰딩막(337)을 패터닝하여 상기 하부 몰딩막(317)의 표면이 노출되는 상부 개구부(340)를 형성한다.
도 4b를 참조하면, 상기 제1 마스크 패턴(339)을 제거하고 상기 상부 개구부(340) 내의 노출된 하부 몰딩막(317) 상에 제2 마스크 패턴(349)을 형성한다.
다음으로 상기 제2 마스크 패턴(349)을 식각마스크로 하여 상기 하부 절연막(315)을 식각하여 하부 개구부(350)를 형성한다. 식각은 상기 하부 절연막(315)과 상기 희생 절연막(310)이 산화막 계열로서 식각선택비가 없으므로 식각 시간을 조절하여 기판이 드러나지 않게 조절하여야 한다. 도면에서는 상기 희생 절연막(310)이 과식각(over etch)된 것으로 도시되어 있다.
다음으로, 상기 제2 마스마스크 패턴을 제거하면 도 3e의 결과물이 되며, 이후의 공정순서는 제1 실시예와 동일하다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
상기와 같이 이루어진 본 발명은, 계단형 개구부를 채우는 다마신 공정을 이용하여 쉽게 노치된 게이트 전극을 형성할 수 있으며, 노치영역을 만드는데 있어서 건식식각 공정을 이용하지 않기 때문에 플라즈마로 인한 게이트 형상의 변형이나전기적인 챠징 등의 손상을 입지 않는다.
또한, 자기정렬 스페이서의 폭을 조절하여 게이트 전극은 원하는 폭으로 형성할 수 있다.
또한, 하부 절연막 및 상부절연막의 두께를 조절하여 게이트 전극은 원하는 높이로 형성할 수 있다.

Claims (24)

  1. 기판 상에 적어도 두 층의 절연막들로 구성된 다층 절연막(multi-layered insulating layer)을 형성하는 단계;
    상기 다층 절연막을 패터닝하여 상기 기판의 소정 영역을 노출시키는 개구부를 형성하되, 상기 개구부의 상부 폭이 상기 개구부의 하부 폭보다 넓은 계단형 측벽을 갖는 개구부를 형성하는 단계;
    상기 노출된 기판 상에 게이트 절연막을 형성하는 단계;
    상기 게이트 절연막 상에 상기 개구부를 채우는 게이트 전극을 형성하는 단계;
    상기 다층 절연막을 제거하여 상기 게이트 전극의 가장자리 하부에 노치영역을 형성하는 단계; 및
    상기 노치영역을 갖는 게이트 전극을 이온주입 마스크로 사용하여 제1 도즈의 이온주입하여 제1 불순물 영역을 형성하는 단계를 포함하는 모스 트랜지스터 제조 방법.
  2. 제 1 항에 있어서,
    다층 절연막은 하부 몰딩막 및 상부 몰딩막을 적층시키어 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  3. 제 2 항에 있어서,
    상기 하부 몰딩막은 희생 절연막 및 하부 절연막을 적층시키어 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  4. 제 2 항에 있어서,
    상기 상부 몰딩막은 식각 저지막, 상부 절연막, 연마 저지막 및 캐핑 절연막을 적층시키어 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  5. 제 3 항에 있어서,
    상기 희생 절연막은 실리콘 산화막으로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  6. 제 3 항에 있어서,
    상기 하부 절연막은 실리콘 산화막으로 형성하며, 두께는 50Å 내지 1000Å의 범위로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  7. 제 4 항에 있어서,
    상기 식각 저지막은 실리콘 질화막(SiN)으로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  8. 제 4 항에 있어서,
    상기 상부 절연막은 실리콘 산화막으로 형성하며, 두께는 500Å 내지 3000Å의 범위로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  9. 제 4 항에 있어서,
    상기 연마 저지막은 실리콘 질화막(SiN)으로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  10. 제 4 항에 있어서,
    상기 캐핑 절연막은 실리콘 산화막(SiO2)인 것을 특징으로 하는 모스 트랜지스터 제조방법.
  11. 제 2 항에 있어서,
    상기 하부 몰딩막은 희생 절연막 및 하부 절연막으로 형성하며, 상기 상부 몰딩막은 식각 저지막, 상부 절연막, 연마 저지막 및 캐핑 절연막으로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  12. 제 1 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 포함하는 기판 전면에 절연막 보호 스페이서 절연막을 형성하는 단계; 및
    상기 절연막 보호 스페이서 절연막을 전면 식각하여 상기 계단형 개구부의 측면에 절연막 보호 스페이서를 형성하는 단계를 더 포함하는 것을 특징으로 하는모스 트랜지스터 제조방법.
  13. 제 2 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 상부 몰딩막을 마스크 패턴을 이용하여 패터닝하여 상기 하부 몰딩막이 노출되는 상부 개구부를 형성하는 단계;
    상기 상부 개구부의 측면에 자기정렬 스페이서를 형성하는 단계; 및
    상기 자기정렬 스페이서를 식각마스크로 하여 상기 하부 몰딩막을 식각하여 반도체기판의 표면을 노출시키는 하부 개구부를 형성하는 단계를 포함하는 모스 트랜지스터 제조방법.
  14. 제 2 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 상부 몰딩막을 제1 마스크 패턴을 이용하여 패터닝하여 상기 하부 몰딩막의 표면이 노출되는 상부 개구부를 형성하는 단계; 및
    상기 하부 몰딩막을 제2 마스크 패턴을 이용하여 패터닝하여 상기 반도체기판의 표면을 노출시키는 하부 개구부를 형성하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  15. 제 3 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 상부 몰딩막을 마스크 패턴을 이용하여 패터닝하여 상기 하부 절연막이 노출되는 상부 개구부를 형성하는 단계;
    상기 상부 개구부의 측면에 자기정렬 스페이서를 형성하는 단계;
    상기 자기정렬 스페이서를 식각마스크로 하여 상기 하부 절연막을 식각하여 상기 희생 절연막의 표면을 노출시키는 하부 개구부를 단계; 및
    상기 희생 절연막을 세정처리로 제거하여 상기 기판 표면을 노출시키는 단계를 포함하는 모스 트랜지스터 제조방법.
  16. 제 3 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 상부 몰딩막을 제1 마스크 패턴을 이용하여 패터닝하여 상기 하부 절연막의 표면이 노출되는 상부 개구부를 형성하는 단계;
    상기 하부 절연막을 제2 마스크 패턴을 이용하여 패터닝하여 상기 희생 절연막의 표면을 노출시키는 하부 개구부를 형성하는 단계; 및
    상기 희생 절연막을 세정처리로 제거하여 상기 기판 표면을 노출시키는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  17. 제 4 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 캐핑 절연막, 연마 절연막 및 상부 절연막을 마스크 패턴을 이용하여 패터닝하여 상기 식각 저지막이 노출되는 상부 개구부를 형성하는 단계;
    상기 상부 개구부를 포함하는 기판 전면에 스페이서 절연막을 형성하는 단계;
    상기 스페이서 절연막을 상기 하부 몰딩막의 표면이 노출될 때까지 에치백하여 자기정렬 스페이서를 형성하는 단계; 및
    상기 자기정렬 스페이서를 식각마스크로 하여 상기 하부 몰딩막을 식각하여 반도체기판의 표면을 노출시키는 하부 개구부를 형성하는 단계를 포함하는 모스 트랜지스터 제조방법.
  18. 제 4 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 캐핑 절연막, 연마 절연막 및 상부 절연막을 제1 마스크 패턴을 이용하여 패터닝하여 상기 식각 저지막의 표면이 노출되는 상부 개구부를 형성하는 단계; 및
    상기 식각 저지막 및 하부 몰딩막을 제2 마스크 패턴을 이용하여 패터닝하여 상기 반도체기판의 표면을 노출시키는 하부 개구부를 형성하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  19. 제 5 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 캐핑 절연막, 연마 절연막 및 상부 절연막을 마스크 패턴을 이용하여 패터닝하여 상기 식각 저지막이 노출되는 상부 개구부를 형성하는 단계;
    상기 상부 개구부를 포함하는 기판 전면에 스페이서 절연막을 형성하는 단계;
    상기 스페이서 절연막을 상기 하부 절연막의 표면이 노출될 때까지 에치백하여 자기정렬 스페이서를 형성하는 단계;
    상기 자기정렬 스페이서를 식각마스크로 하여 상기 하부 절연막을 식각하여 상기 희생 절연막의 표면을 노출시키는 하부 개구부를 단계; 및
    상기 희생 절연막을 세정처리로 제거하여 상기 기판 표면을 노출시키는 단계를 포함하는 모스 트랜지스터 제조방법.
  20. 제 5 항에 있어서,
    상기 계단형 측벽을 갖는 개구부를 형성하는 단계는,
    상기 캐핑 절연막, 연마 절연막 및 상부 절연막을 제1 마스크 패턴을 이용하여 패터닝하여 상기 식각 저지막의 표면이 노출되는 상부 개구부를 형성하는 단계;
    상기 식각 저지막 및 하부 절연막을 제2 마스크 패턴을 이용하여 패터닝하여 상기 희생 절연막의 표면을 노출시키는 하부 개구부를 형성하는 단계; 및
    상기 희생 절연막을 세정처리로 제거하여 상기 기판 표면을 노출시키는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  21. 제 13 항, 제 15 항, 제 17 항 또는 제 19 항 중에 선택된 어느 하나의 항에 있어서,
    상기 자기정렬 스페이서는 실리콘 질화막으로 형성하며, 두께는 5Å 내지 500Å의 범위로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  22. 제 1 항에 있어서,
    상기 게이트 절연막 상에 상기 개구부를 채우는 게이트 전극을 형성하는 단계는,
    상기 개구부를 충분히 채우는 게이트 도전막을 형성하는 단계;
    상기 게이트 도전막을 화학기계적 연마로 평탄화하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  23. 제 22 항에 있어서,
    상기 게이트 도전막은 폴리실리콘, 실리콘 게르마늄, 코발트(Co), 텅스텐(W), 티타늄(Ti), 니켈(Ni) 중에서 선택된 적어도 하나로 형성하는 것을 특징으로 하는 모스 트랜지스터 제조방법.
  24. 제 1 항에 있어서,
    상기 제1 불순물 영역을 형성한 후에,
    상기 제1 불순물 영역을 갖는 기판 전면에 게이트 스페이서 절연막을 형성하는 단계;
    상기 게이트 스페이서 절연막을 에치백하여 게이트 스페이서를 형성하는 단계; 및
    상기 게이트 전극 및 게이트 스페이서를 이온주입 마스크로 사용하여 상기 제1 도즈에 비하여 높은 제2 도즈의 이온주입하여 제2 불순물 영역을 형성하는 단계를 더 포함하는 것을 특징으로 하는 모스 트랜지스터의 제조방법.
KR10-2002-0005052A 2002-01-29 2002-01-29 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법 KR100442089B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-2002-0005052A KR100442089B1 (ko) 2002-01-29 2002-01-29 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
US10/292,340 US6812111B2 (en) 2002-01-29 2002-11-12 Methods for fabricating MOS transistors with notched gate electrodes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0005052A KR100442089B1 (ko) 2002-01-29 2002-01-29 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법

Publications (2)

Publication Number Publication Date
KR20030064958A KR20030064958A (ko) 2003-08-06
KR100442089B1 true KR100442089B1 (ko) 2004-07-27

Family

ID=27607038

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0005052A KR100442089B1 (ko) 2002-01-29 2002-01-29 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법

Country Status (2)

Country Link
US (1) US6812111B2 (ko)
KR (1) KR100442089B1 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR20040025804A (ko) * 2002-09-17 2004-03-26 아남반도체 주식회사 이중 다마신 기법을 이용한 반도체 게이트 라인 형성 방법
US6780694B2 (en) * 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6905976B2 (en) * 2003-05-06 2005-06-14 International Business Machines Corporation Structure and method of forming a notched gate field effect transistor
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100604870B1 (ko) * 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
CN100377313C (zh) * 2004-07-12 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 提高深亚微米多晶硅栅刻蚀均匀性的方法
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100620393B1 (ko) * 2005-11-03 2006-09-06 한국전자통신연구원 전계효과 트랜지스터 및 그의 제조 방법
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
KR100636597B1 (ko) 2005-12-07 2006-10-23 한국전자통신연구원 티형 게이트의 제조 방법
KR100702324B1 (ko) * 2005-12-14 2007-03-30 동부일렉트로닉스 주식회사 반도체 소자 및 이의 제조 방법
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7691691B1 (en) * 2006-05-23 2010-04-06 Kovio, Inc. Semiconductor device and methods for making the same
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
KR100849363B1 (ko) * 2006-12-27 2008-07-29 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
KR100967485B1 (ko) * 2008-03-13 2010-07-07 주식회사 동부하이텍 반도체 소자 및 반도체 소자의 제조 방법
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
WO2011047104A1 (en) * 2009-10-16 2011-04-21 Bml Productions, Inc. Reconfigurable modular lighting system
WO2012094357A2 (en) * 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including multiple reentrant profiles
US8847226B2 (en) * 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8835265B1 (en) * 2012-06-18 2014-09-16 Altera Corporation High-k dielectric device and process
US9859156B2 (en) 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10276679B2 (en) * 2017-05-30 2019-04-30 Vanguard International Semiconductor Corporation Semiconductor device and method for manufacturing the same
US10930763B2 (en) * 2018-09-25 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming metal gate electrodes for transistors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970053022A (ko) * 1995-12-06 1997-07-29 이종덕 역측벽을 이용한 함몰채널(isrc) mosfet의 제조 방법
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6306715B1 (en) * 2001-01-08 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form smaller channel with CMOS device by isotropic etching of the gate materials
US6461904B1 (en) * 2001-01-09 2002-10-08 Cypress Semiconductor Corp. Structure and method for making a notched transistor with spacers

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6307230B1 (en) * 1999-01-05 2001-10-23 Texas Instruments Incorporated Transistor having an improved sidewall gate structure and method of construction
US6204133B1 (en) * 2000-06-02 2001-03-20 Advanced Micro Devices, Inc. Self-aligned extension junction for reduced gate channel
US6399469B1 (en) * 2000-07-10 2002-06-04 Advanced Micro Devices, Inc. Fabrication of a notched gate structure for a field effect transistor using a single patterning and etch process
US6395589B1 (en) * 2001-02-12 2002-05-28 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with high-K gate dielectric in SOI technology
US6566198B2 (en) * 2001-03-29 2003-05-20 International Business Machines Corporation CMOS structure with non-epitaxial raised source/drain and self-aligned gate and method of manufacture
US20020155665A1 (en) * 2001-04-24 2002-10-24 International Business Machines Corporation, Formation of notched gate using a multi-layer stack
US6541320B2 (en) * 2001-08-10 2003-04-01 International Business Machines Corporation Method to controllably form notched polysilicon gate structures
JP2003133549A (ja) * 2001-10-29 2003-05-09 Nec Corp Mosfet及びその製造方法
TW569319B (en) * 2002-06-06 2004-01-01 Winbond Electronics Corp Gate structure and method of manufacture

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970053022A (ko) * 1995-12-06 1997-07-29 이종덕 역측벽을 이용한 함몰채널(isrc) mosfet의 제조 방법
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6306715B1 (en) * 2001-01-08 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form smaller channel with CMOS device by isotropic etching of the gate materials
US6461904B1 (en) * 2001-01-09 2002-10-08 Cypress Semiconductor Corp. Structure and method for making a notched transistor with spacers

Also Published As

Publication number Publication date
KR20030064958A (ko) 2003-08-06
US6812111B2 (en) 2004-11-02
US20030143791A1 (en) 2003-07-31

Similar Documents

Publication Publication Date Title
KR100442089B1 (ko) 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
US7091069B2 (en) Ultra thin body fully-depleted SOI MOSFETs
US7388259B2 (en) Strained finFET CMOS device structures
US8679926B2 (en) Local charge and work function engineering on MOSFET
US6716689B2 (en) MOS transistor having a T-shaped gate electrode and method for fabricating the same
JP4384988B2 (ja) 歪みFinFETCMOSデバイス構造
US6406945B1 (en) Method for forming a transistor gate dielectric with high-K and low-K regions
US6214670B1 (en) Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
US7071515B2 (en) Narrow width effect improvement with photoresist plug process and STI corner ion implantation
US11588051B2 (en) Semiconductor device and fabrication method thereof
CN112309861B (zh) 半导体结构及其形成方法、晶体管
US11600618B2 (en) Integrated circuit structure and manufacturing method thereof
US11742245B2 (en) Semiconductor fabrication method and structure using multiple sacrificial layers to form sidewall spacers
US7179714B2 (en) Method of fabricating MOS transistor having fully silicided gate
US11742414B2 (en) Semiconductor device with fins
US6762459B2 (en) Method for fabricating MOS device with halo implanted region
US10748814B2 (en) Fabrication method of semiconductor device by removing sacrificial layer on gate structures
CN109037054B (zh) 栅极侧墙的制造方法
US20050048754A1 (en) Processing method for increasing packaging density of an integrated circuit
GB2362029A (en) Multi-layer structure for MOSFET Spacers
US7521767B2 (en) MOS transistor in a semiconductor device
CN110752153B (zh) 半导体结构及其形成方法
US20230119077A1 (en) Semiconductor devices and methods of manufacturing thereof
KR20050032139A (ko) 노치된 게이트 전극을 갖는 모스 트랜지스터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120706

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee