US11742414B2 - Semiconductor device with fins - Google Patents

Semiconductor device with fins Download PDF

Info

Publication number
US11742414B2
US11742414B2 US17/247,984 US202117247984A US11742414B2 US 11742414 B2 US11742414 B2 US 11742414B2 US 202117247984 A US202117247984 A US 202117247984A US 11742414 B2 US11742414 B2 US 11742414B2
Authority
US
United States
Prior art keywords
fins
layer
isolation
semiconductor substrate
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US17/247,984
Other versions
US20210125876A1 (en
Inventor
Fei Zhou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
SMIC Advanced Technology R&D Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
SMIC Advanced Technology R&D Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, SMIC Advanced Technology R&D Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to US17/247,984 priority Critical patent/US11742414B2/en
Publication of US20210125876A1 publication Critical patent/US20210125876A1/en
Application granted granted Critical
Publication of US11742414B2 publication Critical patent/US11742414B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present disclosure generally relates to the field of semiconductor technologies and more particularly, relates to a semiconductor device and its fabrication method.
  • a metal-oxide-semiconductor (MOS) transistor is one of the most important devices in modern integrated circuits.
  • An elementary structure of the MOS transistor includes: a semiconductor substrate, gate structures on a surface of the semiconductor substrate, and source/drain doped regions in the semiconductor substrate on both sides of the gate structures.
  • a gate structure includes a gate dielectric layer on a surface of the semiconductor substrate and a gate layer on a surface of the gate dielectric layer.
  • a fin field effect transistor is an emerging multi-gate device.
  • a FinFET usually includes fins protruding from the surface of the semiconductor substrate, gate structures covering a portion of top surfaces and sidewalls of the fins, source/drain doped regions in the fins on both sides of the gate structures.
  • One aspect of the present disclosure provides a fabrication method of a semiconductor device with improved isolation properties.
  • the method includes: providing a semiconductor substrate; forming initial fins on the semiconductor substrate; forming a gate structure material layer on the semiconductor substrate and the initial fins, where the top surface of the gate structure material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and form initial fins into fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; and forming gate structures on both sides of the isolation layer by etching the gate structure material layer.
  • the semiconductor device includes: a semiconductor substrate; fins on the semiconductor substrate; an isolation layer in the fins; the top surface of the isolation layer may be higher than top surfaces of the fins; the isolation layer may pass through the fins along a direction perpendicular to an extending direction of the fins and in parallel with a surface of the semiconductor substrate; and gate structures on both sides of the isolation layer, wherein the gate structures cross the fins and cover a portion of top surfaces and sidewalls of the fins.
  • FIGS. 1 - 5 illustrate semiconductor structures corresponding to certain stages for forming a semiconductor device
  • FIGS. 6 - 12 illustrate semiconductor structures corresponding to certain stages for forming an exemplary semiconductor device according to various disclosed embodiments of the present disclosure.
  • FIG. 13 illustrates an exemplary method for forming a semiconductor device according to various disclosed embodiments of the present disclosure.
  • the method includes: providing a semiconductor substrate; forming initial fins on the semiconductor substrate; forming a gate structure material layer on the semiconductor substrate and the initial fins, where the top surface of the gate material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and passes through the plurality of initial fins to form fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; forming gate structures on both sides of the isolation layer by etching the gate structure material layer, wherein the gate structures cross the fins and cover a portion of top surfaces and sidewalls of the fins.
  • the isolation performance of the formed semiconductor devices may thus be improved.
  • FIGS. 1 - 5 illustrate semiconductor structures corresponding to certain stages for forming a semiconductor device.
  • a semiconductor substrate 100 with first fins 110 and second fins 111 on the semiconductor substrate 100 may be provided.
  • the extending directions of the second fins 111 and the first fins 110 are in parallel with one another.
  • An isolation region may be formed on the semiconductor substrate 100 between the first fins 110 and the second fins 111 .
  • FIG. 3 is a cross-section view along the A 1 -A 2 direction in FIG. 2 .
  • the isolation structure film 120 covers sidewalls of the first fins 110 and the second fins 111 , which may be formed on the semiconductor substrate 100 .
  • FIG. 4 illustrates a structure based on FIG. 3 .
  • a mask layer 130 may be formed on the isolation structure film 120 , the first fins 110 and the second fins 111 .
  • the mask layer 130 has an opening (not shown), which is over the isolation region.
  • the size of the opening which is perpendicular to sidewalls of the opening is larger than the distance between the first fin 110 and the adjacent second fin 111 .
  • An isolation film 140 may be formed in the opening.
  • isolation structures may be formed in the isolation structure film 120 between adjacent first fins 110 and between adjacent second fins 111 by etching back the isolation film 140 (referring to FIG. 4 ) and the isolation structure film 120 .
  • the isolation layer may include a first layer 141 a formed from the isolation film 140 and a second layer 141 b formed from the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 .
  • the top surface of the isolation structures may be lower than the top surfaces of the first fins 110 and the second fins 111 .
  • the top surface of the first isolation layer 141 a may be higher than the top surfaces of the first fins 110 and the second fins 111 .
  • the opening and the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be misaligned due to process limitations on the alignment accuracy of the photolithography process.
  • the mask layer 130 may cover a portion of the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 .
  • the isolation film 140 may not cover the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 .
  • a portion of the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be exposed.
  • the exposed isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be etched to form an opening 150 between the second fin 111 and the isolation layer 141 , which may degrade the isolation performance of the isolation layer 141 and cannot meet requirements of the process.
  • the present disclosure provides a semiconductor device and its fabricating method.
  • the method includes: forming a gate structure material layer on the semiconductor substrate and initial fins, where the top surface of the gate material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and passes through the plurality of initial fins to form fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; forming gate structures by etching the gate structure material layer.
  • the method improves the isolation performance of semiconductor devices.
  • FIGS. 6 - 12 illustrate semiconductor structures corresponding to certain stages for forming an exemplary semiconductor device according to various disclosed embodiments of the present disclosure.
  • a semiconductor substrate 200 with initial fins 210 on the semiconductor substrate 200 may be provided.
  • the semiconductor substrate 200 may provide a platform for forming the semiconductor device.
  • the semiconductor substrate 200 may be made of semiconductor materials including single crystalline silicon.
  • the semiconductor substrate 200 may be made of polycrystalline silicon and amorphous silicon.
  • the semiconductor substrate 200 may further be made of semiconductor materials including germanium, SiGe, GaAs and/or any other suitable semiconductor material(s).
  • the initial fins 210 may be formed by patterning the semiconductor substrate 200 . In other embodiments, the initial fins 210 may be formed by: forming a fin material layer on the semiconductor substrate; and etching the fin material layer to form the initial fins.
  • One or more initial fins 210 may be formed on the semiconductor substrate 200 .
  • two initial fins 210 may be formed, shown as an example in FIG. 6 .
  • the initial fins 210 on the semiconductor substrate 200 may be arranged as follows: the initial fins 210 are parallel to each other, and the direction for the initial fins 210 arrangement is perpendicular to an extending direction of the initial fins 210 . In other embodiments, the arrangement of the initial fins on the semiconductor substrate may be set according to any suitable design needs.
  • the process may further include: forming isolation structures 220 (e.g., as shown in FIG. 7 ) on the semiconductor substrate 200 to cover a portion of sidewalls of the initial fins 210 .
  • the isolation structures 220 have top surfaces lower than top surfaces of the initial fins 210 .
  • the isolation structures 220 may electrically isolate adjacent initial fins 210 from each other.
  • the isolation structures 220 may be made of silicon dioxide, for example.
  • the method for forming isolation structures 220 includes: forming an isolation structure film (not shown) on the semiconductor substrate 220 and initial fins 210 ; planarizing the isolation structure film to remove the isolation structure film on the top surface of the initial fins 210 ; and after planarizing the isolation structure film, etching back the isolation structure film to form the isolation structures 220 .
  • the isolation structure film may be formed by a deposition process.
  • the process for forming the isolation structure film is a flowable chemical vapor deposition process, which has better filling ability of the isolation structure film.
  • a gate structure material layer 230 may be formed over the semiconductor substrate 200 and the initial fins 210 .
  • the gate structure material layer 230 has a top surface higher than top surfaces of the initial fins 210 .
  • the gate structure material layer 230 may be formed on the isolation structures 220 and the initial fins 210 .
  • the gate structure material layer 230 includes a gate dielectric material layer on the semiconductor substrate 200 and the initial fins 210 , and a gate material layer on the surface of the gate dielectric material layer.
  • the gate dielectric material layer may be made of silicon dioxide; and the gate material layer may be made of polycrystalline silicon.
  • a trench may be formed in the gate structure material layer 230 and the initial fins 210 .
  • the trench passes through the initial fins along a direction perpendicular to an extending direction of initial fins 210 and in parallel with a surface of the semiconductor substrate 200 .
  • the initial fins 210 may be divided by the trenches to form fins from the initial fins 210 .
  • the method may further include: forming a mask layer on the gate structure material layer 230 before forming the trench.
  • the mask layer has an opening.
  • the gate structure material layer 230 and the initial fins 210 may be etched along the opening using the mask layer as a mask to form the trench.
  • FIG. 8 illustrates a structure based on FIG. 7 and FIG. 9 is a cross-section view along the A 2 -A 3 direction in FIG. 8 .
  • a mask layer 240 with an opening 241 may be formed on the gate structure material layer 230 .
  • the method for forming the mask layer 240 includes: forming an initial mask layer on the gate structure material layer 230 ; forming a patterned photoresist layer on the initial mask layer, which defines the position of the opening 241 ; etching the initial mask layer using the patterned photoresist layer as a mask to form the mask layer 240 ; and removing the patterned photoresist layer.
  • the initial mask layer is a multiple-layer structure including an initial organic mask layer on the gate structure material layer 230 and an initial bottom anti-reflective coating on the initial organic mask layer.
  • the mask layer 240 is a multiple-layer structure including an organic mask layer on the gate structure material layer 230 and a bottom anti-reflective coating on the organic mask layer.
  • the initial organic mask layer may facilitate the surface planarization.
  • the initial bottom anti-reflective coating which may be made of silicon containing hydrocarbon for example, is formed to increase exposure precision during the formation of the patterned photoresist layer, and transfer the pattern in the initial bottom anti-reflective coating to the initial organic mask layer.
  • the initial mask layer is a single-layer structure.
  • the mask layer is a single-layer structure.
  • the initial mask layer may be made of silicon nitride or silicon oxynitride.
  • FIG. 10 illustrates a structure based on FIG. 9 .
  • the gate structure material layer 230 and the initial fins 210 may be etched along the opening 214 using the mask layer 240 as a mask.
  • the trench 250 may be formed in the gate structure material layer 230 and the initial fins 210 (referring to FIG. 9 ).
  • the trench 250 passes through the initial fins along a direction perpendicular to an extending direction of the initial fins 210 and in parallel with a surface of the semiconductor substrate 200 , and passes through the plurality of the initial fins 210 to form the fins 211 .
  • the top size of the trench 250 is larger than its bottom size in the extending direction of the fins 211 , which has the advantage of subsequent filling of the isolation film.
  • the top size of the trench is less than or equal to its bottom size in the extending direction of the fins.
  • the method for etching the gate structure material layer 230 and the initial fins 210 along the opening 214 using the mask layer 240 as a mask is described as the following: the first anisotropic dry etching may be used to etch the gate structure material layer 230 and the initial fins 210 along the opening 214 using the mask layer 240 as a mask, and then the initial trench may be formed in the gate structure material layer 230 and initial fins 210 .
  • the second anisotropic dry etching may be used to etch the initial fins 210 at the bottom of the trench using the mask layer 240 as a mask, and then the trench 250 may be formed from the initial trench and the fins 211 may be formed from the initial fins 210 .
  • the top size of the trench 250 is larger than its bottom size along the extending direction of the fins 211 .
  • the top region of the trench 250 is referred to as a first trench region 250 a
  • the bottom region of the trench 250 is referred to as a second trench region 250 b .
  • the size of the first trench region 250 a is larger than that of the second trench region 250 b along the direction which is parallel to the extending direction of the fins 211 .
  • the first and second trench regions are of stepped shapes, where the difference between the top size and the bottom size is large which has the advantage of subsequent filling of the isolation film.
  • gases may include CH 4 , CHF 3 , Ar and He; a flow rate of CH 4 may be 50 sccm to 200 sccm; a flow rate of CHF 3 may be 50 sccm to 300 sccm; a flow rate of Ar may be 200 sccm to 500 sccm; a flow rate of He may be 200 sccm to 500 sccm; a source radio frequency (RF) power may be 200 W to 1000 W; a bias voltage may be 200 V to 1000 V; and a chamber pressure may be 10 mtorr to 50 mtorr.
  • RF radio frequency
  • gases may include O 2 , N 2 and HBr; a flow rate of O 2 may be 3 sccm to 10 sccm; a flow rate of N 2 may be 10 sccm to 30 sccm; a flow rate of HBr may be 200 sccm to 500 sccm; a source RF power may be 500 W to 1000 W; a bias voltage may be 200 V to 700 V; and a chamber pressure may be 20 mtorr to 80 mtorr.
  • byproducts may be produced. Regions which are covered by byproducts may be less etched by the first and second anisotropic dry etching processes.
  • the byproducts from the first anisotropic dry etching process may accumulate at sidewall surfaces of the initial trench and edges of the bottom surface of the initial trench.
  • the rate of generating byproducts in the second anisotropic dry etching process may be greater than the rate of generating byproducts in the first anisotropic dry etching process by adjusting parameters of the first and second anisotropic dry etching processes.
  • the byproducts generated by the second anisotropic dry etching process may accumulate faster at the bottom edges of the initial trench, and the surface at the bottom edges of the initial trench covered by byproducts may increase larger, which may make stepped shapes of the first and second trench regions.
  • parameters for the first and second anisotropic dry etching processes may be substantially same, and the processes may be performed continuously in a single, simplified step.
  • the isolation structure 220 may cover a portion of sidewalls of the fins 211 .
  • the trench 250 may expose top surfaces of the semiconductor substrate 200 .
  • the bottom surface of the trench 250 may be higher than the top surface of the semiconductor substrate and lower than top surfaces of isolation structures, or the bottom surface of the trench may be higher than top surfaces of the isolation structures.
  • the gate structure material layer 230 , the initial fins 210 , and the isolation structure 220 may be etched along the opening 214 using the mask layer 240 as a mask.
  • the trench 250 may be in the isolation structure 220 .
  • the opening 241 defines the position of the first trench region 250 a which is higher than top surfaces of the fins 211 and the position of the second trench region 250 b which is lower than top surfaces of the fins 211 .
  • the position of 250 b which is lower than top surfaces of the fins 211 may do not need to be separately defined by a mask process which may reduce the fabrication cost for semiconductor devices.
  • the trench 250 and the initial fins 210 may be formed in different steps, and the depth of the trench 250 may be adjusted independently from the formation of the initial fins 210 .
  • the shallow depth of the trench 250 in the fins 211 may be avoided, and then the isolation performance of subsequent isolation layer may be improved.
  • the isolation layer 260 may be formed in the trench 250 (referring to FIG. 10 ) and top surface of the isolation layer may be higher than top surfaces of the fins 211 .
  • the isolation layer 260 may be made of silicon dioxide.
  • the method of forming the isolation layer 260 includes: forming an isolation film in the opening 241 and the trench 250 , and on the mask layer 240 ; and forming the isolation layer 260 by planarizing the isolation film to expose the surface of the mask layer 240 .
  • the method may further include: before forming the isolation layer 260 , forming a barrier layer 270 on sidewalls of the trench 250 and the opening 241 , and the bottom of the trench 250 .
  • the isolation layer 260 may be located on the barrier layer 270 .
  • the barrier layer 270 may be made of silicon nitride.
  • the barrier layer 270 may block the isolation layer 260 from oxidizing the fins 211 during the formation of the isolation layer 260 and avoid a large size change of the fins 211 .
  • the thickness of the barrier layer 270 may be 5 Angstroms to 10 Angstroms. If the thickness of the barrier layer 270 is larger than 10 Angstroms, the space occupied by the barrier layer 270 in the trench 250 and the opening 241 may be large. The subsequent space for the isolation layer formation may be small and the performance of the isolation layer may be reduced. If the thickness of the barrier layer 270 is smaller than 5 Angstroms, the barrier layer 270 may have less protection on the fins 211 .
  • the gate structures 280 on both sides of the isolation layer 260 may be formed by etching the gate structure material layer 230 .
  • the gate structures 280 may cross the fins 211 and cover a portion of sidewalls and a portion of top surfaces of the fins 211 .
  • the isolation layer 260 and the gate structures 280 may be separated from each other.
  • the method may further include: etching the mask layer 240 to form the gate protection layer 290 after forming the isolation layer 260 and before etching the gate structure material layer 230 ; etching the gate structure material layer 230 to form the gate structures 280 using the gate protection layer 290 as the mask.
  • the gate protection layer 290 may be at the top of the gate structures 280 .
  • the gate protection layer 290 may be made of silicon nitride or silicon oxynitride.
  • the gate structure material layer 230 may be on the isolation structure 220 .
  • the gate structures 280 may be also on the isolation structure 220 .
  • top surfaces of the isolation layer 260 may be higher than top surfaces of the gate structures 280 .
  • Top surfaces of the isolation layer 260 and the gate protection layer 290 may have similar heights.
  • the isolation layer and the gate structures 280 may be used to limit the formation of source/drain doped regions. There may be no need to use additional gate structures to limit the formation of source/drain doped regions.
  • the isolation structure 220 covering a portion of sidewalls of the initial fins 210 may be formed on the semiconductor substrate 200 . After forming the fins 211 , the isolation structure 220 may cover a portion of sidewalls of the fins 211 . After forming the isolation layer 260 in the trench 250 , there may be no need to etch the isolation structure 220 . It may avoid the loss of the isolation layer 260 because of the etching of the isolation structure 220 and improve the isolation performance of the isolation layer 260 .
  • the method may further include: forming the source/drain doped regions in the fins 211 on each side of the gate structure 280 ; and forming the source/drain doped regions in the fins 211 between the isolation layer 260 and the gate structure 280 .
  • a process to form the source/drain doped regions may include: forming source/drain trenches in the fins 211 on sides of the gate structures 280 ; and forming source/drain doped regions in the source/drain trenches by an epitaxial growth process.
  • the method may further include: forming the first spacers on the sidewalls of the gate structures 280 and the second spacers covering a portion of the fins 211 on the sidewalls of the isolation layer 260 .
  • the source/drain doped regions may be in the gate structures 280 and in the fins 211 on sides of the first spacers.
  • the first and second spacers may define the space for the source/drain doped regions, so the source/drain doped regions between the gate structures 280 and the isolation layer 260 , adjacent to the gate structures 280 and adjacent to the isolation layer 260 may have uniform growth rates.
  • the trenches in the gate structure material layer and between the fins may be formed in a one-single-step process, which may avoid misalignment between trenches higher than the top surfaces of the fins and trenches lower than the top surfaces of the fins.
  • the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.
  • the trenches may be formed in the gate structure material layer which may define the location for trenches. No additional material may be needed to define the trenches. After forming the isolation layer, no additional etching may be needed to remove the gate structure material layer. Subsequently, the process may be simplified with low cost.
  • This disclosure embodiment may also provide a semiconductor device using the above method, as illustrated in FIG. 12 , including: a semiconductor substrate 200 ; the fins 211 on the semiconductor substrate 200 ; an isolation layer 260 in the fins 211 ; a gate structure 280 on both sides of the isolation layer 260 ; and the gate structures 280 crossing the fins 211 and covering a portion of top surfaces and sidewalls of the fins 211 .
  • the top surface of the isolation layer 260 may be higher than top surface of the fins 211 .
  • the isolation layer 260 may pass through the fins 211 along a direction perpendicular to an extending direction of the fins 211 and in parallel with a surface of the semiconductor substrate 200 .
  • FinFET device may be formed by self-aligned single diffusion break (SDB) process.
  • the gate structure material layer 230 (referring to FIG. 8 ) may include a poly a-Si
  • the mask layer 240 (referring to FIG. 8 ) may include a silicon nitride hard mask.
  • the silicon nitride hard mask deposition may be performed after the deposition and the chemical mechanical planarization of the dummy poly a-Si.
  • the silicon nitride hard mask deposition may be performed.
  • the SDB and the dummy poly a-Si trench may be formed.
  • the silicon nitride spacer may be formed with the subsequent the silicon dioxide deposition and the chemical mechanical planarization.
  • the gate structures may be formed by etching the gate structure material layer and the epi process may be followed.
  • the trenches in the gate structure material layer and between the fins may be formed in a one-single-step process, which may avoid misalignment between trenches higher than the top surfaces of the fins and trenches lower than the top surfaces of the fins.
  • the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.
  • the trenches may be formed in the gate structure material layer which may define the location for trenches. No additional material may be needed to define the trenches. After forming the isolation layer, no additional etching may be needed to remove the gate structure material layer. Subsequently, the process may be simplified with low cost.
  • a mask layer which has an opening, may be formed on the gate structure material layer before forming the trench.
  • the trench may be formed by etching the gate structure material layer and the initial fins along the opening using the mask layer as a mask.
  • the opening defines the position of the first trench region which is higher than top surfaces of the fins and the position of the second trench region which is lower than top surfaces of the fins.
  • the position of the second trench region which is lower than top surfaces of the fins may do not need to be separately defined by a mask process which may reduce the fabrication cost for semiconductor devices.
  • the trench and initial fins may be formed in different steps, and the depth of the trench may be adjusted independently from the formation of initial fins.
  • the shallow depth of the trench in fins may be avoided, and then isolation performance of subsequent isolation layer may be improved.
  • the isolation structure covering a portion of sidewalls of the initial fins may be formed on the semiconductor substrate. After forming the fins, the isolation structure may cover a portion of sidewalls of the fins. After forming the isolation layer in the trench, there may be no need to etch the isolation structure. It may avoid the loss of the isolation layer because of the etching of isolation structure and improve the isolation performance of the isolation layer.
  • the barrier layer may be formed on sidewalls and the bottom of the trench.
  • the barrier layer may block the isolation layer from oxidizing the fins during the formation of the isolation layer and avoid a large size change of the fins.
  • the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.

Abstract

A semiconductor device is provided. The semiconductor device includes a semiconductor substrate; fins on the semiconductor substrate; an isolation layer formed on the semiconductor substrate and between adjacent fins; and gate structures on sides of the isolation layer. The isolation layer has a top surface higher than top surfaces of the fins and passes through the fins along a direction perpendicular to an extending direction of the fins and in parallel with a surface of the semiconductor substrate.

Description

CROSS-REFERENCES TO RELATED APPLICATION
This application is a divisional of U.S. patent application Ser. No. 16/118,010, filed on Aug. 30, 2018, which claims the priority of Chinese Patent Application No. 201711186310.7, filed on Nov. 23, 2017, the content of which is incorporated herein by reference in its entirety.
TECHNICAL FIELD
The present disclosure generally relates to the field of semiconductor technologies and more particularly, relates to a semiconductor device and its fabrication method.
BACKGROUND
A metal-oxide-semiconductor (MOS) transistor is one of the most important devices in modern integrated circuits. An elementary structure of the MOS transistor includes: a semiconductor substrate, gate structures on a surface of the semiconductor substrate, and source/drain doped regions in the semiconductor substrate on both sides of the gate structures. A gate structure includes a gate dielectric layer on a surface of the semiconductor substrate and a gate layer on a surface of the gate dielectric layer.
With continuous development in semiconductor technologies, conventional planar MOS transistors have a poor controlling ability on the channel current, which causes serious leakage current. A fin field effect transistor (FinFET) is an emerging multi-gate device. A FinFET usually includes fins protruding from the surface of the semiconductor substrate, gate structures covering a portion of top surfaces and sidewalls of the fins, source/drain doped regions in the fins on both sides of the gate structures.
However, conventional semiconductor devices formed by FinFETs have poor performance. The disclosed devices and methods are directed to at least partially alleviate one or more problems set forth above and to solve other problems in the art.
SUMMARY
One aspect of the present disclosure provides a fabrication method of a semiconductor device with improved isolation properties. The method includes: providing a semiconductor substrate; forming initial fins on the semiconductor substrate; forming a gate structure material layer on the semiconductor substrate and the initial fins, where the top surface of the gate structure material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and form initial fins into fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; and forming gate structures on both sides of the isolation layer by etching the gate structure material layer.
Another aspect of the present disclosure provides a semiconductor device. The semiconductor device includes: a semiconductor substrate; fins on the semiconductor substrate; an isolation layer in the fins; the top surface of the isolation layer may be higher than top surfaces of the fins; the isolation layer may pass through the fins along a direction perpendicular to an extending direction of the fins and in parallel with a surface of the semiconductor substrate; and gate structures on both sides of the isolation layer, wherein the gate structures cross the fins and cover a portion of top surfaces and sidewalls of the fins.
Other aspects or embodiments of the present disclosure can be understood by those skilled in the art in light of the description, the claims, and the drawings of the present disclosure.
BRIEF DESCRIPTION OF THE DRAWINGS
The following drawings are merely examples for illustrative purposes according to various disclosed embodiments and are not intended to limit the scope of the present disclosure.
FIGS. 1-5 illustrate semiconductor structures corresponding to certain stages for forming a semiconductor device;
FIGS. 6-12 illustrate semiconductor structures corresponding to certain stages for forming an exemplary semiconductor device according to various disclosed embodiments of the present disclosure; and
FIG. 13 illustrates an exemplary method for forming a semiconductor device according to various disclosed embodiments of the present disclosure.
DETAILED DESCRIPTION
Reference will now be made in detail to exemplary embodiments of the disclosure, which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.
Semiconductor device and fabrication method are provided. The method includes: providing a semiconductor substrate; forming initial fins on the semiconductor substrate; forming a gate structure material layer on the semiconductor substrate and the initial fins, where the top surface of the gate material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and passes through the plurality of initial fins to form fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; forming gate structures on both sides of the isolation layer by etching the gate structure material layer, wherein the gate structures cross the fins and cover a portion of top surfaces and sidewalls of the fins. The isolation performance of the formed semiconductor devices may thus be improved.
FIGS. 1-5 illustrate semiconductor structures corresponding to certain stages for forming a semiconductor device.
Referring to FIG. 1 , a semiconductor substrate 100 with first fins 110 and second fins 111 on the semiconductor substrate 100 may be provided. The extending directions of the second fins 111 and the first fins 110 are in parallel with one another. An isolation region may be formed on the semiconductor substrate 100 between the first fins 110 and the second fins 111.
Referring to FIGS. 2-3 , FIG. 3 is a cross-section view along the A1-A2 direction in FIG. 2 . The isolation structure film 120 covers sidewalls of the first fins 110 and the second fins 111, which may be formed on the semiconductor substrate 100.
FIG. 4 illustrates a structure based on FIG. 3 . As shown in FIG. 4 , a mask layer 130 may be formed on the isolation structure film 120, the first fins 110 and the second fins 111. The mask layer 130 has an opening (not shown), which is over the isolation region. The size of the opening which is perpendicular to sidewalls of the opening is larger than the distance between the first fin 110 and the adjacent second fin 111. An isolation film 140 may be formed in the opening.
Referring to FIG. 5 , after removing the mask layer 130 (referring to FIG. 4 ), isolation structures may be formed in the isolation structure film 120 between adjacent first fins 110 and between adjacent second fins 111 by etching back the isolation film 140 (referring to FIG. 4 ) and the isolation structure film 120. The isolation layer may include a first layer 141 a formed from the isolation film 140 and a second layer 141 b formed from the isolation structure film 120 between the first fin 110 and the adjacent second fin 111. The top surface of the isolation structures may be lower than the top surfaces of the first fins 110 and the second fins 111. The top surface of the first isolation layer 141 a may be higher than the top surfaces of the first fins 110 and the second fins 111.
In the process for forming the mask layer 130, the opening and the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be misaligned due to process limitations on the alignment accuracy of the photolithography process. The mask layer 130 may cover a portion of the isolation structure film 120 between the first fin 110 and the adjacent second fin 111. After forming the isolation film 140, the isolation film 140 may not cover the isolation structure film 120 between the first fin 110 and the adjacent second fin 111. After removing the mask layer 130, a portion of the isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be exposed. In the etch-back process of the isolation film 140 and the isolation structure film 120, the exposed isolation structure film 120 between the first fin 110 and the adjacent second fin 111 may be etched to form an opening 150 between the second fin 111 and the isolation layer 141, which may degrade the isolation performance of the isolation layer 141 and cannot meet requirements of the process.
The present disclosure provides a semiconductor device and its fabricating method. The method includes: forming a gate structure material layer on the semiconductor substrate and initial fins, where the top surface of the gate material layer is higher than the top surfaces of the initial fins; forming a trench in the gate structure material layer and the initial fins, which passes through the initial fins along a direction perpendicular to an extending direction of initial fins and in parallel with a surface of the semiconductor substrate, and passes through the plurality of initial fins to form fins; forming an isolation layer in the trench, where the top surface of the isolation layer is higher than the top surfaces of the fins; forming gate structures by etching the gate structure material layer. The method improves the isolation performance of semiconductor devices.
FIGS. 6-12 illustrate semiconductor structures corresponding to certain stages for forming an exemplary semiconductor device according to various disclosed embodiments of the present disclosure.
Referring to FIG. 6 , a semiconductor substrate 200 with initial fins 210 on the semiconductor substrate 200 may be provided.
The semiconductor substrate 200 may provide a platform for forming the semiconductor device.
In various embodiments, the semiconductor substrate 200 may be made of semiconductor materials including single crystalline silicon. The semiconductor substrate 200 may be made of polycrystalline silicon and amorphous silicon. The semiconductor substrate 200 may further be made of semiconductor materials including germanium, SiGe, GaAs and/or any other suitable semiconductor material(s).
In one embodiment, the initial fins 210 may be formed by patterning the semiconductor substrate 200. In other embodiments, the initial fins 210 may be formed by: forming a fin material layer on the semiconductor substrate; and etching the fin material layer to form the initial fins.
One or more initial fins 210 may be formed on the semiconductor substrate 200. In an exemplary embodiment, two initial fins 210 may be formed, shown as an example in FIG. 6 .
In one embodiment, when multiple initial fins 210 are included, the initial fins 210 on the semiconductor substrate 200 may be arranged as follows: the initial fins 210 are parallel to each other, and the direction for the initial fins 210 arrangement is perpendicular to an extending direction of the initial fins 210. In other embodiments, the arrangement of the initial fins on the semiconductor substrate may be set according to any suitable design needs.
In one embodiment, before forming subsequent gate structure material layer, the process may further include: forming isolation structures 220 (e.g., as shown in FIG. 7 ) on the semiconductor substrate 200 to cover a portion of sidewalls of the initial fins 210. The isolation structures 220 have top surfaces lower than top surfaces of the initial fins 210.
The isolation structures 220 may electrically isolate adjacent initial fins 210 from each other.
The isolation structures 220 may be made of silicon dioxide, for example.
The method for forming isolation structures 220 includes: forming an isolation structure film (not shown) on the semiconductor substrate 220 and initial fins 210; planarizing the isolation structure film to remove the isolation structure film on the top surface of the initial fins 210; and after planarizing the isolation structure film, etching back the isolation structure film to form the isolation structures 220.
The isolation structure film may be formed by a deposition process. In one embodiment, the process for forming the isolation structure film is a flowable chemical vapor deposition process, which has better filling ability of the isolation structure film.
Referring to FIG. 7 , a gate structure material layer 230 may be formed over the semiconductor substrate 200 and the initial fins 210. The gate structure material layer 230 has a top surface higher than top surfaces of the initial fins 210.
In one embodiment, the gate structure material layer 230 may be formed on the isolation structures 220 and the initial fins 210.
The gate structure material layer 230 includes a gate dielectric material layer on the semiconductor substrate 200 and the initial fins 210, and a gate material layer on the surface of the gate dielectric material layer.
For example, the gate dielectric material layer may be made of silicon dioxide; and the gate material layer may be made of polycrystalline silicon.
Then, a trench may be formed in the gate structure material layer 230 and the initial fins 210. The trench passes through the initial fins along a direction perpendicular to an extending direction of initial fins 210 and in parallel with a surface of the semiconductor substrate 200. As such, the initial fins 210 may be divided by the trenches to form fins from the initial fins 210.
In one embodiment, the method may further include: forming a mask layer on the gate structure material layer 230 before forming the trench. The mask layer has an opening. The gate structure material layer 230 and the initial fins 210 may be etched along the opening using the mask layer as a mask to form the trench.
FIG. 8 illustrates a structure based on FIG. 7 and FIG. 9 is a cross-section view along the A2-A3 direction in FIG. 8 . As shown in FIG. 8 and FIG. 9 , a mask layer 240 with an opening 241 may be formed on the gate structure material layer 230.
In one embodiment, the method for forming the mask layer 240 includes: forming an initial mask layer on the gate structure material layer 230; forming a patterned photoresist layer on the initial mask layer, which defines the position of the opening 241; etching the initial mask layer using the patterned photoresist layer as a mask to form the mask layer 240; and removing the patterned photoresist layer.
In one embodiment, the initial mask layer is a multiple-layer structure including an initial organic mask layer on the gate structure material layer 230 and an initial bottom anti-reflective coating on the initial organic mask layer. Correspondingly, the mask layer 240 is a multiple-layer structure including an organic mask layer on the gate structure material layer 230 and a bottom anti-reflective coating on the organic mask layer.
The initial organic mask layer may facilitate the surface planarization.
In one embodiment, the initial bottom anti-reflective coating, which may be made of silicon containing hydrocarbon for example, is formed to increase exposure precision during the formation of the patterned photoresist layer, and transfer the pattern in the initial bottom anti-reflective coating to the initial organic mask layer.
In other embodiments, the initial mask layer is a single-layer structure. Correspondingly, the mask layer is a single-layer structure. For example, the initial mask layer may be made of silicon nitride or silicon oxynitride.
FIG. 10 illustrates a structure based on FIG. 9 . As shown in FIG. 10 , the gate structure material layer 230 and the initial fins 210 may be etched along the opening 214 using the mask layer 240 as a mask. The trench 250 may be formed in the gate structure material layer 230 and the initial fins 210 (referring to FIG. 9 ). The trench 250 passes through the initial fins along a direction perpendicular to an extending direction of the initial fins 210 and in parallel with a surface of the semiconductor substrate 200, and passes through the plurality of the initial fins 210 to form the fins 211.
In one embodiment, the top size of the trench 250 is larger than its bottom size in the extending direction of the fins 211, which has the advantage of subsequent filling of the isolation film.
In other embodiments, the top size of the trench is less than or equal to its bottom size in the extending direction of the fins.
In one embodiment, the method for etching the gate structure material layer 230 and the initial fins 210 along the opening 214 using the mask layer 240 as a mask is described as the following: the first anisotropic dry etching may be used to etch the gate structure material layer 230 and the initial fins 210 along the opening 214 using the mask layer 240 as a mask, and then the initial trench may be formed in the gate structure material layer 230 and initial fins 210. After the first anisotropic dry etching, the second anisotropic dry etching may be used to etch the initial fins 210 at the bottom of the trench using the mask layer 240 as a mask, and then the trench 250 may be formed from the initial trench and the fins 211 may be formed from the initial fins 210. In one embodiment, the top size of the trench 250 is larger than its bottom size along the extending direction of the fins 211.
The top region of the trench 250 is referred to as a first trench region 250 a, and the bottom region of the trench 250 is referred to as a second trench region 250 b. The size of the first trench region 250 a is larger than that of the second trench region 250 b along the direction which is parallel to the extending direction of the fins 211.
In one embodiment, the first and second trench regions are of stepped shapes, where the difference between the top size and the bottom size is large which has the advantage of subsequent filling of the isolation film.
In one embodiment, in the first anisotropic dry etching process: gases may include CH4, CHF3, Ar and He; a flow rate of CH4 may be 50 sccm to 200 sccm; a flow rate of CHF3 may be 50 sccm to 300 sccm; a flow rate of Ar may be 200 sccm to 500 sccm; a flow rate of He may be 200 sccm to 500 sccm; a source radio frequency (RF) power may be 200 W to 1000 W; a bias voltage may be 200 V to 1000 V; and a chamber pressure may be 10 mtorr to 50 mtorr. In the second anisotropic dry etching process: gases may include O2, N2 and HBr; a flow rate of O2 may be 3 sccm to 10 sccm; a flow rate of N2 may be 10 sccm to 30 sccm; a flow rate of HBr may be 200 sccm to 500 sccm; a source RF power may be 500 W to 1000 W; a bias voltage may be 200 V to 700 V; and a chamber pressure may be 20 mtorr to 80 mtorr.
In the first and second anisotropic dry etching processes, byproducts may be produced. Regions which are covered by byproducts may be less etched by the first and second anisotropic dry etching processes. The byproducts from the first anisotropic dry etching process may accumulate at sidewall surfaces of the initial trench and edges of the bottom surface of the initial trench. The rate of generating byproducts in the second anisotropic dry etching process may be greater than the rate of generating byproducts in the first anisotropic dry etching process by adjusting parameters of the first and second anisotropic dry etching processes. The byproducts generated by the second anisotropic dry etching process may accumulate faster at the bottom edges of the initial trench, and the surface at the bottom edges of the initial trench covered by byproducts may increase larger, which may make stepped shapes of the first and second trench regions.
In other embodiments, parameters for the first and second anisotropic dry etching processes may be substantially same, and the processes may be performed continuously in a single, simplified step.
The isolation structure 220 may cover a portion of sidewalls of the fins 211.
In one embodiment, the trench 250 may expose top surfaces of the semiconductor substrate 200. In other embodiments, the bottom surface of the trench 250 may be higher than the top surface of the semiconductor substrate and lower than top surfaces of isolation structures, or the bottom surface of the trench may be higher than top surfaces of the isolation structures.
In one embodiment, the gate structure material layer 230, the initial fins 210, and the isolation structure 220 may be etched along the opening 214 using the mask layer 240 as a mask. The trench 250 may be in the isolation structure 220.
The opening 241 defines the position of the first trench region 250 a which is higher than top surfaces of the fins 211 and the position of the second trench region 250 b which is lower than top surfaces of the fins 211. The position of 250 b which is lower than top surfaces of the fins 211 may do not need to be separately defined by a mask process which may reduce the fabrication cost for semiconductor devices.
The trench 250 and the initial fins 210 may be formed in different steps, and the depth of the trench 250 may be adjusted independently from the formation of the initial fins 210. The shallow depth of the trench 250 in the fins 211 may be avoided, and then the isolation performance of subsequent isolation layer may be improved.
Referring to FIG. 11 , the isolation layer 260 may be formed in the trench 250 (referring to FIG. 10 ) and top surface of the isolation layer may be higher than top surfaces of the fins 211.
For example, the isolation layer 260 may be made of silicon dioxide.
The method of forming the isolation layer 260 includes: forming an isolation film in the opening 241 and the trench 250, and on the mask layer 240; and forming the isolation layer 260 by planarizing the isolation film to expose the surface of the mask layer 240.
In one embodiment, the method may further include: before forming the isolation layer 260, forming a barrier layer 270 on sidewalls of the trench 250 and the opening 241, and the bottom of the trench 250. The isolation layer 260 may be located on the barrier layer 270.
The barrier layer 270 may be made of silicon nitride.
The barrier layer 270 may block the isolation layer 260 from oxidizing the fins 211 during the formation of the isolation layer 260 and avoid a large size change of the fins 211.
The thickness of the barrier layer 270 may be 5 Angstroms to 10 Angstroms. If the thickness of the barrier layer 270 is larger than 10 Angstroms, the space occupied by the barrier layer 270 in the trench 250 and the opening 241 may be large. The subsequent space for the isolation layer formation may be small and the performance of the isolation layer may be reduced. If the thickness of the barrier layer 270 is smaller than 5 Angstroms, the barrier layer 270 may have less protection on the fins 211.
Referring FIG. 12 , after the formation of the isolation layer 260, the gate structures 280 on both sides of the isolation layer 260 may be formed by etching the gate structure material layer 230. The gate structures 280 may cross the fins 211 and cover a portion of sidewalls and a portion of top surfaces of the fins 211.
The isolation layer 260 and the gate structures 280 may be separated from each other.
In one embodiment, the method may further include: etching the mask layer 240 to form the gate protection layer 290 after forming the isolation layer 260 and before etching the gate structure material layer 230; etching the gate structure material layer 230 to form the gate structures 280 using the gate protection layer 290 as the mask. The gate protection layer 290 may be at the top of the gate structures 280.
The gate protection layer 290 may be made of silicon nitride or silicon oxynitride.
The gate structure material layer 230 may be on the isolation structure 220. After forming of the gate structures 280, the gate structures 280 may be also on the isolation structure 220.
In one embodiment, top surfaces of the isolation layer 260 may be higher than top surfaces of the gate structures 280. Top surfaces of the isolation layer 260 and the gate protection layer 290 may have similar heights. Correspondingly, there may be no need to form an additional gate structures on the isolation layer 260. Subsequently, the isolation layer and the gate structures 280 may be used to limit the formation of source/drain doped regions. There may be no need to use additional gate structures to limit the formation of source/drain doped regions.
In one embodiment, before forming the gate structure material layer 230, the isolation structure 220 covering a portion of sidewalls of the initial fins 210 may be formed on the semiconductor substrate 200. After forming the fins 211, the isolation structure 220 may cover a portion of sidewalls of the fins 211. After forming the isolation layer 260 in the trench 250, there may be no need to etch the isolation structure 220. It may avoid the loss of the isolation layer 260 because of the etching of the isolation structure 220 and improve the isolation performance of the isolation layer 260.
In one embodiment, the method may further include: forming the source/drain doped regions in the fins 211 on each side of the gate structure 280; and forming the source/drain doped regions in the fins 211 between the isolation layer 260 and the gate structure 280.
A process to form the source/drain doped regions may include: forming source/drain trenches in the fins 211 on sides of the gate structures 280; and forming source/drain doped regions in the source/drain trenches by an epitaxial growth process.
In one embodiment, the method may further include: forming the first spacers on the sidewalls of the gate structures 280 and the second spacers covering a portion of the fins 211 on the sidewalls of the isolation layer 260. The source/drain doped regions may be in the gate structures 280 and in the fins 211 on sides of the first spacers.
The first and second spacers may define the space for the source/drain doped regions, so the source/drain doped regions between the gate structures 280 and the isolation layer 260, adjacent to the gate structures 280 and adjacent to the isolation layer 260 may have uniform growth rates.
In one embodiment, the trenches in the gate structure material layer and between the fins may be formed in a one-single-step process, which may avoid misalignment between trenches higher than the top surfaces of the fins and trenches lower than the top surfaces of the fins. After forming the isolation layer in the trenches, the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.
Next, the trenches may be formed in the gate structure material layer which may define the location for trenches. No additional material may be needed to define the trenches. After forming the isolation layer, no additional etching may be needed to remove the gate structure material layer. Subsequently, the process may be simplified with low cost.
This disclosure embodiment may also provide a semiconductor device using the above method, as illustrated in FIG. 12 , including: a semiconductor substrate 200; the fins 211 on the semiconductor substrate 200; an isolation layer 260 in the fins 211; a gate structure 280 on both sides of the isolation layer 260; and the gate structures 280 crossing the fins 211 and covering a portion of top surfaces and sidewalls of the fins 211. The top surface of the isolation layer 260 may be higher than top surface of the fins 211. The isolation layer 260 may pass through the fins 211 along a direction perpendicular to an extending direction of the fins 211 and in parallel with a surface of the semiconductor substrate 200.
In a certain embodiment, FinFET device may be formed by self-aligned single diffusion break (SDB) process. For example, the gate structure material layer 230 (referring to FIG. 8 ) may include a poly a-Si, and the mask layer 240 (referring to FIG. 8 ) may include a silicon nitride hard mask. In this case, after the deposition and the chemical mechanical planarization of the dummy poly a-Si, the silicon nitride hard mask deposition may be performed. Subsequently, the SDB and the dummy poly a-Si trench may be formed. The silicon nitride spacer may be formed with the subsequent the silicon dioxide deposition and the chemical mechanical planarization. The gate structures may be formed by etching the gate structure material layer and the epi process may be followed.
In the present disclosure, the trenches in the gate structure material layer and between the fins may be formed in a one-single-step process, which may avoid misalignment between trenches higher than the top surfaces of the fins and trenches lower than the top surfaces of the fins. After forming the isolation layer in the trenches, the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.
In the present disclosure, the trenches may be formed in the gate structure material layer which may define the location for trenches. No additional material may be needed to define the trenches. After forming the isolation layer, no additional etching may be needed to remove the gate structure material layer. Subsequently, the process may be simplified with low cost.
In the present disclosure, a mask layer, which has an opening, may be formed on the gate structure material layer before forming the trench. The trench may be formed by etching the gate structure material layer and the initial fins along the opening using the mask layer as a mask. The opening defines the position of the first trench region which is higher than top surfaces of the fins and the position of the second trench region which is lower than top surfaces of the fins. The position of the second trench region which is lower than top surfaces of the fins may do not need to be separately defined by a mask process which may reduce the fabrication cost for semiconductor devices.
In the present disclosure, the trench and initial fins may be formed in different steps, and the depth of the trench may be adjusted independently from the formation of initial fins. The shallow depth of the trench in fins may be avoided, and then isolation performance of subsequent isolation layer may be improved.
In the present disclosure, before forming the gate structure material layer, the isolation structure covering a portion of sidewalls of the initial fins may be formed on the semiconductor substrate. After forming the fins, the isolation structure may cover a portion of sidewalls of the fins. After forming the isolation layer in the trench, there may be no need to etch the isolation structure. It may avoid the loss of the isolation layer because of the etching of isolation structure and improve the isolation performance of the isolation layer.
In the present disclosure, before forming the isolation layer, the barrier layer may be formed on sidewalls and the bottom of the trench. The barrier layer may block the isolation layer from oxidizing the fins during the formation of the isolation layer and avoid a large size change of the fins.
In the present disclosure, the isolation layer which is higher than the top surfaces of the fins may cover the isolation layer which is lower than the top surfaces of the fins. This may improve the isolation performance and meet the requirements of the process design.
The embodiments disclosed herein are exemplary only. Other applications, advantages, alternations, modifications, or equivalents to the disclosed embodiments are obvious to those skilled in the art and are intended to be encompassed within the scope of the present disclosure.

Claims (11)

What is claimed is:
1. A semiconductor device, comprising:
a semiconductor substrate;
fins on the semiconductor substrate;
an isolation layer formed on the semiconductor substrate, wherein the isolation layer has a top surface higher than top surfaces of the fins, each of the fins is divided into two portions by the isolation layer, the isolation layer is located between the two portions of each of the fins and extends along a direction perpendicular to an extending direction of the fins and in parallel with a surface of the semiconductor substrate, and the isolation layer is made of a first material;
a barrier layer formed on sidewalls and bottom of the isolation layer, wherein the barrier layer isolates the isolation layer from the fins and the semiconductor substrate, and the barrier layer is made of a second material different from the first material; and
gate structures on sides of the isolation layer.
2. The semiconductor device according to claim 1, wherein:
the isolation layer includes a first isolation portion and a second isolation portion below the first isolation portion.
3. The semiconductor device according to claim 2, wherein:
the first isolation portion has a top width greater than a bottom width of the second isolation portion.
4. The semiconductor device according to claim 2, wherein:
an interface plane between the first isolation portion and the second isolation portion is lower than the top surfaces of the fins.
5. The semiconductor device according to claim 2, wherein:
a bottom of the first isolation portion completely covers a top of the first isolation portion.
6. The semiconductor device according to claim 1, wherein:
the second material includes silicon nitride.
7. The semiconductor device according to claim 1, wherein:
a thickness of the barrier layer is 5 Angstroms to 10 Angstroms.
8. The semiconductor device according to claim 1, wherein:
the first material includes silicon dioxide.
9. The semiconductor device according to claim 1, wherein:
each of the gate structures includes a gate dielectric material layer on the semiconductor substrate and the fins, and a gate material layer on the gate dielectric layer.
10. The semiconductor device according to claim 9, wherein:
the gate dielectric material layer is made of a material including silicon dioxide; and
the gate material layer is made of a material including polycrystalline silicon.
11. The semiconductor device according to claim 1, further including:
source/drain doped regions formed in the fins between the isolation layer and the gate structures.
US17/247,984 2017-11-23 2021-01-04 Semiconductor device with fins Active 2039-05-07 US11742414B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/247,984 US11742414B2 (en) 2017-11-23 2021-01-04 Semiconductor device with fins

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201711186310.7 2017-11-23
CN201711186310.7A CN109830438B (en) 2017-11-23 2017-11-23 Semiconductor device and method of forming the same
US16/118,010 US10916479B2 (en) 2017-11-23 2018-08-30 Fabrication method for fin-based semiconductor device
US17/247,984 US11742414B2 (en) 2017-11-23 2021-01-04 Semiconductor device with fins

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/118,010 Division US10916479B2 (en) 2017-11-23 2018-08-30 Fabrication method for fin-based semiconductor device

Publications (2)

Publication Number Publication Date
US20210125876A1 US20210125876A1 (en) 2021-04-29
US11742414B2 true US11742414B2 (en) 2023-08-29

Family

ID=66534598

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/118,010 Active 2038-09-05 US10916479B2 (en) 2017-11-23 2018-08-30 Fabrication method for fin-based semiconductor device
US17/247,984 Active 2039-05-07 US11742414B2 (en) 2017-11-23 2021-01-04 Semiconductor device with fins

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/118,010 Active 2038-09-05 US10916479B2 (en) 2017-11-23 2018-08-30 Fabrication method for fin-based semiconductor device

Country Status (2)

Country Link
US (2) US10916479B2 (en)
CN (1) CN109830438B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113496948B (en) * 2020-03-18 2024-04-02 中芯国际集成电路制造(深圳)有限公司 Semiconductor device and method of forming the same
US11742347B2 (en) * 2020-07-31 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin end isolation structure for semiconductor devices

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170047226A1 (en) 2015-08-12 2017-02-16 International Business Machines Corporation Forming a contact for a tall fin transistor
US20170117275A1 (en) * 2015-10-22 2017-04-27 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US20170330957A1 (en) 2016-05-11 2017-11-16 International Business Machines Corporation Fabrication Of Vertical Field Effect Transistor Structure With Strained Channels
US20170358497A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US20180005892A1 (en) 2016-06-29 2018-01-04 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US10096598B2 (en) 2016-02-05 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for fabricating fin field effect transistors
US10103246B2 (en) 2016-06-09 2018-10-16 International Business Machines Corporation Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3737439B2 (en) * 2002-02-21 2006-01-18 ヤマハ株式会社 Anti-vibration support
TWI249846B (en) * 2004-08-23 2006-02-21 Winbond Electronics Corp Memory device
US7371645B2 (en) * 2005-12-30 2008-05-13 Infineon Technologies Ag Method of manufacturing a field effect transistor device with recessed channel and corner gate device
US20070284650A1 (en) * 2006-06-07 2007-12-13 Josef Willer Memory device and a method of forming a memory device
DE102008030864B4 (en) * 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device as a double-gate and tri-gate transistor, which are constructed on a solid substrate and method for producing the transistor
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
CN102013438A (en) * 2009-09-07 2011-04-13 力士科技股份有限公司 Groove MOSFET (Metal-Oxide Semiconductor Field Effect Transistor) device and making method thereof
CN103000694B (en) * 2012-12-13 2015-08-19 京东方科技集团股份有限公司 A kind of thin-film transistor and preparation method thereof, array base palte and display unit
KR102021768B1 (en) * 2013-03-15 2019-09-17 삼성전자 주식회사 Fabricating method of semiconductor device and the semiconductor device fabricated using the method
CN104064468B (en) * 2013-03-21 2017-07-14 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
CN104425592B (en) * 2013-08-20 2017-12-29 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof, SRAM and forming method thereof
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9263316B2 (en) * 2014-02-13 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device with void-free shallow trench isolation
CN105448970B (en) * 2014-06-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 Bipolar junction transistor and forming method thereof
CN106298520B (en) * 2015-05-19 2019-09-27 中芯国际集成电路制造(上海)有限公司 Manufacturing method of semiconductor device, semiconductor devices and electronic device
CN106558494B (en) * 2015-09-29 2019-05-28 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
CN106935635B (en) * 2015-12-30 2020-02-07 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
CN106952819B (en) * 2016-01-06 2019-12-03 中芯国际集成电路制造(上海)有限公司 The forming method of fin formula field effect transistor
CN107369643A (en) * 2016-05-11 2017-11-21 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170047226A1 (en) 2015-08-12 2017-02-16 International Business Machines Corporation Forming a contact for a tall fin transistor
US20170117275A1 (en) * 2015-10-22 2017-04-27 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US10096598B2 (en) 2016-02-05 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for fabricating fin field effect transistors
US20170330957A1 (en) 2016-05-11 2017-11-16 International Business Machines Corporation Fabrication Of Vertical Field Effect Transistor Structure With Strained Channels
US20170358497A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Fabrication of a vertical transistor with self-aligned bottom source/drain
US10103246B2 (en) 2016-06-09 2018-10-16 International Business Machines Corporation Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
US20180005892A1 (en) 2016-06-29 2018-01-04 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate

Also Published As

Publication number Publication date
US20210125876A1 (en) 2021-04-29
US10916479B2 (en) 2021-02-09
CN109830438B (en) 2022-02-15
US20190157136A1 (en) 2019-05-23
CN109830438A (en) 2019-05-31

Similar Documents

Publication Publication Date Title
US8936986B2 (en) Methods of forming finfet devices with a shared gate structure
US10714613B2 (en) Semiconductor device
US9159630B1 (en) Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
KR101946765B1 (en) Semiconductor device and manufacturing method thereof
US11049973B2 (en) Semiconductor device and fabrication method thereof
CN107785315B (en) Method for forming semiconductor structure
US11456216B2 (en) Fabrication method of semiconductor structure
KR20200113181A (en) Liner structure in interlayer dielectric structure for semiconductor devices
US11545398B2 (en) Semiconductor device
US20230238245A1 (en) Semiconductor structure and forming method thereof
US11610980B2 (en) Method for processing a FinFET device
US11742414B2 (en) Semiconductor device with fins
US11955550B2 (en) Semiconductor device
EP3285298A1 (en) Electrostatic discharge protection structure and fabricating method thereof
US11658076B2 (en) Semiconductor device and fabrication method thereof
CN113838934A (en) Semiconductor structure and forming method thereof
CN108155100B (en) Method for forming semiconductor device
CN110739265A (en) Semiconductor structure and forming method thereof
US11374116B2 (en) Semiconductor devices
US11075121B2 (en) Semiconductor devices and fabrication methods thereof
CN108155148B (en) Method for forming semiconductor structure
US20240097038A1 (en) Semiconductor device and method of fabricating the same
US10651092B2 (en) Semiconductor device and fabrication method thereof
CN117913138A (en) Structure of three-dimensional transistor with precise geometry and method for manufacturing the same
CN113745112A (en) Method for forming semiconductor device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE