JP2001338988A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JP2001338988A
JP2001338988A JP2000159544A JP2000159544A JP2001338988A JP 2001338988 A JP2001338988 A JP 2001338988A JP 2000159544 A JP2000159544 A JP 2000159544A JP 2000159544 A JP2000159544 A JP 2000159544A JP 2001338988 A JP2001338988 A JP 2001338988A
Authority
JP
Japan
Prior art keywords
crystal silicon
germanium
single crystal
layer
layer made
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000159544A
Other languages
English (en)
Other versions
JP2001338988A5 (ja
Inventor
Katsuya Oda
克矢 小田
Katsuyoshi Washio
勝由 鷲尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2000159544A priority Critical patent/JP2001338988A/ja
Priority to TW090107891A priority patent/TW502443B/zh
Priority to US09/824,225 priority patent/US6724019B2/en
Priority to KR1020010022292A priority patent/KR100783980B1/ko
Publication of JP2001338988A publication Critical patent/JP2001338988A/ja
Priority to US10/738,544 priority patent/US6995054B2/en
Publication of JP2001338988A5 publication Critical patent/JP2001338988A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0623Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】MODFETと他の素子との段差が小さく、微
細加工に適した高速動作可能な半導体装置を得る。 【解決手段】MODFETの真性部分8,9を、半導体
基板1に側面が絶縁膜7で底面が単結晶シリコン1の溝
を形成し、この溝内に選択成長させることにより形成す
る。 【効果】同一基板に混載する他の素子との段差をなくす
ことができ、各素子の微細化,高集積化が可能となる。
同時に、灰船長を短くでき、低消費電力化が可能とな
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、変調ドープ電界効
果トランジスタを有する半導体装置及びその製造方法に
係り、特に、単結晶シリコンと単結晶シリコン・ゲルマ
ニウムからなる多層膜中にチャネルを形成した変調ドー
プ電界効果トランジスタとMOSFET若しくはバイポ
ーラトランジスタを同一基板上に形成した半導体装置及
びその製造方法に関する。
【0002】
【従来の技術】単結晶シリコンと単結晶シリコン・ゲル
マニウムからなる多層膜の中にpチャネルを形成した従
来のp型変調ドープ電界効果トランジスタ(pMODF
ET)は、例えばエレクトロニクス レターズ 199
3年 第29巻 486頁(Electoronics
Letters 1993,vol.29,p.48
6)に記載されている。この従来例のpMODFETの
断面構造を図45に示す。
【0003】図45において、参照符号101はシリコ
ン基板を示し、このシリコン基板101上に単結晶シリ
コンからなるバッファ層102を形成する。バッファ層
102上にp型単結晶シリコンからなるキャリア供給層
103と、単結晶シリコンからなるスペーサー層104
を形成し、単結晶シリコン・ゲルマニウムからなるp型
チャネル層105と単結晶シリコンからなるキャップ層
106を順次形成する。単結晶ゲルマニウムの格子定数
は単結晶シリコンの格子定数よりも約4%大きいので、
単結晶シリコン層に挟まれることによって単結晶シリコ
ン・ゲルマニウム層は圧縮歪みを受ける。その結果、荷
電子帯において正孔に対してエネルギーの低い井戸層と
なるため、キャリア供給層103から供給された正孔は
チャネル層105に集まって二次元正孔ガスを形成し、
トランジスタ動作を行う。ゲート電極107,108を
形成した後、ボロンを選択的にイオン注入することによ
りソース109及びドレイン110を形成する。次い
で、トランジスタの周辺部分をエッチングし、ソース、
ドレインに電極111を形成する。
【0004】pMODFETとしては、この他に単結晶
シリコン・ゲルマニウムからなるバッファ層を用い、バ
ッファ層よりもゲルマニウム組成比の高いチャネル層を
形成した例も報告されている。たとえば、アイ イー
イー イー エレクトロンデバイス レターズ 199
3年 第14巻 205頁(IEEE Electro
n Device Letters 1993、vol
14、p.205)に記載されているように、ゲルマニ
ウム組成比が70%であるバッファ層を形成し、この上
にキャリア供給層と障壁層に挟まれて、単結晶ゲルマニ
ウムからなるチャネル層を形成している。このように、
ゲルマニウム組成比を高くすることによって、チャネル
中の移動度の向上を図っている。
【0005】同様に、単結晶シリコンと単結晶シリコン
・ゲルマニウムからなる多層膜の中にnチャネルを形成
した従来のn型変調ドープ電界効果トランジスタ(nM
ODFET)は、例えばエレクトロニクス レターズ
1992年 第28巻 160頁(Electoron
ics Letters 1992,vol.28,
p.160)に記載されている。この従来例のnMOD
FETの断面構造を図46に示す。
【0006】図46において、参照符号101はシリコ
ン基板を示し、このシリコン基板101上に単結晶シリ
コンゲルマニウムからなるバッファ層112を形成す
る。バッファ層112は、表面ではシリコン・ゲルマニ
ウム本来の格子定数を持った仮想基板となるものであ
り、表面において良好な結晶性が求められる。単結晶シ
リコン基板上に単結晶シリコン・ゲルマニウムをエピタ
キシャル成長すると、基板と同じ原子間隔で成長しよう
とするために単結晶シリコン・ゲルマニウム層は圧縮歪
みを受け、成長面内の格子定数は単結晶シリコンの格子
定数となる。そこで、歪みを緩和する転移を積極的に導
入し、基板となっている単結晶シリコンの影響をなくす
必要がある。例えば、シリコン・ゲルマニウム層の厚さ
が1.5μmの間で、ゲルマニウム組成比をシリコン基
板側で5%、及び表面側では30%となるように変化さ
せることにより、バッファ層112の内部のみに転移を
閉じこめることができ、表面における結晶性は良好とな
る。バッファ層112上にはバッファ層112の表面と
同じゲルマニウム組成比をもつ単結晶シリコン・ゲルマ
ニウムからなる第2のバッファ層113を形成しキャリ
アに対する障壁層とする。次いで、単結晶シリコンから
なるチャネル層114、単結晶シリコン・ゲルマニウム
からなるスペーサー層115、n型単結晶シリコン・ゲ
ルマニウムからなるキャリア供給層116を形成する。
このような多層膜構造にすることにより、単結晶シリコ
ン層114は単結晶シリコン・ゲルマニウムの格子定数
を持って成長するために引っ張り応力を受ける。その結
果、伝導帯の電子に対するエネルギーは単結晶シリコン
チャネル層114がもっとも低くなり、スペーサー層1
15を挟んで形成されたキャリア供給層116から供給
された電子はチャネル層114に溜まって二次元電子ガ
スを形成する。表面には単結晶シリコン・ゲルマニウム
からなるキャップスペーサー層117及び表面の保護膜
となる単結晶シリコンからなるキャップ層118を形成
する。ゲート電極119,120を形成し、リンをイオ
ン注入することによりソース121及びドレイン122
を形成する。最後に、トランジスタの周辺部分をエッチ
ングすることにより、トランジスタの真性部分となる単
結晶シリコン及び単結晶シリコン・ゲルマニウムからな
る多層膜を島状に加工し、ソース,ドレインに電極12
3を形成する。
【0007】さらに、単結晶シリコンと単結晶シリコン
ゲルマニウムの多層膜中にnMODFETとpMODF
ETを同時に作成し、相補型にしたものが、例えばアイ
イー イー イー トランザクションズ オン エレ
クトロン デバイセズ 1996年 第43巻 122
4頁(IEEE Transactions onEl
ectron Devices 1996、vol4
3、p.1224)に記載されている。この従来例の相
補型変調ドープ電界効果トランジスタ(cMODFE
T)の断面構造を図47に示す。
【0008】図47において、参照符号101はシリコ
ン基板を示し、このシリコン基板101上にp型にドー
プされた単結晶シリコン・ゲルマニウムからなるバッフ
ァ層124を形成する。シリコン基板101とバッファ
層124の格子定数の違いによる歪みをバッファ層12
4内部のみで緩和させることにより、単結晶シリコンゲ
ルマニウム層の格子定数となった結晶性の良好な仮想的
な基板を形成する。pMODFETを形成する領域のみ
にn型ドーパントをイオン注入することによりnウェル
125を形成する。バッファ層124上にバッファ層と
同じゲルマニウム組成比をもつ単結晶シリコンゲルマニ
ウムからなるスペーサー層126と、やはり同じゲルマ
ニウム組成比を持つn型単結晶シリコンゲルマニウムか
らなるn型キャリア供給層127と単結晶シリコンゲル
マニウムからなる第2のスペーサー層128と単結晶シ
リコンからなるn型チャネル層129と、バッファ層1
24の表面よりもゲルマニウム組成比の高い単結晶シリ
コンゲルマニウムからなるp型チャネル層130を順次
積層する。表面を単結晶シリコンからなるキャップ層1
31及びシリコン酸化膜132で覆った後、ゲート電極
133を形成する。ゲート電極をマスクにして、pMO
DFETを形成する領域にはp型ドーパントをp型チャ
ネル層130よりも深くイオン注入することによりpM
ODFETのソース134及びドレイン135を形成
し、nMODFETを形成する領域にはn型ドーパント
をn型チャネル層129よりも深くイオン注入すること
によりnMODFETのソース136及びドレイン13
7を形成する。
【0009】また、選択エピタキシャル成長によりチャ
ネル層を形成した電界効果トランジスタ(FET)が、
例えば特開平5−74812号公報に記載されている。
この従来例のFETの断面構造を図48に示す。
【0010】図48において、参照符号101はシリコ
ン基板を示し、このシリコン基板101上にフィールド
酸化膜138を形成する。フィールド酸化膜138上に
高濃度n型多結晶シリコン層139及びゲート分離絶縁
膜140を選択的に形成しゲート領域に開口部を設け
る。開口部側壁にシリコン窒化膜141を形成し、フィ
ールド酸化膜138をサイドエッチすることにより高濃
度n型多結晶シリコン139のひさしを形成する。開口
部の底面にはシリコン基板101が露出しているため、
ここに単結晶シリコンゲルマニウムからなるチャネル層
142を形成し、同時に高濃度n型多結晶シリコン13
9のひさし底面からは多結晶シリコンゲルマニウム層1
43が堆積する。次いで、単結晶シリコン層144と多
結晶シリコン層145を同時に選択的に形成することに
より高濃度n型多結晶シリコンからなるソース及びドレ
イン引き出し電極とチャネル層142が自動的に接続さ
れる。絶縁膜146を開口部側壁に選択的に形成した
後、単結晶シリコン層をエピタキシャル成長し、ゲート
分離絶縁膜140にソース及びドレイン取り出し部分を
開口し、最後に電極148を形成する。
【0011】
【発明が解決しようとする課題】単結晶シリコンと単結
晶シリコン・ゲルマニウムのヘテロ構造を利用してチャ
ネル層を形成したMODFETでは、シリコン・ゲルマ
ニウムの歪みを緩和するために比較的厚いバッファ層が
必要である。前述した従来例のMODFETでは、ウェ
ハ全面にバッファ層やシリコンとシリコン・ゲルマニウ
ムからなる多層膜が形成されるため、従来の技術から考
えられる方法では、MOSFETやバイポーラトランジ
スタなどとの混載を行うには、これらのバッファ層や多
層膜を除去する必要がある。従来例のpMODFETを
シリコンnMOSFETと同一基板上に混載する場合の
プロセスフローを検討した結果を図49,図50に示
す。シリコン基板150の一部にpウェル151及びn
ウェル152をイオン注入によって形成する(図49
(a)参照)。次いで、シリコン基板150全面に単結
晶シリコン・ゲルマニウム層からなるバッファ層153
と単結晶シリコンと単結晶シリコン・ゲルマニウムから
なる多層膜154をエピタキシャル成長する(図49
(b)参照)。この場合、シリコン基板150全面にお
いて単結晶シリコン面が露出しているため、バッファ層
153と多層膜154は全面単結晶層として成長する。
次いで、pMODFETを形成する部分を残して、バッ
ファ層153と多層膜154を除去する。このとき、n
MOSFETとpMODFETの間の段差はpMODF
ETのバッファ層153とシリコン及びシリコン・ゲル
マニウムの多層膜154の厚さに、エッチングによって
シリコン基板150に生じる段差が加わったものになる
(図49(c)参照)。次いで、pMODFETにゲー
ト絶縁膜155、ゲート電極156及びゲート側壁絶縁
膜157を形成し、nMOSFETではゲート絶縁膜1
58、ゲート電極159及びゲート側壁絶縁膜160を
形成する(図50(d)参照)。最後に、選択的にp型
ドーパントをイオン注入してpMODFETのソース1
61とドレイン162を形成し、n型ドーパントを選択
的にイオン注入してnMOSFETのソース163とド
レイン164を形成する(図50(e)参照)。
【0012】また、フィールド絶縁膜と素子分離絶縁領
域を用いた場合の、プロセスフローを検討した結果を図
51,図52に示す。シリコン基板150上にnMOS
FET及びpMODFETの真性領域を形成する部分以
外の領域にフィールド絶縁膜165を形成し、トランジ
スタ間の分離を行うために、素子分離絶縁膜166を形
成する(図51(a)参照)。そして、nMOSFET
とpMODFETを形成する領域に、それぞれp型及び
n型ドーパントをイオン注入することによってpウェル
151及びnウェル152を形成する(図51(b)参
照)。ここでエピタキシャル成長により基板全面にバッ
ファ層153及び単結晶シリコンと単結晶シリコン・ゲ
ルマニウムの多層膜154を形成する。このとき、シリ
コン基板上には単結晶シリコン・ゲルマニウム層及び単
結晶シリコン層の多層膜が形成され、フィールド絶縁膜
165上及び素子分離絶縁膜166上には多結晶シリコ
ン・ゲルマニウム層と多結晶シリコン層の多層膜が形成
される(図51(c)参照)。nMOSFETを形成す
る領域ではシリコン基板150の表面を露出する必要が
あるため、pMODFETを形成する領域を残して、シ
リコン及びシリコン・ゲルマニウムからなる多層膜15
4とバッファ層153を除去する(図52(d)参
照)。pMODFETにゲート絶縁膜155、ゲート電
極156及びゲート側壁絶縁膜157を形成し、nMO
SFETではゲート絶縁膜158、ゲート電極159及
びゲート側壁絶縁膜160を形成した後、選択的にp型
ドーパントをイオン注入してpMODFETのソース1
61とドレイン162を形成し、n型ドーパントを選択
的にイオン注入してnMOSFETのソース163とド
レイン164を形成する(図52(e)参照)。この結
果、pMODFETとnMOSFETの間の段差はpM
ODFETのバッファ層153とシリコン及びシリコン
・ゲルマニウムの多層膜154の厚さとほぼ等しくな
る。
【0013】前記両プロセスからもわかるように、MO
DFETとMOSFETなどのような他のデバイスを同
一基板上に混載する場合、MODFETの真性部分以外
の領域を除去することにより、少なくともバッファ層と
シリコンとシリコン・ゲルマニウムの多層膜の厚さの段
差が発生する。この段差が大きくなると、ゲート電極や
配線等のパターンをフォトリソグラフィーによって形成
する際に、露光に用いる光の波長とパターンのサイズに
よっては焦点が合わずにパターンが解像できないという
問題がある。波長365nmのi線を用いた場合、最小
寸法0.5μmのパターンを解像するには、焦点深度は
約1.7μm、また、最小寸法0.2μmのパターンを
解像するには、焦点深度は約1.0μmとなるため、そ
れ以上の段差が生じる場合は、ゲートの寸法を大きくす
る必要がある。従って、ゲート長の縮小が困難となり、
トランジスタの高性能化ができないという問題が生じ
る。また、MODFETにおけるバッファ層と単結晶シ
リコンとシリコンゲルマニウムの多層膜を形成した後に
他のデバイスを作成すると、絶縁膜の堆積等に際しての
熱処理が増えるため、キャリア供給層からチャネル層へ
ドーパントが拡散してしまう。その結果、トランジスタ
動作時のキャリアがドーパントイオンと衝突するように
なるため、高速化及び低雑音化が困難となるという問題
がある。これとは逆に、MOSFETやバイポーラトラ
ンジスタを先に形成した基板にMODFETを形成した
場合、基板全面に堆積した厚いバッファ層を除去する
と、先に形成してあったデバイスにエッチングのダメー
ジが加わり、性能が劣化するという問題が生じる。これ
に対して、エッチングに対する保護膜を形成しておく
と、その保護膜の堆積やMODFET形成領域における
保護膜の除去、及びコンタクトのための保護膜への開口
部形成などの工程が新たに必要になるために、工程数が
大幅に増加し、コストが上昇するという問題が生じる。
【0014】本発明の目的は、単結晶シリコンと単結晶
シリコン・ゲルマニウムの多層膜からなるヘテロ構造中
にチャネルを形成したMODFETとMOSFETやバ
イポーラトランジスタとを同一基板上に混載した半導体
装置において、基板上の各デバイス形成領域の段差が小
さく、熱処理が少ないために高速動作及び低雑音化が可
能な上に、スループットよく製造することのできる半導
体装置とその製造方法を提供することにある。
【0015】
【課題を解決するための手段】本発明の一実施態様によ
れば、半導体基板に溝を形成し、この溝内に変調ドープ
電界効果トランジスタ(MODFET)の真性部分を埋
め込み形成することにより、同一半導体基板にMOSF
ETを混載した場合においても、各素子間での段差を解
消することができるので、上述したフォトリソグラフィ
ーにおける問題を引き起こすことなく、ゲート電極や配
線等を各素子形成領域で一括して、かつ、パターン寸法
を縮小して形成することができる。
【0016】また、MODFETの真性部分を形成した
後は、ゲート絶縁膜,ゲート電極等々の形成を各素子に
対して同一工程で行うことができるので、熱処理の不要
な増加を招くことなく、キャリア供給層からチャネル層
へのドーパント拡散を抑制でき、MODFETの高速
化,低雑音化が可能となる。さらに、半導体基板の溝内
へのバッファ層の形成を、溝側面及びMOD形成領域外
を絶縁膜で覆い選択成長により行うことにより、他の素
子形成領域のバッファ層除去を行う必要がなくなり、他
の素子の特性劣化を回避することができる。
【0017】また、MODFETの真性部分において、
単結晶シリコンと単結晶シリコン・ゲルマニウムからな
る多層膜中にへテロ接合によるキャリア閉じこめ効果を
利用したチャネル層をもうけ、なおかつチャネル層には
ドーピングせずに、スペーサー層によって隔てられたキ
ャリア供給層のみにドーピングを行うことにより、キャ
リアがドーパントのイオンと衝突することがないことか
ら、キャリアの移動度を向上させることができる。さら
に、チャネルをヘテロ接合界面に設けるため、良好な結
晶性を持ったヘテロ接合を形成することにより、結晶欠
陥などに起因した界面準位との散乱が発生せず、MOD
FETの低雑音化が可能となる。
【0018】また、pMODFETでは圧縮歪みを受け
た単結晶シリコン・ゲルマニウム層、nMODFETで
は引っ張り歪みを受けた単結晶シリコン層中にチャネル
を形成することにより、歪みの効果でエネルギー準位が
分裂し、バンド間散乱が低減できることからチャネル中
のキャリアの移動度を増大させることができる。
【0019】なお、同一半導体基板上にシリコン・ゲル
マニウムヘテロバイポーラトランジスタ(SiGe−H
BT)と変調ドープ電界効果トランジスタ(MODFE
T)を混載する場合においては、半導体基板に各素子に
対する複数の溝を形成し、この溝内にSiGe−HBT
のコレクタ層とMODFETのバッファ層とをそれぞれ
埋め込み形成することにより、上述したCMSFETを
混載した場合と同様の効果を得ることができる。
【0020】
【発明の実施の形態】以下に、本発明に係る半導体装置
及びその製造方法の具体的な実施例につき、添付図面を
参照しながら詳細に説明する。
【0021】<実施例1>図1は、本発明に係る半導体
装置の第1の実施例を示す断面構造図であり、pMOD
FETとnMOSFETを同一基板上に形成した例であ
る。
【0022】シリコン基板1上に形成されたpMODF
ETは、nウェル6,バッファ層8,単結晶シリコンと
単結晶シリコンゲルマニウムからなる多層膜9,ゲート
絶縁膜10a,ゲート電極11a,ソース15及びドレ
イン16によって構成されている。一方、nMOSFE
Tは、pウェル5,ゲート絶縁膜10b,ゲート電極1
1b,ソース13及びドレイン14によって構成されて
いる。
【0023】以下、図1に示した構造の半導体装置の製
造方法を、図2及び図3を用いて説明する。
【0024】まず、シリコン基板1上に選択的にフィー
ルド絶縁膜2を形成する(図2(a)参照)。このフィ
ールド絶縁膜2の形成方法としては、例えば、シリコン
基板1をエッチングして段差を形成した後にシリコン酸
化膜を堆積し、化学的機械研磨法(CMP)を用いてシ
リコン基板1の表面を部分的に露出することもできる
し、別の方法としては、最終的にシリコン面を露出させ
る部分のみに窒化膜を形成し、それ以外の部分を酸化す
るLOCOS法を用いることもできる。
【0025】次いで、隣接する素子との境界に溝を形成
し、溝の中に絶縁物を埋め込むことにより素子分離領域
3を形成する。この他に素子分離領域3の溝に埋め込む
物質としては、絶縁膜と多結晶シリコンの積層膜でも良
い。
【0026】尚、以下に述べる他の実施例でも、フィー
ルド酸化膜2及び素子分離領域3に関しては同様であ
る。
【0027】次いで、全面に絶縁膜4を形成する。この
絶縁膜4は、後ほど選択エピタキシャル成長のマスク材
となるため、選択性の大きいシリコン酸化膜にすれば好
適である。そして、nMOSFETを形成する領域にp
型ドーパントを選択的にイオン注入することによりpウ
ェル5を形成し、pMODFETを形成する領域にn型
ドーパントを選択的にイオン注入することによりnウェ
ル6をそれぞれ形成する(図2(b)参照)。
【0028】次いで、pMODFETの真性部分を形成
するために絶縁膜4とフィールド酸化膜2に開口部を形
成し、この開口部の側壁にシリコン窒化膜7を選択的に
形成する(図2(c)参照)。
【0029】次いで、開口部の底部に露出したシリコン
基板1上に、単結晶シリコン・ゲルマニウムからなるバ
ッファ層8を、選択エピタキシャル成長によって形成す
る(図3(a)参照)。このバッファ層8ではシリコン
基板1側から表面に向けてゲルマニウム組成比を増加さ
せる。これによって、バッファ層8の内部のみに単結晶
シリコンと単結晶シリコン・ゲルマニウム層との格子定
数の違いによるひずみを緩和することによって、表面で
の結晶性は良好で、格子定数は単結晶シリコン・ゲルマ
ニウム層の値となる仮想的な基板を形成する。例えば、
ゲルマニウム組成比をシリコン基板1側での5%から表
面側で30%まで均一に上昇させた場合、バッファ層8
の厚さを約1.5μmとすれば、歪みが内部で完全に緩
和した結晶面が得られる。また、均一ではなく、階段状
にゲルマニウム組成比を増加させることにより、バッフ
ァ層8の厚さを低減することができ、約1.0μmで良
好な結晶表面が得られる。
【0030】ここで、選択成長により形成した単結晶シ
リコン層若しくは単結晶シリコン・ゲルマニウム層の形
状について説明する。図4に選択エピタキシャル成長を
行った単結晶層の断面形状をしめす。
【0031】図4(a)に示すように、シリコン基板6
5上に形成されたシリコン酸化膜66の開口部に単結晶
シリコン層若しくは単結晶シリコンゲルマニウム層67
を選択エピタキシャル成長により形成すると、シリコン
基板65とシリコン酸化膜66の境界からある決まった
方位を持った結晶面が発生する。代表的な結晶面として
は(111)面と(311)面が挙げられる。シリコン
酸化膜66上では、シリコンの原料ガスと表面分子が反
応して以下のような反応が生じる。例えば、シリコンの
原料ガスとしてジシラン(Si2H6)を用いたとき、 Si2H6 + 2SiO2 → 4SiO↑ + 3H2↑ また、シリコンの原料ガスとしてモノシラン(SiH
4)を用いたとき、 SiH4 + SiO2 → 2SiO↑ + 2H2↑ さらに、ジクロルシランを原料ガスとして用いると、 SiH2Cl2 + SiO2 → 2SiO↑ + 2HC
l↑ といった還元反応が生じる。また、ゲルマニウムの原料
ガスであるゲルマン(GeH4)についても同様であ
る。ゲルマンに関しての還元反応は、 GeH4 + SiO2 → SiO↑ + GeO↑ + 2
H2↑ となる。上記の還元反応は数多くの反応のうちの一部で
あり、この他にも原料ガスが分解してエネルギーが高い
状態になったラジカル分子と酸化膜との還元反応なども
存在する。その結果、酸化膜上では上記還元反応による
エッチングと原料ガスが分解して生じる堆積とが同時に
進行しており、成長温度及び圧力に依存してエッチング
と堆積の大小関係が変化する。上記の還元反応だけでは
選択性を保持できる膜厚に限界があるため、比較的厚い
単結晶シリコン層または単結晶シリコン・ゲルマニウム
層を選択エピタキシャル成長する場合、原料ガスに加え
て、塩素ガス(Cl)や塩化水素ガス(HCl)といっ
たハロゲン系のガスを添加して、シリコン層自体のエッ
チングを行う。その反応には、 Si + 2Cl2 → SiCl4↑ Si + 2HCl → SiH2Cl2↑ といったものがある。以上の反応が同時に進行する結
果、選択性が維持されている状態では、シリコン酸化膜
上にシリコン若しくはシリコン・ゲルマニウムは堆積し
ないために、シリコン基板65とシリコン酸化膜66の
境界では成長が起こらない。この境界で成長が進行しな
いと、表面原子の再配列によってエネルギー的に安定と
なった(111)面や(311)面が発生し、開口部の
中心に存在する(100)面での成長が進行するに従い
ファセットが大きくなる。
【0032】前述した原料ガスによる還元反応はシリコ
ン酸化膜に対する還元反応であるため、例えばシリコン
窒化膜では還元反応が存在しない。従って、シリコン基
板65上に側面がシリコン窒化膜68の開口部を形成す
ると、シリコン酸化膜と比較して選択性が弱くなるため
に、シリコン窒化膜上に多結晶シリコン若しくは多結晶
シリコン・ゲルマニウムが堆積しやすくなる。しかし、
ファセットの発生という点で見ると、選択性が弱くなる
ために、エピタキシャル成長を続けるとシリコン基板6
5とシリコン窒化膜68の境界で成長が進行するため、
シリコン窒化膜に接して単結晶シリコン若しくは単結晶
シリコン・ゲルマニウム層67が成長する(図4(b)
参照)。シリコン窒化膜68との境界では、表面エネル
ギーの低さと成長速度が遅いことからファセットが発生
することもあるが、その大きさはシリコン酸化膜の開口
部と比較して非常に小さくなる。
【0033】以上のように、側壁に窒化膜7を有するフ
ィールド酸化膜2の開口部内に単結晶シリコン・ゲルマ
ニウムを選択エピタキシャル成長することによって、フ
ァセットの発生が抑制されたバッファ層を形成すること
が可能となる。または、フィールド絶縁膜2をシリコン
窒化膜で形成してもよい。この場合は側壁にシリコン窒
化膜を形成する必要はない。
【0034】次に、選択エピタキシャル成長の条件につ
いて説明する。単結晶シリコン若しくは単結晶シリコン
・ゲルマニウムのエピタキシャル成長には、固体ソース
MBE(Molecular Beam Epitaxy)法,CVD(Chemic
al Vapor Deposition)法,ガスソースMBE法を用い
ることができるが、選択成長を行うためにはCVD法や
ガスソースMBE法がより好適である。
【0035】CVD法とは、基板表面にシリコンまたは
ゲルマニウムの原料ガスやドーピングガスを供給し、熱
エネルギーや紫外線などにより原料ガス及びドーピング
ガスを分解することによって基板上に単結晶層を形成す
るものである。シリコンの原料ガスとして、モノシラン
(SiH4)やジクロルシラン(SiH2Cl2)など
をはじめとするシリコンの水素化物及び塩化物ガスを用
いることができ、ゲルマニウムの原料ガスとしてはゲル
マン(GeH4)などのゲルマニウムの水素化物及び塩
化物ガスを用いることができる。また、ドーピングガス
としてジボラン(B2H6)、ホスフィン(PH3)な
どのIII族元素及びV族元素の水素化物ガスを用いる
ことができる。また、これらの原料ガス及びドーピング
ガスは、水素などで希釈して用いることもできる。ま
た、選択成長を行うためには、前述したようにシリコン
酸化膜やシリコン窒化膜といった選択成長のマスク材上
に堆積したシリコンの核をエッチングするため、塩素ガ
ス(Cl2)や塩化水素ガス(HCl)といったハロゲ
ン系のガスを添加する必要がある。エピタキシャル成長
温度が750℃、成長圧力が5e4Pa、SiH2Cl
2流量20ml/min、ゲルマン流量1ml/min
流した場合、シリコン酸化膜上及びシリコン窒化膜上に
多結晶シリコン・ゲルマニウムが堆積しないために必要
とされるHCl流量は20から80ml/minであ
る。これよりもHCl流量が少ないと選択性が崩れてマ
スク材の上に多結晶シリコン・ゲルマニウムが堆積を始
め、逆にこれよりもHCl流量が多いと単結晶シリコン
・ゲルマニウム層が成長しない。また、温度範囲は、単
結晶シリコン若しくは単結晶シリコン・ゲルマニウムが
成長を始める600℃以上で、上限は結晶欠陥が生じ始
める900℃以下の範囲である。この温度範囲で、成長
圧力は基板上に均一にガスが供給される1000Pa以
上で、上限はガスを排気しながら成長するために10
1,320Pa以下であればよい。これらの成長温度及
び成長圧力のもとで選択成長を実現するためには、原料
ガスによるマスク材への堆積よりもハロゲンガスによる
エッチングの方が強く、同時に単結晶基板上ではエッチ
ングよりも堆積の方が強い領域を実現するガス流量を選
択する必要がある。
【0036】一方、ガスソースMBE法では、CVD法
と比較してより低温で制御性よくエピタキシャル成長す
ることが可能であり、そのためには、より反応性の高い
ジシラン(Si2H6)等のガスが適している。ドーピ
ングガスに関しては、CVD法と同様である。また、選
択成長を行うために、CVD法と同様Cl2やHClと
いったハロゲン系のガスを添加することもできる。エピ
タキシャル成長温度が575℃、成長圧力が1Pa、ジ
シラン流量2ml/min、ゲルマン流量4ml/mi
nの場合、シリコン酸化膜上、及びシリコン窒化膜上に
多結晶シリコン・ゲルマニウムが堆積しないために必要
とされるHCl流量は5から10ml/minである。
これよりもHCl流量が少ないと選択性が崩れてマスク
材の上に多結晶シリコン・ゲルマニウムが堆積を始め、
逆にこれよりもHCl流量が多いと単結晶シリコン・ゲ
ルマニウム層の表面モフォロジーが悪化してしまう。ま
た、温度範囲は、シリコン酸化膜及びシリコン窒化膜と
単結晶シリコンとの選択性が良好に得られる500℃以
上で、上限は表面モフォロジーが良好な800℃以下の
範囲である。この温度範囲で、成長圧力は成長速度が表
面での反応で律速される0.1Pa以上で、上限は気相
中での反応が起こり始める100Pa以下であればよ
い。
【0037】以下の実施例においても、単結晶シリコン
若しくは単結晶シリコン・ゲルマニウムの選択エピタキ
シャル成長条件に関しては同様である。
【0038】次いで、バッファ層8上に単結晶シリコン
と単結晶シリコン・ゲルマニウムからなる多層膜9を、
バッファ層8の形成方法同様に選択エピタキシャル成長
によって形成する(図3(b)参照)。pMODFET
における真性部分の拡大図を図5に示す。まず始めに、
バッファ層8の上にはp型ドーパントを含んだキャリア
供給層9aを選択エピタキシャル成長により形成する。
キャリア供給層9aでは、ゲルマニウム組成比はバッフ
ァ層の表面側の値と等しくすればよく、ドーパントの濃
度はチャネル層への拡散を抑制するために1e20cm
−3以下であればよい。厚さもエピタキシャル成長の制
御性が良い1nm以上とすれば好適である。次いで、キ
ャリアを閉じこめるための障壁層となる単結晶シリコン
・ゲルマニウムからなるスペーサー層9bを形成する。
このスペーサー層ではゲルマニウム組成比はバッファ層
8の表面側の値と等しくすればよく、厚さは、エピタキ
シャル成長の制御性が良い1nmからチャネル層へキャ
リアが供給される50nmの範囲とすればよい。チャネ
ル層9cはスペーサー層9bよりもゲルマニウム組成比
を高くすることにより圧縮歪みを受けた状態とする。例
えば、スペーサー層9bのゲルマニウム組成比30%に
対して、チャネル層のゲルマニウム組成比を50%とす
ることによってチャネル層は圧縮歪みを受け、価電子帯
のバンドが変化する。その結果、チャネル層における価
電子帯の正孔に対するエネルギーが下がり、量子井戸構
造となるため、キャリア供給層9aから供給されたキャ
リアがこの井戸層にたまり、二次元正孔ガスが形成され
る。チャネル層の厚さは、エピタキシャル成長の制御性
が良い1nm以上とすればよい。チャネル層の上にはキ
ャリアの障壁層となる上に、シリコン・ゲルマニウム層
の保護をする単結晶シリコンからなるキャップ層9dを
形成する。キャップ層の厚さは、ゲート電極からの制御
を行うために、エピタキシャル成長の制御性が良い1n
mからゲート電極でチャネル層のキャリアの制御ができ
る50nmであれば好適である。図5に示した実施例で
は、キャリア供給層9aがチャネル層9cとバッファ層
8の間にあるが、キャリア供給層9aはチャネル層9よ
りも表面側にあってもよい。その場合のpMODFET
の真性部分の拡大図を図6に示す。バッファ層8側から
順に、スペーサー層9b、チャネル層9c、第2のスペ
ーサー層9e、キャリア供給層9a、キャップ層9dと
成長させればよい。
【0039】pMOSFETにおける真性部分に単結晶
シリコンと単結晶シリコン・ゲルマニウムからなる多層
膜9を選択エピタキシャル成長により形成した後、全面
にゲート絶縁膜10及びゲート電極11を堆積し、ゲー
トと電極11を異方性エッチングし、ゲート電極の側壁
にゲート・ソース及びゲート・ドレイン分離絶縁膜12
を形成する(図3(c)参照)。
【0040】最後にnMOSFETの領域に対して選択
的にn型ドーパントをイオン注入することにより、nM
OSFETのソース13及びドレイン14を形成する。
同様にpMODFETの領域に対して選択的にp型ドー
パントをイオン注入することによりソース15及びドレ
イン16を形成すると図1に示した構造が得られる。
【0041】ゲート構造は、前述のようなMOS構造で
なくてもよい。図7に、ショットキーゲートを持つnF
ET及びpMODFETを同一基板上に混載した半導体
装置の断面図を示す。pMOSFETにおける真性部分
に単結晶シリコンと単結晶シリコン・ゲルマニウムから
なる多層膜9を選択エピタキシャル成長により形成した
後、全面にレジストを塗布し、ゲート領域にレジストの
開口部を形成する。ここにゲート電極となる金属を蒸着
し、レジストを除去することによってゲート部分のみに
電極17を形成する。ゲート構造としては、n型、p型
両トランジスタともMOS構造にするかまたはショット
キー構造にするだけではなく、お互いに独立にゲート構
造を選択できるのはもちろんである。
【0042】本実施例により、nMOSFETとpMO
DFETを同一基板上に形成できることから、両デバイ
スで構成した相補型回路において、p型トランジスタの
相互コンダクタンスをn型トランジスタと素子サイズを
大きくすることなくバランスすることができるため、寄
生容量の低減と高速化が可能となる。また、n型及びp
型トランジスタの高速性能もバランスすることが可能と
なり、両トランジスタで構成した回路の設計が容易とな
り、システムの高性能化が実現できる。また、pMOD
FETはチャネル層において不純物や界面準位と散乱す
ることがないため、回路の低雑音化が可能となる。さら
に、nMOSFETとpMODFETの段差が無いこと
から、両デバイスのさらなる微細化が可能となり、回路
性能を向上することができる。また、段差がないことか
らトランジスタの集積化が容易となるため、消費電力の
低減が可能となる。従って、高速、低容量、低雑音の回
路が実現可能となり、この回路を用いたシステムの高速
化及び高性能化に有効である。
【0043】<実施例2>図8は、本発明に係る半導体
装置の第2の実施例を示す断面構造図であり、pMOD
FETとcMOSFETを同一基板上に形成した例であ
る。
【0044】シリコン基板1上に形成されたpMODF
ETは、nウェル6,バッファ層8,単結晶シリコンと
単結晶シリコンゲルマニウムからなる多層膜9,ゲート
絶縁膜10a,ゲート電極11a,ソース15a及びド
レイン16aによって構成されている。一方、cMOS
FETのうち、nMOSFETはpウェル5,ゲート絶
縁膜10b,ゲート電極11b,ソース13及びドレイ
ン14によって構成されており、pMOSFETはnウ
ェル6,ゲート絶縁膜10c,ゲート電極11c,ソー
ス15b及びドレイン16bによって構成されている。
【0045】pMOSFETとpMODFETは、バッ
ファ層8と単結晶シリコンと単結晶シリコンゲルマニウ
ムからなる多層膜9の形成以外は、ほぼすべての工程を
共通化することが可能となる。これにより、システム中
で特に高速化や寄生抵抗の低減が必要とされない部分に
はpMOSFETを用い、高速動作が必要な部分にのみ
pMODFETを適用し、nMOSFETと組み合わせ
て相補的な回路構成とすることができる。こういった構
成を適用できるシステムとして、移動体通信用高周波I
Cや高速プロセッサICがあげられる。
【0046】本実施例によれば、実施例1の効果に加え
て、システム中の適用箇所に応じて高速なpMODFE
Tを用いることができるため、システムの高性能化が可
能となる。
【0047】<実施例3>図9は、本発明に係る半導体
装置の第3の実施例を示す断面構造図であり、nMOD
FETとcMOSFETを同一基板上に形成した例であ
る。
【0048】実施例1で説明したpMODFET同様、
シリコン基板1上に形成されたnMODFETは、pウ
ェル5を形成した後、フィールド絶縁膜2の開口部のみ
に選択的にバッファ層8及び単結晶シリコンと単結晶シ
リコンゲルマニウムからなる多層膜18を形成する。選
択エピタキシャル成長条件は、実施例1と同様である。
【0049】nMODFETにおける真性部分の拡大図
を図10に示す。バッファ層8の上には、キャリアを閉
じこめるために、バッファ層の表面と同じゲルマニウム
組成比を持った単結晶シリコン・ゲルマニウムからなる
スペーサー層18aを形成する。このスペーサー層では
ゲルマニウム組成比はバッファ層8の表面側の値と等し
くすればよく、厚さは、エピタキシャル成長の制御性が
良い1nmとすればよい。ついで、チャネル層となる単
結晶シリコン層18bを形成する。バッファ層8によ
り、シリコン・ゲルマニウムの格子定数の仮想基板上に
エピタキシャル成長を行っているため、単結晶シリコン
からなるチャネル層18bは引っ張り歪みを受けた状態
で成長する。例えば、ゲルマニウム組成比30%のスペ
ーサー層18a上に成長することによってチャネル層は
引っ張り歪みを受け、伝導帯のバンドが変化する。その
結果、チャネル層における伝導帯の電子に対するエネル
ギーが下がり、量子井戸構造となるため、キャリアがこ
の井戸層にたまり、二次元電子ガスが形成される。チャ
ネル層の厚さは、エピタキシャル成長の制御性が良い1
nm以上とすればよい。キャリア障壁層とするため、バ
ッファ層の表面と同じゲルマニウム組成比を持った単結
晶シリコン・ゲルマニウムからなる第2のスペーサー層
18cを形成した後、n型ドーパントを含んだキャリア
供給層18dを形成する。キャリア供給層18dでは、
ゲルマニウム組成比はバッファ層の表面側の値と等しく
すればよく、ドーパントの濃度はチャネル層への拡散を
抑制するために1e20cm−3以下であればよい。厚
さもエピタキシャル成長の制御性が良い1nm以上とす
れば好適である。多層膜の最表面には、キャリアの障壁
層となる上に、シリコン・ゲルマニウム層の保護をする
単結晶シリコンからなるキャップ層18eを形成する。
キャップ層の厚さは、ゲート電極からの制御を行うため
に、エピタキシャル成長の制御性が良い1nmからゲー
ト電極でチャネル層のキャリアの制御ができる50nm
であれば好適である。図10に示した実施例では、キャ
リア供給層18dがチャネル層18bよりも表面側にあ
るが、キャリア供給層18dはチャネル層18bとバッ
ファ層8の間にあってもよい。その場合のnMODFE
Tの真性部分の拡大図を図11に示す。バッファ層8側
から順に、キャリア供給層18d、スペーサー層18
a、チャネル層18b、第2のスペーサー層18c、キ
ャップ層18eと成長すればよい。
【0050】単結晶シリコンと単結晶シリコン・ゲルマ
ニウムからなる多層膜18を形成した後、ゲート絶縁膜
10d及びゲート電極11dを形成し、そしてソース1
3b及びドレイン14bの部分にn型ドーパントをイオ
ン注入することによりnMODFETが形成される。一
方、cMOSFETのうち、nMOSFETはpウェル
5,ゲート絶縁膜10b,ゲート電極11b,ソース1
3a及びドレイン14aによって構成されており、pM
OSFETはnウェル6,ゲート絶縁膜10c,ゲート
電極11c,ソース15b及びドレイン16bによって
構成されている。
【0051】nMOSFETとnMODFETは、バッ
ファ層8と単結晶シリコンと単結晶シリコンゲルマニウ
ムからなる多層膜18の形成以外は、ほぼすべての工程
を共通化することが可能となる。これにより、システム
中で特に高速化が必要な部分にnMODFETを適用す
ることができる。こういった構成を適用できるシステム
として、移動体通信用高周波ICや高速プロセッサIC
があげられる。
【0052】本実施例によれば、システム中の高速動作
が必要な部分にnMODFETを用いることができるた
め、システムの高性能化が可能となる。
【0053】<実施例4>図12は、本発明に係る半導
体装置の第4の実施例を示す断面構造図であり、cMO
DFETとcMOSFETを同一基板上に形成した例で
ある。
【0054】実施例1及び3で説明したnMODFET
及びpMODFET同様、シリコン基板1上にpウェル
5及びnウェル6をそれぞれ形成し、フィールド絶縁膜
2を開口し、その側壁にシリコン窒化膜7を形成する。
nMODFET及びpMODFETのそれぞれの開口部
に同時に単結晶シリコン・ゲルマニウムからなるバッフ
ァ層8を選択的に形成し、その上に単結晶シリコン及び
単結晶シリコン・ゲルマニウムからなる多層膜19を選
択的に形成する。選択エピタキシャル成長条件は、実施
例1と同様である。
【0055】nMODFET及びpMODFETにおけ
る真性部分の拡大図を図13に示す。バッファ層8の上
には、キャリアを閉じこめるために、バッファ層の表面
と同じゲルマニウム組成比を持った単結晶シリコン・ゲ
ルマニウムからなるスペーサー層19aを形成する。こ
のスペーサー層ではゲルマニウム組成比はバッファ層8
の表面側の値と等しくすればよく、厚さは、エピタキシ
ャル成長の制御性が良い1nm以上とすればよい。次い
で、n型ドーパントを含んだキャリア供給層19bを形
成する。キャリア供給層18dでは、ゲルマニウム組成
比はバッファ層の表面側の値と等しくすればよく、ドー
パントの濃度はチャネル層への拡散を抑制するために1
e20cm−3以下であればよい。厚さもエピタキシャ
ル成長の制御性が良い1nm以上とすれば好適である。
キャリア障壁層とするため、バッファ層の表面と同じゲ
ルマニウム組成比を持った単結晶シリコン・ゲルマニウ
ムからなる第2のスペーサー層19cを形成した後、n
チャネル層となる単結晶シリコン層19dを形成する。
バッファ層8により、シリコン・ゲルマニウムの格子定
数の仮想基板上にエピタキシャル成長を行っているた
め、単結晶シリコンからなるnチャネル層19dは引っ
張り歪みを受けた状態で成長する。例えば、ゲルマニウ
ム組成比30%のスペーサー層19c上に成長すること
によってnチャネル層は引っ張り歪みを受け、伝導帯の
バンドが変化する。その結果、nチャネル層における伝
導帯の電子に対するエネルギーが下がり、量子井戸構造
となるため、n型キャリアがこの井戸層にたまり、トラ
ンジスタ動作に寄与する。nチャネル層の厚さは、エピ
タキシャル成長の制御性が良い1nm以上とすればよ
い。nチャネル上にはバッファ層8よりもゲルマニウム
組成比が高い単結晶シリコン・ゲルマニウムからなるp
チャネル層19eを形成する。ゲルマニウム組成比を高
くしたことにより、pチャネル層19eは圧縮歪みを受
け、荷電子帯の正孔に対するエネルギーが下がるため、
p型キャリアがこの井戸層にたまり、pチャネルとして
動作する。pチャネル層19eの上に、p型キャリアの
障壁層となる単結晶シリコン・ゲルマニウムからなる第
3のスペーサー層19fを形成し、最表面にはシリコン
・ゲルマニウム層の保護をする単結晶シリコンからなる
キャップ層19gを形成する。キャップ層の厚さは、ゲ
ート電極からの制御を行うために、エピタキシャル成長
の制御性が良い1nmからゲート電極でチャネル層のキ
ャリアの制御ができる50nmであれば好適である。
【0056】単結晶シリコンと単結晶シリコン・ゲルマ
ニウムからなる多層膜19を形成した後、cMODFE
TとcMOSFETの各部分にゲート絶縁膜10及びゲ
ート電極11を形成し、nMOSFET及びnMODF
ET部分に選択的にn型ドーパントをイオン注入するこ
とによりn型ソース13及びn型ドレイン14を形成す
る。同様に、pMOSFET及びpMODFET部分に
選択的にp型ドーパントをイオン注入することによりp
型ソース15及びp型ドレイン16を形成する。nMO
SFETとnMODFET、及びpMOSFETとpM
ODFETはバッファ層8と単結晶シリコンと単結晶シ
リコンゲルマニウムからなる多層膜19の形成以外は、
それぞれ工程をほぼ共通化することが可能となる。これ
により、システム中で特に高速化が必要な部分にnMO
DFET及びpMODFETからなる回路を適用するこ
とができる。こういった構成を適用できるシステムとし
て、移動体通信用高周波ICや高速プロセッサIC等が
あげられる。
【0057】本実施例によれば、システム中の高速動作
が必要な部分にcMODFETを用いることができるた
め、システムのさらなる高性能化が可能となる。
【0058】<実施例5>図14は、本発明に係る半導
体装置の第5の実施例を示す断面構造図であり、pMO
DFETとNPN型シリコン・ゲルマニウムヘテロ接合
バイポーラトランジスタ(SiGe−HBT)を同一基
板上に形成した例である。
【0059】シリコン基板1上に形成されたpMODF
ETは、nウェル6,バッファ層21a,単結晶シリコ
ンと単結晶シリコンゲルマニウムからなる多層膜29
a,ゲート絶縁膜31,ゲート電極33a,ソース25
a及びドレイン25bによって構成されている。一方、
NPN型SiGe−HBTは、高濃度n型埋め込み層2
0,低濃度コレクタ21b,ベース29b及びエミッタ
34によって構成されている。
【0060】以下、図14に示した構造の半導体装置の
製造方法を、図15,図16及び図17を用いて説明す
る。
【0061】まず、シリコン基板1上のNPN型SiG
e−HBTを形成する領域に対して選択的に高濃度n型
埋め込み層20を形成した後、全面に単結晶シリコン層
21をエピタキシャル成長する(図15(a)参照)。
ここで、単結晶シリコン層21は単結晶シリコン・ゲル
マニウム層でもよく、その他の実施例についても同様で
ある。
【0062】次いで、単結晶シリコン層21のうち、p
MODFETのバッファ領域21aとNPN型SiGe
−HBTの低濃度コレクタ領域21b及びコレクタ引き
出し領域21c以外の部分をエッチングする。絶縁膜を
堆積し、CMP法により単結晶シリコン層21の表面が
露出するまで絶縁膜の研磨を行うことにより、フィール
ド絶縁膜2を形成する(図15(b)参照)。このフィ
ールド絶縁膜2の形成方法としては、この他にも例え
ば、pMODFETのバッファ領域21aとHBTの低
濃度コレクタ領域21b及びコレクタ引き出し領域21
cの部分に選択的にシリコン窒化膜を形成し、その他の
領域を酸化するLOCOSによっても実現できる。ま
た、単結晶シリコン層21の堆積前にシリコン基板1全
面に絶縁膜を堆積し、部分的にもうけた開口部に選択エ
ピタキシャル成長によってpMODFETのバッファ領
域21aとNPN型SiGe−HBTの低濃度コレクタ
領域21b及びコレクタ引き出し領域21cの部分を形
成してもよい。さらに、同様に開口部をもつフィールド
絶縁膜2全面に非選択的に単結晶シリコン層21を堆積
することにより、フィールド絶縁膜2の開口部内には単
結晶シリコン層が成長し、フィールド絶縁膜2上には多
結晶シリコン層が堆積する。このフィールド絶縁膜2上
に堆積した多結晶シリコン層をCMP法により研磨し
て、フィールド絶縁膜2を露出させることによってもp
MODFETのバッファ領域21aとHBTの低濃度コ
レクタ領域21b及びコレクタ引き出し領域21cを選
択的に形成することができる。フィールド絶縁膜2及び
単結晶シリコン層21の形成方法に関しては、他の実施
例においても同様である。
【0063】次いで、各素子間に異方性エッチングによ
り溝を形成し、この溝の内部のみに絶縁膜若しくは絶縁
膜と多結晶シリコン層の多層膜を埋め込むことにより素
子分離領域3を形成する。pMODFETの領域にn型
ドーパントをイオン注入することによりnウェル6を形
成し、コレクタ引き出し部分にもn型ドーパントを高濃
度でイオン注入することにより高濃度n型コレクタ引き
出し層22を形成する(図15(c)参照)。
【0064】そして、全面に第1の絶縁膜23及び第2
の絶縁膜24を堆積した後、HBTのベース引き出し電
極及びpMODFETのソース・ドレイン引き出し電極
となる高濃度p型多結晶シリコン25を選択的に形成す
る(図16(a)参照)。
【0065】高濃度p型多結晶シリコン25を覆うよう
に全面に絶縁膜26を形成し、HBTのエミッタ部分と
pMODFETのゲート部分に絶縁膜26と高濃度p型
多結晶シリコン25の開口部27を形成する。この開口
部27の側壁に絶縁膜28を形成し、等方性エッチング
により2層の絶縁膜24、23をエッチングすることに
より高濃度多結晶シリコン層25のひさしを形成する
(図16(b)参照)。
【0066】この開口部27に単結晶シリコンと単結晶
シリコン・ゲルマニウムからなる多層膜29を選択エピ
タキシャル成長することによって、HBTの領域では真
性ベース層29bを、一方、pMODFET領域ではキ
ャリア供給層及びチャネル層を形成し、同時に高濃度p
型多結晶シリコン層25のひさし下部から成長した多結
晶シリコン及び多結晶シリコン・ゲルマニウムが成長
し、高濃度p型多結晶シリコン層25と単結晶シリコン
及び単結晶シリコン・ゲルマニウムからなる多層膜29
とが自動的に接続される(図16(c)参照)。
【0067】ここで、単結晶シリコンと単結晶シリコン
・ゲルマニウムからなる多層膜29の層構造の拡大図を
図18に示す。単結晶シリコンからなるバッファ層21
上に単結晶シリコン・ゲルマニウムからなるスペーサー
層29aとp型キャリア供給層29bを形成しHBTの
ベース層とする。HBTにおけるベース走行時間の短縮
と、アーリー電圧の向上のため、キャリア供給層29b
の中でゲルマニウム組成比を変化させる。たとえば、表
面側ではゲルマニウム組成比が0%で、バッファ層21
側に行くに従いゲルマニウム組成比を増加させ、スペー
サー層29aとの境界で20%とすることができる。ス
ペーサー層29a中ではバッファ層21との境界に向か
ってゲルマニウム組成比を減少させれば好適である。ま
た、真性ベース層となるキャリア供給層29bの厚さ
は、HBTの高速化を実現するために20nm以下と
し、傾斜を持った単結晶シリコン・ゲルマニウム層を制
御性よく形成するためには下限は5nmとすればよい。
また、HBTのベース抵抗を低減するため、キャリア供
給層29bに含まれるドーパント濃度は1x1019c
m−3以上とし、上限はドーパントの拡散が顕著となる
1x1020cm−3とすればよい。キャリア供給層2
9b上にはキャリア障壁層及びエミッタ層となる単結晶
シリコンまたは単結晶シリコン・ゲルマニウムからなる
スペーサー層29cを形成する。単結晶シリコン・ゲル
マニウム層でスペーサー層29cを形成する場合は、ゲ
ルマニウム組成比をキャリア供給層29bよりも小さく
すればよい。また、スペーサー層29cの厚さは、キャ
リア供給層からのドーパント拡散を抑えるために5nm
以上あればよい。次いで、単結晶シリコン・ゲルマニウ
ムからなるp型チャネル層29dを形成し、最後に保護
膜として単結晶シリコンからなるキャップ層29eを形
成する。HBTとpMODFETを同一基板上に形成し
たときの単結晶シリコンと単結晶シリコン・ゲルマニウ
ムからなる多層膜の層構造に関しては、他の実施例にお
いても同様である。
【0068】単結晶シリコンと単結晶シリコン・ゲルマ
ニウム層からなる多層膜29の表面を絶縁膜31で覆っ
た後、開口部の側壁に絶縁膜32を選択的に形成する。
HBTの開口部ではエミッタ領域を形成するために絶縁
膜31をエッチングするが、pMODFETの開口部で
は絶縁膜31をゲート絶縁膜として使用する(図17
(a)参照)。
【0069】開口部にエミッタ及びゲート電極となる高
濃度n型多結晶シリコン33を形成し、たとえば900
℃,30秒のアニールを行うことによって、HBTの領
域のみで高濃度n型多結晶シリコン33からn型ドーパ
ントを単結晶シリコンと単結晶シリコン・ゲルマニウム
層からなる多層膜29中に拡散させ、エミッタ領域34
を形成する(図17(b)参照)。
【0070】リソグラフィーによるマスク形成と異方性
エッチングを用いて高濃度n型多結晶シリコン層33を
選択的に形成すると、開口部の外側にもマスク合わせの
余裕分だけひさし状のオーバーラップ領域が残ってしま
う。この部分は、特にpMODFETにおいて、ゲート
・ソース及びゲート・ドレイン間容量を増大させるた
め、トランジスタの性能向上にはオーバーラップ領域の
除去が必要となる。高濃度n型多結晶シリコン層のオー
バーラップ領域を形成しない例の断面図を図19に示
す。高濃度n型多結晶シリコン層33を全面に堆積した
後、異方性エッチングによって高濃度n型多結晶シリコ
ン層33を除去すると、フィールド領域などの平坦な部
分が除去される状態では、開口部内などのような段差の
あるところには高濃度n型多結晶シリコン層33が除去
されないで残留する。従って、側壁の絶縁膜32を形成
した状態での開口部の内径が、高濃度n型多結晶シリコ
ン層33の膜厚の約2倍以下であれば、開口部底面が異
方性エッチングによって除去されることはなく、オーバ
ーラップ領域も形成されない。
【0071】また、別の例として、CMPを用いてオー
バーラップ領域を除去した例の断面図を図20に示す。
高濃度n型多結晶シリコン層33を全面に堆積した後、
CMP法により絶縁膜26が露出するまで高濃度n型多
結晶シリコン層33を研磨することにより、オーバーラ
ップ領域を形成することなく真性領域以外の高濃度n型
多結晶シリコン層33を除去することができる。
【0072】以上説明したオーバーラップ領域を生じさ
せない高濃度n型多結晶シリコン層33の選択形成方法
は、他の実施例に関しても同様である。
【0073】最後に全面に絶縁膜35を堆積し、各電極
取り出し位置に開口部をもうけて電極36を形成すると
図14に示した構造となる。
【0074】本実施例により、NPN型SiGe−HB
TとpMODFETを同一基板上に形成できることか
ら、同一システム中にバイポーラトランジスタとFET
を同時に使用しているシステムにおいて、SiGeベー
スによるバイポーラトランジスタの高速化と、歪みSi
GeチャネルによるFETの高速化が両立できる。ま
た、NPN型SiGe−HBTとpMODFETで段差
が生じないことから、トランジスタの集積化が可能にな
る上、配線長が低減できるため、この半導体装置を用い
た回路の消費電力を低減することができる。また、NP
N型SiGe−HBTとpMODFETの形成におい
て、多くの工程が共用できるため、両トランジスタを混
載した半導体装置の製造コストを下げることが可能とな
る。以上のことから、システム全体の高速化・高性能化
に有効な半導体装置を低コストで実現することができ
る。
【0075】<実施例6>図21は、本発明に係る半導
体装置の第6の実施例を示す断面構造図であり、pMO
DFETとNPN型SiGe−HBTを同一基板上に形
成した例である。
【0076】実施例5同様、シリコン基板1上に形成さ
れたpMODFETは、nウェル6,バッファ層43,
単結晶シリコンと単結晶シリコンゲルマニウムからなる
多層膜44,ゲート絶縁膜46,ゲート電極48a,ソ
ース25a及びドレイン25bによって構成されてい
る。一方、NPN型SiGe−HBTは、高濃度n型埋
め込み層20,低濃度コレクタ21,ベース37及びエ
ミッタ34によって構成されている。
【0077】実施例5との違いは、pMODFETのバ
ッファ層43とHBTの低濃度コレクタ層21の形成を
別工程にすることであり、これにより、それぞれの素子
において真性部分の設計が容易となる。
【0078】以下、図21に示した構造の半導体装置の
製造方法を、図22,図23及び図24を用いて説明す
る。
【0079】まず、実施例5同様、シリコン基板1上の
NPN型SiGe−HBTを形成する領域に対して選択
的に高濃度n型埋め込み層20を形成し、フィールド絶
縁膜2と単結晶領域21をシリコン基板1上に選択的に
形成する。実施例5と異なるのは、pMODFETの真
性部分を形成する領域には単結晶領域21を形成しない
ことである。次いで、各素子間に素子分離領域3を形成
し、pMODFETの領域にnウェル6を、HBTのコ
レクタ引き出し部分に高濃度n型コレクタ引き出し層2
2をそれぞれイオン注入によって形成する(図22
(a)参照)。
【0080】全面に第1の絶縁膜23及び第2の絶縁膜
24を堆積した後、HBTのベース引き出し電極及びp
MODFETのソース・ドレイン引き出し電極となる高
濃度p型多結晶シリコン25を選択的に形成する(図2
2(b)参照)。
【0081】次いで、高濃度p型多結晶シリコン25を
覆うように全面に絶縁膜26を形成し、HBTのエミッ
タ部分で絶縁膜26と高濃度p型多結晶シリコンを開口
し、側壁に絶縁膜28を形成する(図22(c)参
照)。
【0082】等方性エッチングにより2層の絶縁膜2
4,23をエッチングすることにより高濃度多結晶シリ
コン層25cのひさしを形成し、この開口部27bに単
結晶シリコンと単結晶シリコン・ゲルマニウムからなる
多層膜37を選択エピタキシャル成長すると同時に高濃
度p型多結晶シリコン層25cのひさし下部から成長し
た多結晶シリコン及び多結晶シリコン・ゲルマニウム3
8が成長し、高濃度p型多結晶シリコン層25cと多層
膜37の中に形成された真性ベースとが自動的に接続さ
れる(図22(d)参照)。
【0083】ここで、単結晶シリコンと単結晶シリコン
・ゲルマニウムからなる多層膜37の層構造を図25に
示す。コレクタ側には、伝導帯でのエネルギー障壁が発
生しないように、ゲルマニウム組成比を低濃度コレクタ
層に向かって減少させた単結晶シリコン・ゲルマニウム
からなる低濃度コレクタ層37aを形成する。ゲルマニ
ウム組成比を例えば20%としたとき、低濃度コレクタ
層37a中では、表面側から低濃度コレクタ層21側に
向かってゲルマニウム組成比を20%から0%まで変化
させれば好適であり、その厚さはゲルマニウム組成比の
傾斜を制御性よく形成するために5nm以上とすればよ
い。次いで、バンドギャップの違いによるエネルギー障
壁がベース・コレクタ界面付近に形成されることを防ぐ
ために、単結晶シリコン・ゲルマニウムからなる第2の
低濃度コレクタ層37bを形成する。空乏層中を通過す
る電子にエネルギー障壁の影響を与えないためには、例
えば、ゲルマニウム組成比20%均一で、30nmの厚
さとすればよい。次いで形成する真性ベース層37c中
では、HBTにおけるベース走行時間の短縮と、アーリ
ー電圧の向上のためにゲルマニウム組成比を変化させ
る。たとえば、表面側ではゲルマニウム組成比が0%
で、第2の低濃度コレクタ層37bとの境界で20%と
することにより、真性ベース37c中にキャリアが加速
される内部電界が発生する。また、真性ベース層37c
の厚さは、HBTの高速化を実現するために30nm以
下とし、傾斜を持った単結晶シリコン・ゲルマニウム層
を制御性よく形成するためには下限は5nmとすればよ
い。また、ベース抵抗を低減するため、真性ベース層3
7cに含まれるドーパント濃度は1x1019cm−3
以上とし、上限はドーパントの拡散が顕著となる1x1
020cm−3とすればよい。真性ベース層37c上
に、n型ドーパントを拡散させることによって最終的に
エミッタとなる単結晶シリコン層37dを形成する。単
結晶シリコン層37dの厚さは、エミッタの拡散が制御
性よく行われ、なおかつ最終的にエミッタ・ベース界面
に抵抗の高い低濃度層が残らないためには、5nmから
30nmの範囲とすればよい。ここで説明した以外で
も、単結晶シリコンと単結晶シリコン・ゲルマニウムを
用いて構成する多層膜37であれば、ゲルマニウム組成
比プロファイルやドーピング濃度は自由に適用可能であ
り、他の実施例に関しても同様である。
【0084】次いで、全面にpMODFETにおける選
択成長のマスク材となる絶縁膜39,40を堆積し、p
MODFETの領域に絶縁膜40、39,26、高濃度
多結晶シリコン層25、絶縁膜24に対して開口部27
aを形成する。ここで、絶縁膜39はシリコン酸化膜
で、絶縁膜40はシリコン窒化膜であると好適である。
そして、開口部側壁にさらに絶縁膜41、42を形成す
る(図23(a)参照)。絶縁膜41はシリコン酸化膜
で、絶縁膜42はシリコン窒化膜であると好適である。
この時点で、開口部27aの底面以外はすべてシリコン
窒化膜で覆われているため、異方性エッチングにより絶
縁膜23とフィールド絶縁膜2を開口し、シリコン基板
1の表面を露出させる(図23(b)参照)。
【0085】開口部27aの底面のみで単結晶シリコン
層が露出しているため、選択エピタキシャル成長にて、
単結晶シリコン・ゲルマニウムからなるpMODFET
のバッファ層43を形成する。このとき、実施例1同様
にファセット発生を抑制するためのシリコン窒化膜を開
口部側壁に形成すると、ソース・ドレイン引き出し電極
25の側壁にもシリコン窒化膜が堆積するため、ソース
及びドレインを接続するためには、バッファ層のエピタ
キシャル成長後にシリコン窒化膜を除去する必要があ
る。しかし、シリコン窒化膜の除去を行うと、単結晶シ
リコン・ゲルマニウムからなるバッファ層43の表面に
ダメージが生じ、その表面上に形成したpMODFET
の性能が著しく低下する。従って、ここでは側壁にシリ
コン窒化膜を用いないでファセットの発生を抑制する方
法を用いる。図26及び図27には、シリコン基板61
上に形成したシリコン酸化膜の開口部内に選択エピタキ
シャル成長した単結晶層67の形状と、開口部の方位の
関係を示す。図26(a)に示すように、シリコン基板
61の面内結晶方位のうち、[110]方向に辺が向く
ように開口部を形成すると、シリコン酸化膜66とシリ
コン基板65の境界で表面原子の再配列が起こり、単結
晶層67の表面では、基板の面方位である(100)よ
りも安定な表面状態を持つ(111),(311)面が
発生する。その結果、理想的な長方形の開口部が形成さ
れているとすると、開口部の各辺からファセット63,
64が発生する。一方、図27に示すように、開口部の
辺を[100]方向にすると、表面原子の再配列が起こ
りにくくなるため、各辺ではファセットは発生せず、開
口部の角のみでわずかにファセット面63、64が発生
する。従って、開口部内の大部分の領域で、単結晶層6
7はシリコン酸化膜66と接するように成長が進行す
る。この特性を利用すると、フィールド絶縁膜2として
シリコン酸化膜を用いた場合でも、開口部の辺の方位を
[100]とすることにより、開口部内でバッファ層4
3はフィールド絶縁膜2と接して成長し、ファセットの
影響は非常に小さくなる(図23(c)参照)。
【0086】pMODFETの領域のみにおいて、選択
成長のマスク材となる絶縁膜39を除去し、単結晶シリ
コンと単結晶シリコン・ゲルマニウムからなる多層膜4
4と多結晶シリコン及び多結晶シリコン・ゲルマニウム
45を同時に形成する事により、ソース・ドレイン引き
出し電極25a,25bとチャネル層を自己整合的に接
続する(図24(a)参照)。ここで、単結晶シリコン
と単結晶シリコン・ゲルマニウムからなる多層膜44の
構造は実施例1と同様である。
【0087】ゲート絶縁膜46を堆積し、開口部の側壁
に絶縁膜47を形成した後、HBTの領域のみ開口部の
底面を覆った絶縁膜39、46を除去することによって
単結晶シリコンキャップ層を露出させる(図24(b)
参照)。
【0088】HBT及びpMODFET領域の開口部周
辺のみに高濃度n型多結晶シリコン層を堆積し、アニー
ルを行うことで、HBT領域のみでn型ドーパントを拡
散させ、エミッタ領域34を形成する(図24(c)参
照)。オーバーラップ部分の除去に関しては、実施例5
と同様である。
【0089】最後に全面を絶縁膜35で覆い、各電極部
を開口して電極49を形成すると、図21に示した構造
となる。本構造は、MODFET領域とバイポーラ領域
のそれぞれの真性部分は独立にエピタキシャル成長によ
って形成するため、HBTと混載するMODFETはp
MODFETに限らず、nMODFETについても適用
可能である。これは、バッファ層を低濃度コレクタ層と
は独立に形成する他の実施例についても同様である。
【0090】本実施例により、実施例5の効果に加え
て、バッファ層をHBTの低濃度コレクタ層とは独立に
形成できるため、pMODFETとHBTにおいてそれ
ぞれ最適な層構造の設計が可能となり、その結果、この
半導体装置を用いたシステムをより高速化及び高性能化
することができる。
【0091】<実施例7>図28は、本発明に係る半導
体装置の第7の実施例を示す断面構造図であり、pMO
DFETとNPN型SiGe−HBTを同一基板上に形
成した例である。
【0092】実施例5同様、シリコン基板1上に形成さ
れたpMODFETは、nウェル6,バッファ層21
a,単結晶シリコンと単結晶シリコンゲルマニウムから
なる多層膜9,ゲート絶縁膜50,ゲート電極51,ソ
ース15及びドレイン16によって構成されている。一
方、NPN型SiGe−HBTは、高濃度n型埋め込み
層20,低濃度コレクタ21b,ベース37及びエミッ
タ34によって構成されている。
【0093】実施例5との違いは、pMODFETのソ
ース及びドレインをマスク合わせによって形成している
点であり、これにより、工程数を大幅に低減することが
可能となる。
【0094】以下、図28に示した構造の半導体装置の
製造方法を、図29及び図30を用いて説明する。
【0095】まず、実施例5同様、シリコン基板1上の
HBTを形成する領域に対して選択的に高濃度n型埋め
込み層20を形成し、フィールド絶縁膜2と単結晶領域
21をシリコン基板1上に選択的に形成する。次いで、
各素子間に素子分離領域3を形成し、pMODFETの
領域にnウェル6を、HBTのコレクタ引き出し部分に
高濃度n型コレクタ引き出し層22をそれぞれイオン注
入によって形成する(図29(a)参照)。
【0096】全面に第1の絶縁膜23及び第2の絶縁膜
24を堆積した後、HBTのベース引き出し電極となる
高濃度p型多結晶シリコン25を選択的に形成し、高濃
度p型多結晶シリコン25を覆うように全面に絶縁膜2
6を形成する(図29(b)参照)。
【0097】HBTのエミッタ部分で絶縁膜26と高濃
度p型多結晶シリコン25を開口し、側壁に絶縁膜28
を形成する(図29(c)参照)。
【0098】等方性エッチングにより二層の絶縁膜2
4、23をエッチングすることにより高濃度多結晶シリ
コン層25cのひさしを形成し、この開口部27bに単
結晶シリコンと単結晶シリコン・ゲルマニウムからなる
多層膜37を選択エピタキシャル成長すると同時に高濃
度p型多結晶シリコン層25cのひさし下部から成長し
た多結晶シリコン及び多結晶シリコン・ゲルマニウム3
8が成長し、高濃度p型多結晶シリコン層25cと多層
膜37の中に形成された真性ベースとが自動的に接続さ
れる(図29(d)参照)。ここで、単結晶シリコンと
単結晶シリコン・ゲルマニウムからなる多層膜37の層
構造は実施例6と同様である。
【0099】次いで、全面にpMODFETにおける選
択成長のマスク材となる絶縁膜39を堆積し、pMOD
FETの領域に絶縁膜39,26,24,23の開口部
27aを形成する。開口部の底面に単結晶層であるバッ
ファ層21が露出しているため、単結晶シリコンと単結
晶シリコン・ゲルマニウムからなる多層膜9を選択エピ
タキシャル成長する(図30(a)参照)。ここで、単
結晶シリコンと単結晶シリコン・ゲルマニウムからなる
多層膜9の構造は実施例1と同様である。
【0100】ゲート絶縁膜50及びゲート電極51を堆
積し、ゲート電極51の加工後にHBTの開口部及びp
MODFETのゲートの側壁に絶縁膜47を形成する
(図30(b)参照)。
【0101】絶縁膜50,39を等方性エッチングによ
り除去してHBTの開口部底辺において単結晶シリコン
キャップ露出させ、高濃度n型多結晶シリコンからなる
エミッタ電極を選択的に形成する。また、pMODFE
Tでは、p型ドーパントを選択的にイオン注入すること
により、p型ソース15とp型ドレイン16を形成す
る。このときエミッタアニールによるエミッタ領域34
の形成と、ソース・ドレインの活性化を共用することが
できる(図30(c)参照)。
【0102】最後に全面を絶縁膜35で覆い、各電極部
を開口して電極49を形成すると、図28に示した構造
となる。
【0103】本実施例により、実施例5の効果に加え
て、工程数を大幅に削減してpMODFETとHBTを
混載できるため、この回路を用いた高速・高性能なシス
テムのコストが低減できる。
【0104】<実施例8>図31は、本発明に係る半導
体装置の第8の実施例を示す断面構造図であり、pMO
DFETとNPN型SiGe−HBTを同一基板上に形
成した例である。
【0105】実施例6同様、シリコン基板1上に形成さ
れたpMODFETは、nウェル6,バッファ層43,
単結晶シリコンと単結晶シリコンゲルマニウムからなる
多層膜44,ゲート絶縁膜50,ゲート電極51,ソー
ス15及びドレイン16によって構成されている。一
方、NPN型SiGe−HBTは、高濃度n型埋め込み
層20,低濃度コレクタ21,ベース37及びエミッタ
34によって構成されている。
【0106】実施例6との違いは、pMODFETのソ
ース及びドレインをマスク合わせによって形成している
点であり、これにより、工程数を大幅に低減することが
可能となる。
【0107】以下、図31に示した構造の半導体装置の
製造方法を、図32及び図33を用いて説明する。
【0108】まず、実施例6同様、シリコン基板1上の
NPN型SiGe−HBTを形成する領域に対して選択
的に高濃度n型埋め込み層20を形成し、フィールド絶
縁膜2と単結晶領域21をシリコン基板1上に選択的に
形成する。単結晶領域21を形成するのは、HBTの領
域のみである。次いで、各素子間に素子分離領域3を形
成し、pMODFETの領域にnウェル6を、HBTの
コレクタ引き出し部分に高濃度n型コレクタ引き出し層
22をそれぞれイオン注入によって形成する(図32
(a)参照)。
【0109】全面に第1の絶縁膜23及び第2の絶縁膜
24を堆積した後、NPN型SiGe−HBTのベース
引き出し電極となる高濃度p型多結晶シリコン25を選
択的に形成し、絶縁膜26で全面を覆う。NPN型Si
Ge−HBTのエミッタ部分で絶縁膜26と高濃度p型
多結晶シリコン25を開口し、側壁に絶縁膜28を形成
する(図32(b)参照)。
【0110】等方性エッチングにより二層の絶縁膜2
4、23をエッチングすることにより高濃度多結晶シリ
コン層25cのひさしを形成し、この開口部27に単結
晶シリコンと単結晶シリコン・ゲルマニウムからなる多
層膜37を選択エピタキシャル成長すると同時に高濃度
p型多結晶シリコン層25cのひさし下部から成長した
多結晶シリコン及び多結晶シリコン・ゲルマニウム38
が成長し、高濃度p型多結晶シリコン層25cと多層膜
37の中に形成された真性ベースとが自動的に接続され
る(図32(c)参照)。ここで、単結晶シリコンと単
結晶シリコン・ゲルマニウムからなる多層膜37の層構
造は実施例6と同様である。
【0111】次いで、全面にpMODFETにおける選
択成長のマスク材となる絶縁膜39を堆積し、pMOD
FETの領域に絶縁膜39、26、24、23、及びフ
ィールド絶縁膜2を異方性エッチングする。実施例6と
異なり、本実施例ではpMODFETのソース・ドレイ
ンは自己整合的に電極と接続するわけではないので、前
記絶縁膜の開口部の側壁にシリコン窒化膜からなる絶縁
膜7を形成できる。従って、この開口部にバッファ層4
3と単結晶シリコンと単結晶シリコン・ゲルマニウムか
らなる多層膜44を選択エピタキシャル成長すると、フ
ァセットの発生が低減できる(図33(a)参照)。
【0112】ゲート絶縁膜50及びゲート電極51を堆
積し、ゲート電極51の加工後にHBTの開口部及びp
MODFETのゲートの側壁に絶縁膜47を形成する
(図33(b)参照)。
【0113】絶縁膜50、39を等方性エッチングによ
り除去してHBTの開口部底辺において単結晶シリコン
キャップ露出させ、高濃度n型多結晶シリコンからなる
エミッタ電極48を選択的に形成する。また、pMOD
FETでは、p型ドーパントを選択的にイオン注入する
ことにより、p型ソース15とp型ドレイン16を形成
する。このときエミッタアニールによるエミッタ領域3
4の形成と、ソース・ドレインの活性化を共用すること
ができる(図33(c)参照)。
【0114】最後に全面を絶縁膜35で多い、各電極部
を開口して電極49を形成すると、図31に示した構造
となる。本構造は、実施例7同様、MODFET領域と
バイポーラ領域のそれぞれの真性部分は独立にエピタキ
シャル成長によって形成するため、HBTと混載するM
ODFETはpMODFETに限らず、nMODFET
についても適用可能である。
【0115】本実施例により、実施例5の効果に加え
て、バッファ層をHBTの低濃度コレクタ層とは独立に
形成できるため、pMODFETとHBTにおいてそれ
ぞれ最適な層構造の設計が可能となり、その結果、この
半導体装置を用いたシステムをより高速化及び高性能化
することができる。また、工程数を大幅に削減してMO
DFETとHBTを混載できるため、この回路を用いた
システムのコストが低減できる。
【0116】<実施例9>図34は、本発明に係る半導
体装置の第9の実施例を示す断面構造図であり、pMO
DFETとnMOSFETとNPN型SiGe−HBT
を同一基板上に形成した例である。
【0117】実施例6同様、シリコン基板1上に形成さ
れたpMODFETは、nウェル6,バッファ層43,
単結晶シリコンと単結晶シリコンゲルマニウムからなる
多層膜44,ゲート絶縁膜46,ゲート電極48,ソー
ス25a及びドレイン25bによって構成されている。
一方、nMOSFETは、pウェル5,ゲート酸化膜1
0,ゲート電極11,ソース13及びドレイン14によ
って構成される。また、NPN型SiGe−HBTは、
高濃度n型埋め込み層20,低濃度コレクタ21,ベー
ス37及びエミッタ34によって構成されている。
【0118】本構造は、pMODFETのバッファ層4
3をnMOSFET領域とバイポーラ領域のそれぞれの
真性部分とは独立にエピタキシャル成長によって形成す
るため、nMOSFETの性能を損なうことなくpMO
DFETの性能を向上することができる。
【0119】本実施例により、n型とp型のバランスの
とれた高速・高性能な相補型FETとNPNバイポーラ
トランジスタを混載した装置を実現できるため、本回路
を用いたシステムの高性能化、低消費電力化、低コスト
化が可能となる。
【0120】<実施例10>図35は、本発明に係る半
導体装置の第10の実施例を示す断面構造図であり、p
MODFETとnMODFETとNPN型SiGe−H
BTを同一基板上に形成した例である。
【0121】実施例9と異なるのは、n型のFETをn
MODFETにて実現した点であり、HBTの低濃度コ
レクタ層とは独立にnMODFET及びpMODFET
の真性部分を選択エピタキシャル成長していることか
ら、各素子の性能を向上するために最適な多層膜を実現
できる。また、nMODFET及びpMODFETは共
通の単結晶シリコンと単結晶シリコン・ゲルマニウムか
らなる多層膜19中にチャネルを形成しており、また、
HBTに対しても周辺の膜構成を共用していることか
ら、工程が大幅に削減できる。
【0122】本実施例により、高速なnMODFET及
びpMODFETをHBTと同一基板上に段差なく混載
できることから、本回路を用いたシステムの高性能化、
低消費電力化、低コスト化が可能となる。
【0123】<実施例11>図36は、本発明に係る半
導体装置の第11の実施例を示す断面構造図であり、p
MODFETとnMOSFETとNPN型SiGe−H
BTとPNP型バイポーラトランジスタを同一基板上に
形成した例である。
【0124】高濃度p型埋め込み層54、低濃度p型コ
レクタ層55、真性ベース層57、及びエミッタ層58
によって構成されるPNPバイポーラトランジスタを、
他の実施例同様に形成したnMODFET、pMODF
ET及びNPN型SiGe−HBTと同一基板上に段差
なく形成することにより、FET及びバイポーラトラン
ジスタの双方で相補型の構成を実現できる。
【0125】本実施例により、高速性能や電流値のバラ
ンスのとれた相補型FETに加えて、バイポーラトラン
ジスタにおいても相補型の回路を実現できることから、
本回路を用いたシステムの高性能化、低消費電力化、低
コスト化が可能となる。
【0126】<実施例12>図37は、本発明に係る半
導体装置の第12の実施例を示す断面構造図であり、c
MODFETと相補型バイポーラトランジスタを段差な
く同一基板上に混載した例であり、実施例11との違い
は、n型FETをnMODFETによって形成したこと
である。これによって、MODFETによるFETの高
速化と、SiGe−HBTによるバイポーラトランジス
タの高速化に加え、ともに相補型の構成をとることによ
って低消費電力化が可能となる。
【0127】本実施例により、高速性能を維持したま
ま、相補型のFET及び相補型のバイポーラトランジス
タを実現できることから、本回路を用いたシステムの高
性能化、低消費電力化、低コスト化が可能となる。
【0128】<実施例13>図38及び図39は、本発
明に係る半導体装置の第13の実施例を示す平面図及び
断面構造図であり、傾斜基板上に形成したシリコン酸化
膜の開口部に単結晶層を選択エピタキシャル成長した例
である。
【0129】エピタキシャル成長では、基板表面に到達
した原料ガス分子は基板表面を移動して、基板表面上の
活性な位置で分解することによって成長が進むため、基
板全体で均一にエピタキシャル成長を行うためには、成
長の進行する活性な場所をいかに均一に配置するかが重
要となる。このために、オフアングルと呼ばれる微少角
度だけ基板の面方位をずらすことにより表面に原子オー
ダーのステップを形成し、このステップに沿って成長が
進行することにより均一なエピタキシャル成長層を得る
方法が採られる。ところが、基板上に形成した開口部に
選択エピタキシャル成長を行う場合、開口部周辺で原子
層オーダーのステップの供給が行われないため、エピタ
キシャル成長層の表面は本来の結晶面の方位に近づいて
いく。この結果、選択成長された単結晶層は結晶面のオ
フアングルの方向と大きさに応じて形状が非対称にな
る。例えば、図38に示すように、基板の面方位として
[100]方向をとり、[010]方向にオフアングル
θをとると、基板61の面内で[110]方向に各辺が
向いた状態でシリコン酸化膜66開口部を形成すると、
選択エピタキシャル成長によって形成された単結晶層は
θ(θ<θ)だけ傾く。また、図39に示すように、
[100]方向に各辺が向いた状態で形成した開口部に
単結晶層を選択エピタキシャル成長すると、図中B−B
で見るとオフアングルと同じ角度だけ単結晶層の表面は
傾いており、図中C−Cで見ると対称になっている。以
上の非対称性を利用し、開口部に形成した単結晶層中に
チャネル層及びソース・ドレインを形成する場合、ソー
スとドレインで寄生容量を下げたい方を膜厚が厚くなる
方へ形成すればよく、両方でバランスしたいときには単
結晶層の傾きと直交する方向でソース・ドレインを形成
すればよい。例えば、図40に示すように、基板の面方
位に対して開口部の向きをそろえることにより、ドレイ
ン側で選択エピタキシャル成長によって形成したMOD
FETの真性部分の膜厚が大きくなることから、ソース
側に比べて寄生容量を低減することが可能となる。回路
構成により、ソース側の寄生容量を低減したいときには
ソースとドレインを入れ替えればよい。また、回路中で
各トランジスタごとにソース寄生容量とドレイン寄生容
量の大小を調整したい場合には、各トランジスタで基板
に対する位置を決めることができる。
【0130】本実施例により、選択成長の非対称性を利
用してソース若しくはドレインの寄生容量を低減できる
ことから、このFETを用いた回路の高速化が可能とな
る。
【0131】<実施例14>図41は、本発明に係る半
導体装置の第14の実施例を示すエピタキシャル成長速
度の成長温度依存性を示す特性線図である。
【0132】例えば単結晶シリコンの原料ガスとしてジ
シラン(Si2H6)ガスを2ml/min流して成長
を行うと、低温側では成長温度によって成長速度が大き
く変化するが、高温側では温度依存性が小さくなる。低
温側は表面反応律速領域と呼ばれ、成長速度は成長温度
によってある活性化エネルギーを持った表面からの水素
の脱離によって律速される。活性化エネルギーはゲルマ
ニウムの原料ガスであるゲルマン(GeH4)の流量に
依存しているが、成長温度及びガス流量比が一定で、全
ガス流量を極端に少なくしなければ、全ガス流量を変化
させても成長速度は変化しない。
【0133】一方、高温側はガス供給律速領域と呼ばれ
温度が一定でもガスの供給量によって成長速度が変化し
てしまう。表面反応律速領域でもガス供給律速領域でも
ガスの流量を制御することによって選択エピタキシャル
成長は可能であるが、エピタキシャル層の形状に大きく
違いが現れる。
【0134】図42に示すように、シリコン酸化膜66
の開口部62が複数あり、その距離がaだけ離れていた
とする。この開口部62に選択エピタキシャル成長する
場合、ガス供給律速領域で選択成長を行うと表面に到達
した原料ガス分子は表面を移動して活性なサイトを見つ
けて分解することによりエピタキシャル成長が進行する
ため、もしこの原料ガスの移動距離・が開口部の間隔a
よりも大きい場合、開口部に供給される原料ガス分子の
数が場所によって変化する。具体的には、開口部周辺
で、近くに別の開口部がない場所に最も多くの原料ガス
分子が供給されるため、この場所ではエピタキシャル層
は厚くなり、反対に開口部の中心や周りに他の開口部が
密集している場所ではエピタキシャル層は薄くなる。
【0135】例えば、図43に示したパターンでは、
(a)のように開口部の間隔が原料分子の平均移動距離
よりも十分に大きく、かつ開口部自体の大きさが原料分
子の平均移動距離よりも十分に小さいければ各開口部内
のエピタキシャル層の膜厚は均一になるが、(b)に示
したように、開口部の間隔が原料分子の平均移動距離と
同程度以下に密集しているか、開口部自体の大きさが原
料分子の平均移動距離よりも大きいと場所によってエピ
タキシャル層の膜厚が変化してしまう。一方、表面反応
律速領域で成長を行うと、成長温度が両開口部を含む領
域で一定でありさえすれば膜厚は同じである。ただしこ
こではファセットの影響は考えないモノとする。従っ
て、例えば図43(b)に示したような粗密の度合いや
開口部の大きさがパターンにおいても成長速度は表面の
反応で決まっているため、成長温度が同じであればどこ
でも膜厚は一定である。
【0136】本実施例により、反応律速領域で選択エピ
タキシャル成長を行うことにより、トランジスタを形成
する開口部の形状や粗密度などが変化しても均一なエピ
タキシャル層が形成できるため、トランジスタの性能ば
らつきを低減することができる。また、開口部パターン
を密集させても影響がないため、トランジスタの集積化
が可能となり、このトランジスタを用いた回路の低消費
電力化や高性能化が実現できる。
【0137】<実施例15>図44は、本発明に係る半
導体装置の第15の実施例を示す無線通信装置のブロッ
ク図である。
【0138】一般的な無線通信装置は、受信系では、ア
ンテナ81で受信された信号は、送受信切り替えスイッ
チ82により、前置増幅器83に入力された後に増幅さ
れる。前置増幅器の出力は、フィルタ84によって受信
周波数帯域の信号だけを選択し、ミキサ85に入力され
る。ミキサ85により中間周波数(IF)に変換された
信号は、IF増幅器86で増幅された後に信号処理回路
88に出力される。
【0139】一方、送信系では、変調器89によって変
調された信号は、フィルタやドライバ増幅器90,移相
器91を経て送信増幅器92により増幅され、アンテナ
81から送信される。
【0140】本システムに本発明のMODFETを適用
する場合、前置増幅器83等の特に高速動作が必要とさ
れる部分にMODFETを適用し、信号処理回路88等
の部分にはMOSFETを用いることにより、システム
全体の高性能化と低コスト化が実現できる。
【0141】以上、本発明の好適な実施例について説明
したが、本発明は前記実施例に限定されることなく、本
発明の精神を逸脱しない範囲内において種々の設計変更
をなし得ることはもちろんである。
【0142】
【発明の効果】本発明によれば、MODFETのバッフ
ァ層或いはこれと単結晶シリコンと単結晶シリコン・ゲ
ルマニウムからなる多層膜を半導体基板に形成した溝内
に選択的に形成するため、同一半導体基板の他の領域に
形成される他の素子と影響を及ぼしあうことなく容易に
混載が可能になることから、これらの素子を用いた回路
の高性能化が可能となる。さらに、他の素子と混載する
際、各素子間に段差ができないことから、各素子の微細
加工が可能となる上、素子の間隔を縮小できることから
高集積化が可能となる。
【0143】また、各素子間の段差及び間隔が小さいこ
とから配線長が短くでき、その結果、低消費電力化が可
能となる。さらに、混載に際して本発明のMODFET
と各素子の形成プロセスを共通化することが可能となる
ため、システムを形成するコストが低減できる。
【0144】従って、特に高速動作や低雑音化が必要と
される回路やシステムに本発明による半導体装置を用い
ることで、回路及びシステム全体での性能の向上をはか
ることができる。
【図面の簡単な説明】
【図1】本発明に係る半導体装置の第1の実施例を示す
断面図。
【図2】図1に示した本発明に係る半導体装置の製造方
法を工程順に示す拡大断面図。
【図3】図2の次の工程以降を順に示す拡大断面図。
【図4】選択エピタキシャル成長によって形成した単結
晶シリコン若しくは単結晶シリコン・ゲルマニウム層の
形状を示す拡大断面図。
【図5】図1に示した半導体装置のpMOSFETの真
性部分を示す部分拡大断面図。
【図6】図1に示した半導体装置のpMOSFETの真
性部分を示す部分拡大断面図。
【図7】本発明に係る半導体装置の第1の実施例のう
ち、ゲートをショットキー接合で形成した構造を示す断
面図。
【図8】本発明に係る半導体装置の第2の実施例を示す
断面図。
【図9】本発明に係る半導体装置の第3の実施例を示す
断面図。
【図10】図9に示した半導体装置のnMOSFETの
真性部分を示す部分拡大断面図。
【図11】図9に示した半導体装置のnMOSFETの
真性部分を示す部分拡大断面図。
【図12】本発明に係る半導体装置の第4の実施例を示
す断面図。
【図13】図12に示した半導体装置のnMOSFET
及びpMODFETの真性部分を示す部分拡大断面図。
【図14】本発明に係る半導体装置の第5の実施例を示
す断面図。
【図15】図14に示した本発明に係る半導体装置の製
造方法を工程順に示す拡大断面図。
【図16】図15の次の工程以降を順に示す拡大断面
図。
【図17】図16の次の工程以降を順に示す拡大断面
図。
【図18】図14に示した半導体装置のNPN型SiG
e−HBTの真性部分を示す部分拡大断面図。
【図19】本発明に係る半導体装置の第5の実施例のう
ち、オーバーラップ領域をなくした構造を示す断面図。
【図20】本発明に係る半導体装置の第5の実施例のう
ち、オーバーラップ領域をなくした構造を示す断面図。
【図21】本発明に係る半導体装置の第6の実施例を示
す断面図。
【図22】図21に示した本発明に係る半導体装置の製
造方法を工程順に示す拡大断面図。
【図23】図22の次の工程以降を順に示す拡大断面
図。
【図24】図23の次の工程以降を順に示す拡大断面
図。
【図25】図21に示した半導体装置のNPN型SiG
e−HBTの真性部分を示す部分拡大断面図。
【図26】[110]方向に各辺が向いた絶縁膜開口部
に形成した単結晶層の形状を示す表面図及び拡大断面
図。
【図27】[100]方向に各辺が向いた絶縁膜開口部
に形成した単結晶層の形状を示す表面図及び拡大断面
図。
【図28】本発明に係る半導体装置の第7の実施例を示
す断面図。
【図29】図28に示した本発明に係る半導体装置の製
造方法を工程順に示す拡大断面図。
【図30】図29の次の工程以降を順に示す拡大断面
図。
【図31】本発明に係る半導体装置の第8の実施例を示
す断面図。
【図32】図31に示した本発明に係る半導体装置の製
造方法を工程順に示す拡大断面図。
【図33】図32の次の工程以降を順に示す拡大断面
図。
【図34】本発明に係る半導体装置の第9の実施例を示
す断面図。
【図35】本発明に係る半導体装置の第10の実施例を
示す断面図。
【図36】本発明に係る半導体装置の第11の実施例を
示す断面図。
【図37】本発明に係る半導体装置の第12の実施例を
示す断面図。
【図38】微傾斜基板上において、[110]方向に各
辺が向いた絶縁膜開口部に形成した単結晶層の形状を示
す表面図及び拡大断面図。
【図39】微傾斜基板上において、[100]方向に各
辺が向いた絶縁膜開口部に形成した単結晶層の形状を示
す表面図及び拡大断面図。
【図40】微傾斜基板上において、[110]方向に各
辺が向いた絶縁膜開口部に形成したMODFETの配置
を説明するために平面図。
【図41】本発明に係る半導体装置の第14の実施例を
示す単結晶シリコン及び単結晶シリコン・ゲルマニウム
の成長速度と、成長温度の関係を示す特性線図。
【図42】本発明に係る選択成長の開口部形状依存性を
説明するための平面図。
【図43】本発明に係る選択成長の開口部形状依存性を
説明するための平面図。
【図44】本発明に係る半導体装置の第15の実施例を
示す移動体通信システムのブロック図。
【図45】単結晶シリコンと単結晶シリコン・ゲルマニ
ウムからなる多層膜中にpチャネルを形成した従来のp
MODFETを示す断面図。
【図46】単結晶シリコンと単結晶シリコン・ゲルマニ
ウムからなる多層膜中にnチャネルを形成した従来のn
MODFETを示す断面図。
【図47】単結晶シリコンと単結晶シリコン・ゲルマニ
ウムからなる多層膜中にnチャネル及びpチャネルを形
成した従来のcMODFETを示す断面図。
【図48】選択成長によって形成した単結晶シリコン・
ゲルマニウム層をnチャネルとした従来のFETを示す
断面図。
【図49】従来のpMODFETとシリコンMOSFE
Tを同一基板上に形成した半導体装置の製造方法を工程
順に示す拡大断面図。
【図50】図49の次の工程以降を順に示す拡大断面
図。
【図51】従来のpMODFETとシリコンMOSFE
Tを同一基板上に形成した半導体装置の第2の製造方法
を工程順に示す拡大断面図。
【図52】図51の次の工程以降を順に示す拡大断面
図。
【符号の説明】
1,61,65,101,150…シリコン基板、2,
138,165…フィールド絶縁膜、3,166…素子
分離絶縁領域、4,23,24,26,28,31,3
2,35,39,40…絶縁膜、5,151…pウェ
ル、6,125,152…nウェル、7,68,141
…シリコン窒化膜、9,18,19,29,37,4
4,154…単結晶シリコンと単結晶シリコン・ゲルマ
ニウムからなる多層膜、9a,18d,29b,103
…p型キャリア供給層(単結晶シリコン・ゲルマニウ
ム)、9b,18a,18c,19a,19c,19
f,29a,29c,117,126…スペーサー層
(単結晶シリコン・ゲルマニウム)、9c,19e,2
9d…p型チャネル層(単結晶シリコン若しくは単結晶
シリコン・ゲルマニウム)、9d,18e,19g,2
9e,37c,106,118,131…キャップ層
(単結晶シリコン)、11,17,48a,51,10
7,108,119,120,133,156,159
…ゲート電極、12,157,160…ゲート側壁絶縁
膜、13,52,121,136,163…n型ソー
ス、14,53,122,137,164…n型ドレイ
ン、16,110,135,162…p型ドレイン、1
8b,19d…n型チャネル(単結晶シリコン)、19
b,116,127…n型キャリア供給層(単結晶シリ
コン・ゲルマニウム)、20…高濃度n型埋め込み層、
21…単結晶層(単結晶シリコン若しくは単結晶シリコ
ン・ゲルマニウム)、21a…バッファ層、21b,3
7a…低濃度コレクタ層、22…高濃度n型コレクタ引
き出し層、25…高濃度p型多結晶シリコン層、25
a,139…ソース・ドレイン引き出し電極(高濃度n
型多結晶シリコン)、25b…ベース引き出し電極、2
7,62…開口部、30,38,45…多結晶シリコン
及び多結晶シリコン・ゲルマニウム、33…ゲート及び
エミッタ電極(高濃度n型多結晶シリコン層)、34…
エミッタ領域、36,49,148…電極、37b…p
型真性ベース層(単結晶シリコン・ゲルマニウム)、4
1,42,47,146…側壁絶縁膜、48…高濃度n
型多結晶シリコン層、48b…エミッタ電極、50,1
0,46,132,155,158…ゲート絶縁膜、5
4…高濃度p型埋め込み層、55…低濃度p型コレクタ
層、56…高濃度p型コレクタ引き出し層、57…n型
真性ベース層、58…p型エミッタ、63,64…ファ
セット、66…シリコン酸化膜、67…単結晶シリコン
若しくは単結晶シリコン・ゲルマニウム、68…シリコ
ン窒化膜、69…ゲート、70…ソース、71…ドレイ
ン、102…バッファ層(単結晶シリコン)、104…
スペーサー層(単結晶シリコン)、105,130,1
42…チャネル層(単結晶シリコン・ゲルマニウム)、
109,134,161,15…p型ソース、111,
123…ソース・ドレイン電極、113,124,8,
43,112,153…バッファ層(単結晶シリコン・
ゲルマニウム)、114,129…チャネル層(単結晶
シリコン)、115,128…スペーサー層(単結晶シ
リコン・ゲルマニウム)、140…ゲート分離絶縁膜、
143…n型多結晶シリコン・ゲルマニウム層、144
…高濃度n型単結晶シリコン層、145…高濃度n型多
結晶シリコン層、147…高濃度p型単結晶シリコン
層。
フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/165 21/331 29/73 Fターム(参考) 5F003 BA21 BA27 BC08 BE07 BF06 BG06 BJ15 BM01 BN01 5F048 AA08 AB03 AC01 AC03 AC05 BA01 BB01 BB05 BB20 BC06 BD09 BE03 BF02 BG13 CA03 CA06 CA09 CA14 5F082 AA06 AA18 AA40 BA05 BA21 BA22 BC03 BC09 CA01 EA23 EA24 FA05 GA02 GA03

Claims (50)

    【特許請求の範囲】
  1. 【請求項1】同一半導体基板に形成されたMOSFET
    及びMODFETとを有し、上記MODFETの真性部
    分が上記半導体基板に形成された溝内に形成されている
    ことを特徴とする半導体装置。
  2. 【請求項2】前記MOSFETが形成される部分の前記
    半導体基板の表面と前記真性部分の上面とが略等しい高
    さであることを特徴とする請求項1に記載の半導体装
    置。
  3. 【請求項3】前記溝の側面に絶縁膜が形成されているこ
    と特徴とする請求項2に記載の半導体装置。
  4. 【請求項4】前記溝が平面的にみて矩形形状であり、該
    矩形の一辺の方位が前記半導体基板の面内結晶方位の
    [110]であることを特徴とする請求項3に記載の半
    導体装置。
  5. 【請求項5】前記絶縁膜がシリコン窒化膜であること特
    徴とする請求項3に記載の半導体装置。
  6. 【請求項6】前記真性部分がバッファ層と該バッファ層
    上に積層された単結晶シリコンと単結晶シリコンゲルマ
    ニウムを含む多層膜とを有することを特徴とする請求項
    1に記載の半導体装置。
  7. 【請求項7】前記MODFETがP型であり、前記多層
    膜は、前記バッファ層側から、P型ドーパントを含む単
    結晶シリコン・ゲルマニウムからなるキャリア供給層と
    単結晶シリコン・ゲルマニウムからなるスペーサ層とド
    ーパントを含まない単結晶シリコン・ゲルマニウムから
    なるチャネル層と単結晶シリコンからなるキャップ層が
    積層されてなることを特徴とする請求項6に記載の半導
    体装置。
  8. 【請求項8】前記MODFETがP型であり、前記多層
    膜は、前記バッファ層側から、単結晶シリコン・ゲルマ
    ニウムからなる第1のスペーサ層とドーパントを含まな
    い単結晶シリコン・ゲルマニウムからなるチャネル層と
    単結晶シリコン・ゲルマニウムからなる第2のスペーサ
    層とP型ドーパントを含む単結晶シリコン・ゲルマニウ
    ムからなるキャリア供給層と単結晶シリコンからなるキ
    ャップ層が積層されてなることを特徴とする請求項6に
    記載の半導体装置。
  9. 【請求項9】前記チャネル層が圧縮歪みを受けた単結晶
    シリコン・ゲルマニウムであることを特徴とする請求項
    7又は請求項8に記載の半導体装置。
  10. 【請求項10】前記MODFETがN型であり、前記多
    層膜は、前記バッファ層側から、単結晶シリコン・ゲル
    マニウムからなる第1のスペーサ層とドーパントを含ま
    ない単結晶シリコンからなるチャネル層と単結晶シリコ
    ン・ゲルマニウムからなる第2のスペーサ層とN型ドー
    パントを含む単結晶シリコン・ゲルマニウムからなるキ
    ャリア供給層と単結晶シリコンからなるキャップ層が積
    層されてなることを特徴とする請求項6に記載の半導体
    装置。
  11. 【請求項11】前記MODFETがN型であり、前記多
    層膜は、前記バッファ層側から、N型ドーパントを含む
    単結晶シリコン・ゲルマニウムからなるキャリア供給層
    と単結晶シリコン・ゲルマニウムからなる第1のスペー
    サ層とドーパントを含まない単結晶シリコンからなるチ
    ャネル層と単結晶シリコン・ゲルマニウムからなる第2
    のスペーサ層と単結晶シリコンからなるキャップ層が積
    層されてなることを特徴とする請求項6に記載の半導体
    装置。
  12. 【請求項12】前記チャネル層が引っ張り歪みを受けた
    単結晶シリコンであることを特徴とする請求項10又は
    請求項11に記載の半導体装置。
  13. 【請求項13】同一半導体基板に形成されたSiGeー
    HBT及びMODFETとを有し、上記SiGeーHB
    Tのコレクタ層が上記半導体基板に形成された第1の溝
    内み形成され、上記MODFETのバッファ層が上記半
    導体基板に形成された第2の溝内に形成されていること
    を特徴とする半導体装置。
  14. 【請求項14】前記コレクタ層の上面と前記バッファ層
    の上面とが略等しい高さであることを特徴とする請求項
    13に記載の半導体装置。
  15. 【請求項15】前記溝の側面に絶縁膜が形成されている
    こと特徴とする請求項14に記載の半導体装置。
  16. 【請求項16】前記第2の溝が平面的にみて矩形形状で
    あり、該矩形の一辺の方位が前記半導体基板の面内結晶
    方位の[110]であることを特徴とする請求項15に
    記載の半導体装置。
  17. 【請求項17】前記絶縁膜がシリコン窒化膜であること
    特徴とする請求項15に記載の半導体装置。
  18. 【請求項18】前記バッファ層上及び前記コレクタ層上
    に積層された単結晶シリコンと単結晶シリコンゲルマニ
    ウムを含む多層膜とを有し、前記MODFETがP型で
    前記SiGeーHBTがNPN型であり、上記多層膜
    は、前記バッファ層側から、単結晶シリコン・ゲルマニ
    ウムからなる第1のスペーサ層とP型ドーパントを含む
    単結晶シリコン・ゲルマニウムからなるキャリア供給層
    と単結晶シリコン又は単結晶シリコン・ゲルマニウムか
    らなる第2のスペーサ層とドーパントを含まない単結晶
    シリコン・ゲルマニウムからなるチャネル層と単結晶シ
    リコンからなるキャップ層が積層されてなることを特徴
    とする請求項13に記載の半導体装置。
  19. 【請求項19】前記チャネル層が圧縮歪みを受けた単結
    晶シリコン・ゲルマニウムであることを特徴とする請求
    項18に記載の半導体装置。
  20. 【請求項20】同一半導体基板上にMOSFET及びM
    ODFETとを有する半導体装置の製造方法であって、 素子分離絶縁膜が形成された単結晶シリコンからなる半
    導体基板を準備する第1工程と、 MOSFET形成領域の上記半導体基板表面を第1の絶
    縁膜で覆い、かつ、MODFET形成領域の上記半導体
    基板に、側面から上記素子分離絶縁膜が露出し底面から
    単結晶シリコンが露出した溝を形成する第2工程と、 上記溝内にMODFETの真性部分を選択成長により形
    成する第3工程と、 上記MOSFET及び上記MODFETのゲート絶縁膜
    及びゲート電極を形成する第4工程とを有することを特
    徴とする半導体装置の製造方法。
  21. 【請求項21】前記第2工程と前記第3工程の間に、さ
    らに、前記溝の側面にシリコン窒化膜を形成する工程を
    有することを特徴とする請求項20に記載の半導体装置
    の製造方法。
  22. 【請求項22】前記MODFETがP型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上にP型ドーパントがドーピングされた単
    結晶シリコン・ゲルマニウムからなるキャリア供給層と
    単結晶シリコン・ゲルマニウムからなるスペーサ層と単
    結晶シリコン・ゲルマニウムからなるチャネル層と単結
    晶シリコンからなるキャップ層を順次選択成長させるこ
    とを特徴とする請求項20に記載の半導体装置の製造方
    法。
  23. 【請求項23】前記チャネル層のゲルマニウム組成比を
    前記スペーサ層よりも高くすることを特徴とする請求項
    22に記載の半導体装置の製造方法。
  24. 【請求項24】前記MODFETがP型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上に単結晶シリコン・ゲルマニウムからな
    る第1のスペーサ層と単結晶シリコン・ゲルマニウムか
    らなるチャネル層と単結晶シリコン・ゲルマニウムから
    なる第2のスペーサ層とP型ドーパントがドーピングさ
    れた単結晶シリコン・ゲルマニウムからなるキャリア供
    給層と単結晶シリコンからなるキャップ層を順次選択成
    長させることを特徴とする請求項20に記載の半導体装
    置の製造方法。
  25. 【請求項25】前記チャネル層のゲルマニウム組成比を
    前記第1のスペーサ層よりも高くすることを特徴とする
    請求項24に記載の半導体装置の製造方法。
  26. 【請求項26】前記MODFETがN型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上に単結晶シリコン・ゲルマニウムからな
    る第1のスペーサ層と単結晶シリコンからなるチャネル
    層と単結晶シリコン・ゲルマニウムからなる第2のスペ
    ーサ層とN型ドーパントがドーピングされた単結晶シリ
    コン・ゲルマニウムからなるキャリア供給層と単結晶シ
    リコンからなるキャップ層を順次選択成長させることを
    特徴とする請求項20に記載の半導体装置の製造方法。
  27. 【請求項27】前記MODFETがN型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上にN型ドーパントを含む単結晶シリコン
    ・ゲルマニウムからなるキャリア供給層と単結晶シリコ
    ン・ゲルマニウムからなる第1のスペーサ層とドーパン
    トを含まない単結晶シリコンからなるチャネル層と単結
    晶シリコン・ゲルマニウムからなる第2のスペーサ層と
    単結晶シリコンからなるキャップ層を順次選択成長させ
    ることを特徴とする請求項20に記載の半導体装置の製
    造方法。
  28. 【請求項28】前記第3工程を、ハロゲン系ガスを添加
    したCVD法により行うことを特徴とする請求項20に
    記載の半導体装置の製造方法。
  29. 【請求項29】シリコンの原料ガスとしてシリコンの水
    素化物或いは塩化物を用い、ゲルマニウムの原料ガスと
    してゲルマニウムの水素化物或いは塩化物を用い、ハロ
    ゲン系ガスとして塩化水素ガスを用い、塩化水素ガスの
    流量を20〜80ml/minとすることを特徴とする
    請求項28に記載の半導体装置の製造方法。
  30. 【請求項30】前記第3工程を、ハロゲン系ガスを添加
    したガスソースMBE法により行うことを特徴とする請
    求項20に記載の半導体装置の製造方法。
  31. 【請求項31】シリコンの原料ガスとしてジシランを用
    い、ゲルマニウムの原料ガスとしてゲルマンを用い、ハ
    ロゲン系ガスとして塩化水素ガスを用い、塩化水素ガス
    の流量を5〜10ml/minとすることを特徴とする
    請求項30に記載の半導体装置の製造方法。
  32. 【請求項32】同一半導体基板上にSiGeーHBT及
    びMODFETとを有する半導体装置の製造方法であっ
    て、 上記半導体基板上に単結晶シリコン或いは単結晶シリコ
    ン・ゲルマニウムを成長させた後、エッチングを行い、
    上記SiGeーHBTのコレクタ層と上記MODFET
    のバッファ層を形成する第1工程と、 絶縁膜を堆積した後、部分的に除去し、上記コレクタ層
    及び上記バッファ層の上面を露出する第2工程と、 上記コレクタ層上及び上記バッファ層上に、単結晶シリ
    コンと単結晶シリコン・ゲルマニウムを含む多層膜を選
    択成長させる第3工程と、 上記多層膜上に、上記SiGeーHBTのエミッタ電極
    と上記MODFETのゲート絶縁膜及びゲート電極をそ
    れぞれ形成する第4工程とを有する半導体装置の製造方
    法。
  33. 【請求項33】前記第3工程において、前記コレクタ層
    及び前記バッファ層側から第1,第2,第3,第4の単
    結晶シリコン・ゲルマニウム層と単結晶シリコン層を形
    成し、上記第2の単結晶シリコン・ゲルマニウム層には
    ドーパントのドーピングを行うことを特徴とする請求項
    32に記載の半導体装置の製造方法。
  34. 【請求項34】前記SiGeーHBT形成領域の前記第
    1,第2の単結晶シリコン・ゲルマニウム層を前記Si
    GeーHBTのベース層として用い,前記SiGeーH
    BT形成領域の前記第3,第4の単結晶シリコン・ゲル
    マニウム層及び単結晶シリコン層の一部を前記SiGe
    ーHBTのエミッタ層として用いることを特徴とする請
    求項33に記載の半導体装置の製造方法。
  35. 【請求項35】前記MODFET形成領域の前記第1の
    単結晶シリコン・ゲルマニウム層を第1のスペーサ層,
    前記第2の単結晶シリコン・ゲルマニウム層をキャリア
    供給層,前記第3の単結晶シリコン・ゲルマニウム層を
    第2のスペーサ層,前記第4の単結晶シリコン・ゲルマ
    ニウム層をチャネル層,前記単結晶シリコン層をキャッ
    プ層として用いることを特徴とする請求項33に記載の
    半導体装置の製造方法。
  36. 【請求項36】前記第3工程を、ハロゲン系ガスを添加
    したCVD法により行うことを特徴とする請求項32に
    記載の半導体装置の製造方法。
  37. 【請求項37】シリコンの原料ガスとしてシリコンの水
    素化物或いは塩化物を用い、ゲルマニウムの原料ガスと
    してゲルマニウムの水素化物或いは塩化物を用い、ハロ
    ゲン系ガスとして塩化水素ガスを用い、塩化水素ガスの
    流量を20〜80ml/minとすることを特徴とする
    請求項36に記載の半導体装置の製造方法。
  38. 【請求項38】前記第3工程を、ハロゲン系ガスを添加
    したガスソースMBE法により行うことを特徴とする請
    求項32に記載の半導体装置の製造方法。
  39. 【請求項39】シリコンの原料ガスとしてジシランを用
    い、ゲルマニウムの原料ガスとしてゲルマンを用い、ハ
    ロゲン系ガスとして塩化水素ガスを用い、塩化水素ガス
    の流量を5〜10ml/minとすることを特徴とする
    請求項38に記載の半導体装置の製造方法。
  40. 【請求項40】同一半導体基板上にSiGe−HBT及
    びMODFETとを有する半導体装置の製造方法であっ
    て、 素子分離絶縁膜及びSiGe−HBTのコレクタ層が形
    成された単結晶シリコンからなる半導体基板を準備する
    第1工程と、 MOSFET形成領域を絶縁膜で覆い、かつ、MODF
    ET形成領域の上記半導体基板に、側面から上記素子分
    離絶縁膜が露出し底面から単結晶シリコンが露出した溝
    を形成する第2工程と、 上記溝内にMODFETの真性部分を選択成長により形
    成する第3工程と、 上記SiGe−HBTのエミッタ電極と上記MODFE
    Tのゲート絶縁膜及びゲート電極をそれぞれ形成する第
    4工程とを有することを特徴とする半導体装置の製造方
    法。
  41. 【請求項41】前記MODFETがP型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上にP型ドーパントがドーピングされた単
    結晶シリコン・ゲルマニウムからなるキャリア供給層と
    単結晶シリコン・ゲルマニウムからなるスペーサ層と単
    結晶シリコン・ゲルマニウムからなるチャネル層と単結
    晶シリコンからなるキャップ層を順次選択成長させるこ
    とを特徴とする請求項40に記載の半導体装置の製造方
    法。
  42. 【請求項42】前記チャネル層のゲルマニウム組成比を
    前記スペーサ層よりも高くすることを特徴とする請求項
    42に記載の半導体装置の製造方法。
  43. 【請求項43】前記MODFETがP型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上に単結晶シリコン・ゲルマニウムからな
    る第1のスペーサ層と単結晶シリコン・ゲルマニウムか
    らなるチャネル層と単結晶シリコン・ゲルマニウムから
    なる第2のスペーサ層とP型ドーパントがドーピングさ
    れた単結晶シリコン・ゲルマニウムからなるキャリア供
    給層と単結晶シリコンからなるキャップ層を順次選択成
    長させることを特徴とする請求項40に記載の半導体装
    置の製造方法。
  44. 【請求項44】前記チャネル層のゲルマニウム組成比を
    前記第1のスペーサ層よりも高くすることを特徴とする
    請求項43に記載の半導体装置の製造方法。
  45. 【請求項45】前記MODFETがN型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上に単結晶シリコン・ゲルマニウムからな
    る第1のスペーサ層と単結晶シリコンからなるチャネル
    層と単結晶シリコン・ゲルマニウムからなる第2のスペ
    ーサ層とN型ドーパントがドーピングされた単結晶シリ
    コン・ゲルマニウムからなるキャリア供給層と単結晶シ
    リコンからなるキャップ層を順次選択成長させることを
    特徴とする請求項40に記載の半導体装置の製造方法。
  46. 【請求項46】前記MODFETがN型であり、前記第
    3工程において、単結晶シリコン上に単結晶シリコン・
    ゲルマニウムからなるバッファ層を選択成長させた後、
    該バッファ層上にN型ドーパントを含む単結晶シリコン
    ・ゲルマニウムからなるキャリア供給層と単結晶シリコ
    ン・ゲルマニウムからなる第1のスペーサ層とドーパン
    トを含まない単結晶シリコンからなるチャネル層と単結
    晶シリコン・ゲルマニウムからなる第2のスペーサ層と
    単結晶シリコンからなるキャップ層を順次選択成長させ
    ることを特徴とする請求項40に記載の半導体装置の製
    造方法。
  47. 【請求項47】前記第3工程を、ハロゲン系ガスを添加
    したCVD法により行うことを特徴とする請求項40に
    記載の半導体装置の製造方法。
  48. 【請求項48】シリコンの原料ガスとしてシリコンの水
    素化物或いは塩化物を用い、ゲルマニウムの原料ガスと
    してゲルマニウムの水素化物或いは塩化物を用い、ハロ
    ゲン系ガスとして塩化水素ガスを用い、塩化水素ガスの
    流量を20〜80ml/minとすることを特徴とする
    請求項47に記載の半導体装置の製造方法。
  49. 【請求項49】前記第3工程を、ハロゲン系ガスを添加
    したガスソースMBE法により行うことを特徴とする請
    求項40に記載の半導体装置の製造方法。
  50. 【請求項50】シリコンの原料ガスとしてジシランを用
    い、ゲルマニウムの原料ガスとしてゲルマンを用い、ハ
    ロゲン系ガスとして塩化水素ガスを用い、塩化水素ガス
    の流量を5〜10ml/minとすることを特徴とする
    請求項49に記載の半導体装置の製造方法。
JP2000159544A 2000-05-25 2000-05-25 半導体装置及びその製造方法 Pending JP2001338988A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000159544A JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法
TW090107891A TW502443B (en) 2000-05-25 2001-04-02 Semiconductor device and manufacturing method
US09/824,225 US6724019B2 (en) 2000-05-25 2001-04-03 Multi-layered, single crystal field effect transistor
KR1020010022292A KR100783980B1 (ko) 2000-05-25 2001-04-25 반도체 장치 및 그 제조 방법
US10/738,544 US6995054B2 (en) 2000-05-25 2003-12-18 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000159544A JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2001338988A true JP2001338988A (ja) 2001-12-07
JP2001338988A5 JP2001338988A5 (ja) 2006-03-30

Family

ID=18663847

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000159544A Pending JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US6724019B2 (ja)
JP (1) JP2001338988A (ja)
KR (1) KR100783980B1 (ja)
TW (1) TW502443B (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP2005203798A (ja) * 2004-01-17 2005-07-28 Samsung Electronics Co Ltd 少なくとも5面チャンネル型finfetトランジスタ及びその製造方法
JP2006041516A (ja) * 2004-07-23 2006-02-09 Internatl Business Mach Corp <Ibm> パターン形成した歪み半導体基板およびデバイス
JP2006108468A (ja) * 2004-10-07 2006-04-20 Sony Corp 半導体装置および半導体装置の製造方法
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)
KR100588779B1 (ko) * 2003-12-30 2006-06-12 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP2007509503A (ja) * 2003-10-20 2007-04-12 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造および半導体構造を製造する方法
JP2007515808A (ja) * 2003-12-23 2007-06-14 インテル・コーポレーション Cmos用歪トランジスタの集積化
CN100394614C (zh) * 2003-12-01 2008-06-11 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US7691688B2 (en) 2004-04-22 2010-04-06 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
WO2010134334A1 (ja) * 2009-05-22 2010-11-25 住友化学株式会社 半導体基板、電子デバイス、半導体基板の製造方法及び電子デバイスの製造方法
JP2013004968A (ja) * 2011-06-17 2013-01-07 Toshiba Corp 半導体装置とその製造方法

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6861326B2 (en) * 2001-11-21 2005-03-01 Micron Technology, Inc. Methods of forming semiconductor circuitry
JP2003249451A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp エピタキシャル薄膜の形成方法
DE10218381A1 (de) * 2002-04-24 2004-02-26 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge
US7521733B2 (en) * 2002-05-14 2009-04-21 Infineon Technologies Ag Method for manufacturing an integrated circuit and integrated circuit with a bipolar transistor and a hetero bipolar transistor
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
JP2004245660A (ja) * 2003-02-13 2004-09-02 Seiko Instruments Inc 小片試料の作製とその壁面の観察方法及びそのシステム
EP1602125B1 (en) * 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
JP2005011915A (ja) * 2003-06-18 2005-01-13 Hitachi Ltd 半導体装置、半導体回路モジュールおよびその製造方法
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US6940705B2 (en) 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7129126B2 (en) 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
CN1303700C (zh) * 2004-06-08 2007-03-07 江苏长电科技股份有限公司 具有台阶型栅氧化层的射频soi功率nmosfet及其制造方法
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US7800097B2 (en) * 2004-12-13 2010-09-21 Panasonic Corporation Semiconductor device including independent active layers and method for fabricating the same
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US7327008B2 (en) * 2005-01-24 2008-02-05 International Business Machines Corporation Structure and method for mixed-substrate SIMOX technology
US20060172480A1 (en) * 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
WO2006109221A2 (en) * 2005-04-13 2006-10-19 Nxp B.V. Lateral bipolar transistor
KR100611204B1 (ko) * 2005-05-10 2006-08-10 삼성전자주식회사 멀티 스택 패키징 칩 및 그 제조방법
US7465976B2 (en) * 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
TW200705553A (en) * 2005-06-28 2007-02-01 Nxp Bv Doping profile improvement of in-situ doped n-type emitters
KR101181272B1 (ko) * 2005-06-30 2012-09-11 매그나칩 반도체 유한회사 반도체 소자의 제조 방법
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
JP4897948B2 (ja) * 2005-09-02 2012-03-14 古河電気工業株式会社 半導体素子
US8039880B2 (en) * 2005-09-13 2011-10-18 Raytheon Company High performance microwave switching devices and circuits
US7986029B2 (en) * 2005-11-08 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dual SOI structure
JP2007158295A (ja) * 2005-11-10 2007-06-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20070158779A1 (en) * 2006-01-12 2007-07-12 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a buried damage layer
US7648869B2 (en) * 2006-01-12 2010-01-19 International Business Machines Corporation Method of fabricating semiconductor structures for latch-up suppression
US7491618B2 (en) * 2006-01-26 2009-02-17 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a conductive region
US7276768B2 (en) * 2006-01-26 2007-10-02 International Business Machines Corporation Semiconductor structures for latch-up suppression and methods of forming such semiconductor structures
FR2897471A1 (fr) * 2006-02-10 2007-08-17 St Microelectronics Sa Formation d'une portion de couche semiconductrice monocristalline separee d'un substrat
US20070194403A1 (en) * 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
JP5194575B2 (ja) * 2006-10-17 2013-05-08 日産自動車株式会社 半導体装置の製造方法
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
JP5141029B2 (ja) * 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20080191285A1 (en) * 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
US7456087B2 (en) * 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7754513B2 (en) * 2007-02-28 2010-07-13 International Business Machines Corporation Latch-up resistant semiconductor structures on hybrid substrates and methods for forming such semiconductor structures
US7818702B2 (en) * 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
JP4896789B2 (ja) * 2007-03-29 2012-03-14 株式会社東芝 半導体装置の製造方法
US7598560B2 (en) * 2007-03-30 2009-10-06 Kavalieros Jack T Hetero-bimos injection process for non-volatile flash memory
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7928474B2 (en) * 2007-08-15 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd., Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
DE102007063232B4 (de) * 2007-12-31 2023-06-22 Advanced Micro Devices, Inc. Verfahren zum Polieren eines Substrats
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
US8294222B2 (en) 2008-12-23 2012-10-23 International Business Machines Corporation Band edge engineered Vt offset device
DE102009006886B4 (de) 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
JP4794655B2 (ja) * 2009-06-09 2011-10-19 シャープ株式会社 電界効果トランジスタ
US9601328B2 (en) 2009-10-08 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Growing a III-V layer on silicon using aligned nano-scale patterns
US8395216B2 (en) * 2009-10-16 2013-03-12 Texas Instruments Incorporated Method for using hybrid orientation technology (HOT) in conjunction with selective epitaxy to form semiconductor devices with regions of different electron and hole mobilities and related apparatus
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
FR2965973B1 (fr) * 2010-10-11 2012-12-07 Centre Nat Rech Scient Heterostructure semi-conductrice et transistor de type hemt, en particulier pour applications cryogéniques a bas bruit et a basse fréquence
US9129827B2 (en) * 2012-04-13 2015-09-08 Intel Corporation Conversion of strain-inducing buffer to electrical insulator
CN103390634B (zh) * 2012-05-09 2015-12-02 中芯国际集成电路制造(上海)有限公司 SiC MOSFET结构及其制造方法
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
CN102877121B (zh) * 2012-10-23 2015-08-26 云南北方驰宏光电有限公司 太阳能电池用锗单晶生长的掺杂方法
DE102013004909A1 (de) 2013-03-22 2014-10-09 Jowat Ag Neue Klebstoffzusammensetzungen auf Basis nachwachsender Rohstoffe und deren Verwendung
WO2015026371A1 (en) 2013-08-23 2015-02-26 Intel Corporation High resistance layer for iii-v channel deposited on group iv substrates for mos transistors
US9368543B2 (en) 2014-01-15 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device
KR102216511B1 (ko) 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
KR102277398B1 (ko) * 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259328B1 (ko) * 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10020317B2 (en) 2015-08-31 2018-07-10 Cypress Semiconductor Corporation Memory device with multi-layer channel and charge trapping layer
US9899273B1 (en) * 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10593672B2 (en) * 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication
JP7034834B2 (ja) * 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US11251042B2 (en) * 2019-10-31 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of single crystal semiconductors using planar vapor liquid solid epitaxy
US11888062B2 (en) * 2021-10-01 2024-01-30 Globalfoundries U.S. Inc. Extended-drain metal-oxide-semiconductor devices with a silicon-germanium layer beneath a portion of the gate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4791072A (en) * 1984-06-15 1988-12-13 American Telephone And Telegraph Company, At&T Bell Laboratories Method for making a complementary device containing MODFET
DE3830102A1 (de) * 1987-09-16 1989-03-30 Licentia Gmbh Si/sige-halbleiterkoerper
KR920010674A (ko) * 1990-11-28 1992-06-27 서주인 롤(Roll)타입 인덕터의 제조방법
JP3677350B2 (ja) * 1996-06-10 2005-07-27 三菱電機株式会社 半導体装置、及び半導体装置の製造方法
KR100216593B1 (ko) * 1996-12-06 1999-08-16 정선종 화합물 반도체 소자 제조 방법
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)
JP2007509503A (ja) * 2003-10-20 2007-04-12 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造および半導体構造を製造する方法
CN100394614C (zh) * 2003-12-01 2008-06-11 台湾积体电路制造股份有限公司 半导体装置及其形成方法
JP2011142325A (ja) * 2003-12-23 2011-07-21 Intel Corp Cmos用歪トランジスタの集積化
JP2007515808A (ja) * 2003-12-23 2007-06-14 インテル・コーポレーション Cmos用歪トランジスタの集積化
KR100588779B1 (ko) * 2003-12-30 2006-06-12 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP2005203798A (ja) * 2004-01-17 2005-07-28 Samsung Electronics Co Ltd 少なくとも5面チャンネル型finfetトランジスタ及びその製造方法
US7691688B2 (en) 2004-04-22 2010-04-06 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
JP2006041516A (ja) * 2004-07-23 2006-02-09 Internatl Business Mach Corp <Ibm> パターン形成した歪み半導体基板およびデバイス
US9515140B2 (en) 2004-07-23 2016-12-06 Globalfoundries Inc. Patterned strained semiconductor substrate and device
US9053970B2 (en) 2004-07-23 2015-06-09 International Business Machines Corporation Patterned strained semiconductor substrate and device
JP4604637B2 (ja) * 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
US7871878B2 (en) 2004-10-07 2011-01-18 Sony Corporation Method of fabricating PMOS and NMOS transistor on the same substrate
JP2006108468A (ja) * 2004-10-07 2006-04-20 Sony Corp 半導体装置および半導体装置の製造方法
JP2011009718A (ja) * 2009-05-22 2011-01-13 Sumitomo Chemical Co Ltd 半導体基板、電子デバイス、半導体基板の製造方法及び電子デバイスの製造方法
US8890213B2 (en) 2009-05-22 2014-11-18 Sumitomo Chemical Company, Limited Semiconductor wafer, electronic device, a method of producing semiconductor wafer, and method of producing electronic device
WO2010134334A1 (ja) * 2009-05-22 2010-11-25 住友化学株式会社 半導体基板、電子デバイス、半導体基板の製造方法及び電子デバイスの製造方法
JP2013004968A (ja) * 2011-06-17 2013-01-07 Toshiba Corp 半導体装置とその製造方法

Also Published As

Publication number Publication date
KR20010107550A (ko) 2001-12-07
KR100783980B1 (ko) 2007-12-11
US20040129982A1 (en) 2004-07-08
US6724019B2 (en) 2004-04-20
US6995054B2 (en) 2006-02-07
TW502443B (en) 2002-09-11
US20010045604A1 (en) 2001-11-29

Similar Documents

Publication Publication Date Title
JP2001338988A (ja) 半導体装置及びその製造方法
US6476450B2 (en) BICMOS semiconductor integrated circuit device and fabrication process thereof
US6555839B2 (en) Buried channel strained silicon FET using a supply layer created through ion implantation
US6713790B2 (en) Semiconductor device and method for fabricating the same
US7776704B2 (en) Method to build self-aligned NPN in advanced BiCMOS technology
US7102205B2 (en) Bipolar transistor with extrinsic stress layer
US6780725B2 (en) Method for forming a semiconductor device including forming vertical npn and pnp transistors by exposing the epitaxial layer, forming a monocrystal layer and adjusting the impurity concentration in the epitaxial layer
US6774409B2 (en) Semiconductor device with NMOS including Si:C channel region and/or PMOS including SiGe channel region
JP2001223224A (ja) ヘテロ接合バイポーラ・トランジスタのシリコン・ゲルマニウム・ベース形成方法
KR100603120B1 (ko) 바이폴라 디바이스 제조 방법 및 바이폴라 트랜지스터
KR19980042057A (ko) 반도체 장치 및 반도체 장치 제조 방법
US7564073B2 (en) CMOS and HCMOS semiconductor integrated circuit
US11276770B2 (en) Gate controlled lateral bipolar junction/heterojunction transistors
US20040097049A1 (en) Semiconductor device and method for manufacturing a semiconductor device
JP3484177B2 (ja) 半導体装置とその製造方法
US11855197B2 (en) Vertical bipolar transistors
JP2008166431A (ja) 接合型電界効果トランジスタ及びその製造方法及び半導体装置
JPH11307771A (ja) 半導体装置及びその製造方法
JPH11177082A (ja) Mis型電界効果トランジスタおよびその製造方法
JP2006054261A (ja) 半導体集積回路、その製造方法および電子機器
JP2003109966A (ja) 半導体装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060209

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100309