JP2007509503A - 半導体構造および半導体構造を製造する方法 - Google Patents

半導体構造および半導体構造を製造する方法 Download PDF

Info

Publication number
JP2007509503A
JP2007509503A JP2006536706A JP2006536706A JP2007509503A JP 2007509503 A JP2007509503 A JP 2007509503A JP 2006536706 A JP2006536706 A JP 2006536706A JP 2006536706 A JP2006536706 A JP 2006536706A JP 2007509503 A JP2007509503 A JP 2007509503A
Authority
JP
Japan
Prior art keywords
channel
material layer
pfet
nfet
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006536706A
Other languages
English (en)
Other versions
JP2007509503A5 (ja
JP5046153B2 (ja
Inventor
チダンバラオ、デュレセティ
ドクマシ、オマール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007509503A publication Critical patent/JP2007509503A/ja
Publication of JP2007509503A5 publication Critical patent/JP2007509503A5/ja
Application granted granted Critical
Publication of JP5046153B2 publication Critical patent/JP5046153B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

【課題】 半導体デバイスおよび半導体デバイスを製造する方法を提供することにある。
【解決手段】 この半導体デバイスは、pFETおよびnFET用のチャネルを含む。nFETチャネルのチャネル内にはSiGe層を成長させ、pFETチャネル内には炭化シリコン層を成長させる。SiGe層および炭化シリコン層は、上に重なって成長させたエピタキシャル層内に応力成分を発生させるために、下にあるSi層の格子回路網と整合する。一実現例では、これにより、pFETチャネル内に圧縮成分が発生し、nFETチャネル内に引張成分が発生する。他の一実現例では、nFETチャネルとpFETチャネルの両方にSiGe層を成長させる。この実現例では、pFETチャネル内の応力レベルは約3GPaを上回るものでなければならない。
【選択図】 図6

Description

本発明は、一般に、半導体デバイスおよび製造方法に関し、詳細には、半導体デバイスと、デバイス形成中にデバイス内に引張応力および圧縮応力を課す製造方法に関する。
半導体デバイス基板内の機械的応力はデバイス・パフォーマンスを調節することができる。すなわち、半導体デバイス内の応力は、半導体デバイス特性を促進するものとして知られている。したがって、半導体デバイスの特性を改善するために、n型デバイス(たとえば、NFET)またはp型デバイス(たとえば、PFET)あるいはその両方のチャネル内に引張応力または圧縮応力あるいはその両方を発生させる。しかし、引張応力または圧縮応力のいずれかである同じ応力成分は、n型デバイスおよびp型デバイスの特性に際だった影響を及ぼす。
集積回路(IC)チップ内のnFETとpFETの両方のパフォーマンスを最大限にするために、nFETおよびpFETについてそれぞれ異なるように応力成分を設計し適用しなければならない。これは、nFETのパフォーマンスに有益な応力のタイプが一般にpFETのパフォーマンスには不利であるからである。詳細には、デバイスが引張状態(たとえば、プレーナ・デバイス内の電流の流れの方向)にあるときに、nFETのパフォーマンス特性は促進されるが、pFETのパフォーマンス特性は減少する。nFET内の引張応力とpFET内の圧縮応力を選択的に発生させるために、独特なプロセスおよび異なる組み合わせの材料が使用される。
たとえば、nFETおよびpFET内にそれぞれ適切な応力を形成するために、トレンチ分離構造が提案されている。この方法が使用されると、nFETデバイス用の分離領域は、縦方向(たとえば、電流の流れの方向と平行)および横方向(たとえば、電流の流れの方向に対して垂直)にnFETデバイス上に第1のタイプの機械的応力を加える第1の分離材料を含む。さらに、pFETには第1の分離領域および第2の分離領域が設けられ、pFETデバイスのそれぞれの分離領域は横方向および縦方向にpFETデバイス上に固有の機械的応力を加える。
代わって、FETデバイスのチャネル内に適切な応力を選択的に誘導するために、ゲート側壁上のライナが提案されている(たとえば、オオツカ他によるIEDM 2000の575ページを参照されたい)。ライナを設けることにより、トレンチ分離充填技法の結果として加えられる応力よりデバイスにより接近して適切な応力が加えられる。
オオツカ他によるIEDM 2000の575ページ
これらの方法は、nFETデバイスに引張応力が加えられ、pFETデバイスの縦方向に沿って圧縮応力が加えられる構造を提供するが、追加の材料またはより複雑な処理あるいはその両方を必要とする可能性があり、したがって、結果的にコストが高くなる。さらに、このような状況で加えることができる応力のレベルは典型的には中程度(すなわち、数百MPa程度)である。したがって、nFETおよびpFETのチャネル内に大きい引張応力および圧縮応力をそれぞれ発生させるために、より費用効果が高く簡易な方法を提供することが望ましい。
本発明の第1の態様では、半導体構造を製造するための方法が提供される。この方法は、基板内にp型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを形成するステップを含む。基板の格子定数とは異なる格子定数を有する第1の材料層がpFETチャネル内に設けられ、基板の格子定数とは異なる格子定数を有する第2の材料層がnFETチャネル内に設けられる。pFETチャネル内の第1の材料層およびnFETチャネル内の第2の材料層の上にエピタキシャル半導体層が形成される。pFETチャネルおよびnFETチャネル内に応力成分が発生するように、エピタキシャル半導体層は実質的に基板と同じ格子定数を有する。
本発明の他の態様では、半導体構造を製造する方法が提供される。この方法は、Siまたはシリコン・オン・インシュレータなどの基板層内にpFETチャネルおよびnFETチャネルを形成するステップを含む。基板層の格子定数とは異なる格子定数を有する第1の材料層がpFETチャネル内に設けられ、基板層の格子定数とは異なる格子定数を有する第2の材料層がnFETチャネル内に設けられる。pFETチャネル内の第1の材料層およびnFETチャネル内の第2の材料層の上にエピタキシャル半導体層が形成される。エピタキシャル半導体層は実質的に基板層と同じ格子定数を有し、したがって、pFETチャネル内の第1の材料層およびnFETチャネル内の第2の材料層の応力成分とは反対の応力成分を発生させる。
本発明のさらに他の態様では、半導体構造は、たとえば、Si層などの基板内に形成されたpFETチャネルおよびnFETチャネルを含む。Si層内に浅いトレンチ分離構造が形成され、pFETチャネル内の第1の材料層はSi層の格子定数とは異なる格子定数を有する。nFETチャネル内の第2の材料層はSi層の格子定数とは異なる格子定数を有する。pFETチャネル内の第1の材料層およびnFETチャネル内の第2の材料層の上に形成されたエピタキシャル半導体層は実質的にSi層と同じ格子定数を有し、したがって、pFETチャネルおよびnFETチャネル内に所望の応力成分を発生させる。
本発明は、CMOSデバイスのnFETチャネル内に引張応力を提供し、pFETチャネル内に圧縮応力を提供する半導体デバイスおよび製造方法を対象とする。一実施形態では、デバイス・パフォーマンスを高めるために、pFETチャネル内に高い引張応力も提供することができる。本発明の一実施形態では、nFETおよびpFETを形成するエリア内のシリコン層内にチャネルが形成される。次にチャネルは、下にあるシリコン層の格子定数と整合しない自然発生の格子定数を有するシリコン・ベースの材料で充填される。これらの材料を適用することにより、nFETおよびpFETのチャネル内の上に重なるエピタキシャル層内にそれぞれ引張力または圧縮力あるいはその両方が発生する。一実施形態では、nFETチャネルとpFETチャネルを同時に形成することができる。本発明の形成プロセスを使用することにより、デバイス特性の改善ならびに歩留まりの上昇およびデバイス欠陥の低減を達成することができる。また、本発明の形成プロセスにより、製造コストの低減も実現することができる。
図1〜図6は、本発明によるデバイスを形成するための形成プロセスを表している。図1では、たとえば、シリコン・オン・インシュレータ(SOI:silicon-on-insulator)などの基板10を設ける。これは、埋め込み酸化物層15とシリコン・オン・インシュレータ層20(たとえば、Si層)とを含む。SOIウェハは、当技術分野で周知のSIMOXまたは結合技法のいずれかによって形成することができるであろう。一実施形態では、Si層20は約300Å〜1500Åであるが、本発明では特定の適用例に応じてSi層20の高さの変更が企図されていることを十分理解されたい。
さらに図1を参照すると、次に、パッド酸化、パッド窒化物付着、リソグラフィ・ベースのパターン形成、窒化物と酸化物とシリコンからなるスタックに対する埋め込み酸化物までの反応性イオン・エッチング(RIE)、エッジ酸化、ライナ付着、充填付着、および化学機械的研磨の標準技法を使用して、浅いトレンチ分離機構(STI)25を形成するためにSi層20にパターン形成する。このSTI形成プロセスは当技術分野で周知のものである。次にパッド窒化物を剥離する。
次に図2を参照すると、STI領域25およびSi層20の研磨表面の上に酸化物層32を付着させる。この酸化物層32の高さは、様々になる可能性があり、一実施形態では、約200Åである。酸化物層32の上にフォトレジスト層35を付着させるが、このフォトレジスト層は、任意の既知のフォトレジスト材料にすることができる。既知のマスキングおよびリソグラフィ・パターン形成技法を使用した後、フォトレジスト層35および酸化物層32上で、たとえば、反応性イオン・エッチングを実行する。このステップの反応性イオン・エッチングは、酸化物層に応じて選択的なものにすることができる。これは、pFETチャネル40とnFETチャネル45を同時に形成するプロセスを開始する。酸化物エッチングの後、図3に図示されている通り、反応性イオン・エッチングを使用してSi層20に選択的にエッチングを施す。
代替ステップでは、必要なエッチングの深さに応じて10keV〜100keVの範囲内のエネルギーで2e14#/cm2〜1e15#/cm2という典型的な投与量のGe注入を使用して、まずSiをアモルファス化する。この任意選択のアモルファス化ステップを使用すると、エッチング品質を改善することができる。いずれの形成においても、チャネル40および45は、それぞれpFETおよびnFETの配置に対応してSi層20内に形成される。一実現例では、チャネル40および45は、Si層20内の約200Å〜400Åの深さまでエッチングされる。しかし、この深さは、本発明とともに使用される特定の適用例に応じて様々になる可能性がある。
図4は、本発明による他の形成プロセスを表している。この形成プロセスでは、任意の既知のプロセスを使用して、フォトレジスト材料35を除去する。任意の既知のリソグラフィ・プロセスを使用して、pFETチャネル40内でハード・マスク50にパターン形成する。一実施形態では、ハード・マスクは、窒化物材料であり、pFETチャネル40に隣接した酸化物層32の上でパターン形成される。nFETチャネル45内には約100Å〜300Åの厚さまでSiGe層45aをエピタキシャル成長させるが、本発明では他の厚さも企図されている。
孤立している場合、このSiGeは通常、Si層20より大きい格子定数を有する。すなわち、SiGe材料の格子定数はSi層20の格子定数と整合しない。しかし、本発明の構造では、nFETチャネル45内のSiGe層45aの成長により、SiGe層45aの格子構造は下にあるSi層20の格子構造との整合に資することになる。
Si層20に対するSiGe45a(通常、より大きい)の格子整合のおかげで、結果的に、SiGe層45aおよび周囲のエリアが圧縮される。しかし、SiGe層の周囲のエリアは、平衡状態を得ようとすることになり、したがって、SiGe層45a上に形成されたエピタキシャルSi層の引張応力が発生する(図6に図示されている通り)。一実施形態では、SiGe層45aのGe含有量は、Si含有量に対して5%〜50%の割合にすることができる。
図5では、任意の既知のプロセスによりハード・マスク50を除去する。任意の既知のリソグラフィ・プロセスを使用して、nFETチャネル45内でハード・マスク55にパターン形成する。ハード・マスク55も、nFETチャネル45に隣接した酸化物層32の上で、ならびに、このように成長させたSiGe層45aの上でパターン形成される。この場合も、一実施形態では、ハード・マスク55は窒化物材料である。次に、pFETのチャネル40内には約100Å〜300Åの厚さまで炭化シリコン(Si:C)層40aをエピタキシャル成長させるが、本発明では他の厚さも企図されている。当業者であれば、図5のプロセス・ステップが図4に図示されているプロセス・ステップより前に同様に実行可能であることを理解するはずである。
孤立している場合、炭化シリコンは通常、Si層20より小さい格子定数を有するであろう。すなわち、炭化シリコン材料の格子定数はSi層20の格子定数と整合しない。しかし、本発明の構造では、pFETチャネル40内の炭化シリコン層40aの成長により、炭化シリコン層40aの格子構造は下にあるSi層20の格子構造との整合に資することになる。
Si層20に対する炭化シリコン40a(通常、より小さい)の格子整合のおかげで、結果的に、炭化シリコン層40aおよび周囲のエリアが引張応力を受ける。SiGe層に関する発現と同様に、炭化シリコン層40aの周囲のエリアは、平衡状態を得ようとすることになり、したがって、炭化シリコン層40a上に形成されたエピタキシャルSi層の圧縮応力が発生する。一実施形態では、C含有量は、Si含有量に対して0%〜4%の比率にすることができる。
図6は中間構造を示している。この構造を得るために、図5に関連して述べたものと同様のやり方で、ハード・マスク55を除去する。それぞれpFETおよびnFETのチャネル内の炭化シリコン層およびSiGe層の上にSiエピタキシャル層60を選択的に成長させる。一実施形態では、Siエピタキシャル層60は、SiGe45aまたは炭化シリコン40aの周囲の構造およびSi絶縁層20と平衡し、その結果、前述の通り、nFETチャネル45内に引張応力が発生し、pFETチャネル40内に圧縮応力が発生する。SiGe層内のGe含有物の濃度を調節することにより、nFETチャネル45内の引張応力を調節することが可能であることを理解されたい。同様に、炭化シリコン層内のCの濃度を調節することにより、pFETチャネル40内の圧縮応力を調節することが可能である。これは、このような材料の格子定数によるものである。
さらに図6を参照すると、次に、選択的に成長させたエピタキシャルSi層60の上に犠牲酸化物層65を成長させる。次に、nFETチャネル注入を実行できるように、標準のフォトレジストベースのリソグラフィ技法を使用して、pFETにマスキングを施す。関連のフォトレジスト(図6には図示されていない)を剥離した後、nFETにマスキングを施し(この場合も、標準のフォトレジストベースのリソグラフィ技法を使用する)、pFETチャネル注入を実行し、続いてもう一度、フォトレジスト剥離を実行する。次に、犠牲酸化物層65を剥離し、図6に図示されている通り、ゲート酸化層70を成長させる。次に、pFET領域およびnFET領域内にゲート・ポリシリコン70を形成する。図6に図示されている構造を形成するために、当業者にとって周知のゲート・ポリ付着および化学機械的研磨を実行する。
ダマシーン(damascene)酸化物層32を剥離した後、標準のCMOS処理によりプロセスを続行することができる。たとえば、任意の既知のプロセスを使用して酸化物層32を剥離した後、標準のスペーサおよびイオン注入プロセスを実行して、pFETおよびnFETの延長部ならびにソース領域およびドレイン領域を形成することができる。
図7〜図10は、本発明によるデバイスを形成するための他の形成プロセスを表している。図7では、図1と同じ方法で基板およびSTIを形成する。図7では、たとえば、シリコン・オン・インシュレータ(SOI)などの基板10を設ける。これは、埋め込み酸化物層15とシリコン・オン・インシュレータ層20とを含む。SOIウェハは、当技術分野で周知のSIMOXまたは結合技法のいずれかによって形成することができるであろう。一実施形態では、Si層20は約300Å〜1500Åであるが、本発明では特定の適用例に応じてSi層20の高さの変更が企図されていることを十分理解されたい。
さらに図7を参照すると、次に、パッド酸化、パッド窒化物付着、リソグラフィ・ベースのパターン形成、窒化物と酸化物とシリコンからなるスタックに対する埋め込み酸化物までの反応性イオン・エッチング(RIE)、エッジ酸化、ライナ付着、充填付着、および化学機械的研磨の標準技法を使用して、浅いトレンチ分離機構(STI)25を形成するためにSi層20にパターン形成する。このSTI形成プロセスは当技術分野で周知のものである。次にパッド窒化物を剥離する。
次に図8を参照すると、STI領域25およびSi層20の研磨表面の上に酸化物層32を付着させる。この酸化物層32の高さは、様々になる可能性があり、一実施形態では、約200Åである。酸化物層32の上にフォトレジスト層35を付着させるが、このフォトレジスト層は、任意の既知のフォトレジスト材料にすることができる。既知のマスキングおよびリソグラフィ・パターン形成技法を使用した後、フォトレジスト層35および酸化物層32上で、たとえば、反応性イオン・エッチングを実行する。このステップの反応性イオン・エッチングは、酸化物層に応じて選択的なものにすることができる。これは、nFETチャネル45を形成するプロセスを開始する。酸化物エッチングの後、反応性イオン・エッチングを使用してSi層20に選択的にエッチングを施す。任意選択のアモルファスSiエッチングを実行すると、エッチング品質を改善することができる。一実現例では、チャネル45は、Si絶縁層20内の約200Å〜400Åの深さまでエッチングされる。しかし、この深さは、本発明とともに使用される特定の適用例に応じて様々になる可能性がある。
代替ステップでは、必要なエッチングの深さに応じて10keV〜100keVの範囲内のエネルギーで2e14#/cm2〜1e15#/cm2という典型的な投与量のGe注入を使用して、まずSiをアモルファス化する。この任意選択のアモルファス化ステップを使用すると、エッチング品質を改善することができる。いずれの形成においても、チャネル40および45は、それぞれpFETおよびnFETの配置に対応してSi層20内に形成される。一実現例では、チャネル40および45は、Si層20内の約200Å〜400Åの深さまでエッチングされる。しかし、この深さは、本発明とともに使用される特定の適用例に応じて様々になる可能性がある。
図9は、本発明による他の形成プロセスを表している。この形成プロセスでは、nFETのチャネル45内には約100Å〜300Åの高さまでSiGe層45aを成長させるが、本発明では他の高さも企図されている。一実施形態では、SiGeのGe含有量は、Si含有量に対して0%〜50%の比率にすることができ、好ましくは約15%にすることができる。次に、nFETチャネル45内のSiGe層45aの上にエピタキシャルSi層60を選択的に成長させる。次に、選択的に成長させたSi層60の上に犠牲ゲート酸化物層を成長させる。次に、任意の周知の形成プロセスを使用して、nFETマスクおよびウェル注入を設ける。次に、nFET領域内にゲート酸化物65aを形成する。次に、図9に図示されている構造を形成するために、ゲート・ポリシリコン70aを付着させ、続いて、当業者にとって周知の化学機械的研磨を実行する。
次に、これと同じプロセスを使用してデバイスのpFETを形成することができるが、これは図8および図9によって同様に表すことができる。このPFET構造は、SiGeの代わりに炭化シリコンを取り入れている。最終製品は図10に図示されているが、同図は、選択的炭化シリコン・ゲート酸化物65bとゲート・ポリ70bとを取り入れているPFETを示している。酸化物32を剥離し、標準のCMOS処理によりプロセスを続行することができる。これらは、延長部、ソース領域およびドレイン領域、シリサイド形成、窒化物エッチング・ストップ層、接点プロセス、相互接続部などを含む。
本発明のさらに他の実施形態では、SiGe材料によりチャネル内で約3GPaを上回る応力レベルを達成できる場合、pFETチャネルとnFETチャネルの両方でSiGe材料を使用することができる。この手法は、緩和されていないシステムを必要とするので、大きいGe含有量を容易にする。しがたって、pFETについて説明したSiGe付着ステップを使用することが可能である。しかし、高い応力および転位の問題などの競合する必要性があるので、プロセス(Ge%)ウィンドウは小さい可能性があることを認識されたい。チャネルによる応力レベルは埋め込み材料に比べて比較的低減されているので、埋め込み材料は、pFET用のこの構造を適用するために、諸実施形態では、約25%〜30%より大きいGe率を備えていなければならない。この手法では、独立したpFETおよびnFET制御はまったく存在しない。
図11は、本発明によるnFETデバイス内の応力の位置を示している。図11に図示されている通り、引張応力はnFETのチャネル内に存在し、緩和されていないSiGeの領域は圧縮されている。より具体的には、本発明の構造内では、SiGe層45aの格子構造は下にあるSi絶縁層20の格子構造と整合する。この結果、SiGe層45aおよび周囲のエリアは圧縮応力を受けている。周囲のエリアは、平衡状態を得ようとすることになり、したがって、SiGe層45a上に形成されたエピタキシャルSi層60の引張応力が発生する。
図12は、本発明によるpFETデバイス内の応力の位置を示している。図12に図示されている通り、圧縮応力はpFETのチャネル内に存在し、緩和されていない炭化シリコンの領域は引っ張られている。より具体的には、本発明の構造内では、炭化シリコン層40aの格子構造は下にあるSi絶縁層20の格子構造と整合することになる。この結果、炭化シリコン層40aおよび周囲のエリアは引張応力を受けている。SiGe層に関する発現と同様に、炭化シリコン層40aの周囲のエリアは、平衡状態を得ようとすることになる。しかし、この結果、炭化シリコン層40a上に形成されたエピタキシャルSi層60の圧縮応力が発生する。
一実現例では、図6のnFETのSiエピタキシャル60内の縦の応力成分(ソースからドレインへの電流の流れの方向の応力)の好ましい範囲は、100MPaを上回る引張値であり、pFETのSiチャネル内では、100MPaを上回る圧縮値が好ましい。
したがって、本発明の構造では、nFETのチャネル内に引張応力が形成され、pFET内に圧縮応力が形成される。一実現例では、pFET内に高い引張応力も形成することができる。このような応力を可能にすることにより、高いデバイス・パフォーマンスを達成することができる。加えて、本発明のプロセスにより、製造コストを削減することができ、その結果、歩留まりが高くなる。
諸実施形態に関して本発明を説明してきたが、当業者であれば、特許請求の範囲の精神および範囲内の変更により本発明を実施できることを認識するであろう。たとえば、本発明は、バルク基板に容易に適用可能なものになりうる。
本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるデバイスを形成するための形成プロセスを表す図である。 本発明によるnFETデバイス内の応力の位置を示す図である。 本発明によるpFETデバイス内の応力の位置を示す図である。

Claims (26)

  1. 半導体構造を製造する方法であって、
    基板内にp型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを形成するステップと、
    前記基板の格子定数とは異なる格子定数を有する第1の材料層を前記pFETチャネル内に設けるステップと、
    前記基板の前記格子定数とは異なる格子定数を有する第2の材料層を前記nFETチャネル内に設けるステップと、
    前記pFETチャネル内の前記第1の材料層および前記nFETチャネル内の前記第2の材料層の上にエピタキシャル半導体層を形成するステップであって、前記pFETチャネルおよび前記nFETチャネル内に応力成分が発生するように、前記エピタキシャル半導体層が実質的に前記基板と同じ格子定数を有するステップと、
    を有する、方法。
  2. 前記pFETチャネルと前記nFETチャネルが同時に形成される、請求項1に記載の方法。
  3. 前記pFETチャネルと前記nFETチャネルが別々に形成される、請求項1に記載の方法。
  4. 前記第1の材料層が、Siに対する比が約25%を上回る含有量のGeを有するSiGeである、請求項1に記載の方法。
  5. 前記第1の材料層が、3GPaを上回る引張応力を前記エピタキシャル半導体層内に発生させる、請求項4に記載の方法。
  6. 前記第2の材料層がSiGeである、請求項1に記載の方法。
  7. 前記第2の材料層が、前記nFETチャネル内の前記エピタキシャル半導体層内に引張応力を発生させる、請求項6に記載の方法。
  8. 前記第1の材料層が炭化シリコンである、請求項1に記載の方法。
  9. 前記エピタキシャル半導体層の上にゲート酸化物構造を形成するステップと、
    前記ゲート酸化物構造の両側の前記基板内に延長部ならびにドレイン領域およびソース領域を形成するステップと、
    をさらに有する、請求項1に記載の方法。
  10. 前記nFETおよびpFETチャネルを形成する前記ステップが、約200Å〜400Åの深さまで前記Si層をエッチングすることを含む、請求項1に記載の方法。
  11. 前記nFETチャネルの上にハード・マスクを配置し、前記pFETチャネル内に前記第1の材料層を成長させることにより、前記第1の材料層が形成され、
    前記pFETチャネルの上にハード・マスクを配置し、前記nFETチャネル内に前記第2の材料層を成長させることにより、前記第2の材料層が形成される、請求項1に記載の方法。
  12. 前記基板内に浅いトレンチ構造を形成するステップをさらに有する、請求項1に記載の方法。
  13. 前記第1の材料層および前記第2の材料層を約100Å〜300Åの高さまで成長させる、請求項1に記載の方法。
  14. 前記基板層がシリコン・オン・インシュレータである、請求項1に記載の方法。
  15. 前記第1の材料層および前記第2の材料層がいずれも、前記pFETについて適用するために約25%〜30%より大きいGe率を有するSiGe材料である、請求項1に記載の方法。
  16. 半導体構造を製造する方法であって、
    基板内にp型電界効果トランジスタ(pFET)チャネルおよびn型電界効果トランジスタ(nFET)チャネルを形成するステップと、
    前記基板の格子定数とは異なる格子定数を有する第1の材料層を前記pFETチャネル内に設けるステップと、
    前記基板の前記格子定数とは異なる格子定数を有する第2の材料層を前記nFETチャネル内に設けるステップと、
    前記pFETチャネル内の前記第1の材料層および前記nFETチャネル内の前記第2の材料層の上にエピタキシャル半導体層を形成するステップであって、前記エピタキシャル半導体層が実質的に前記基板と同じ格子定数を有し、したがって、前記pFETチャネル内の前記第1の材料層および前記nFETチャネル内の前記第2の材料層の応力成分とは反対の応力成分を発生させるステップと、
    を有する、方法。
  17. 前記pFETチャネルと前記nFETチャネルが同時に形成される、請求項16に記載の方法。
  18. 前記pFETチャネルと前記nFETチャネルが別々に形成される、請求項16に記載の方法。
  19. 前記第1の材料層が炭化シリコンであり、前記第2の材料層がSiGeである、請求項16に記載の方法。
  20. 前記第1の材料層が、前記pFETチャネル内の前記エピタキシャル半導体層内に圧縮応力を発生させ、
    前記第2の材料層が、前記nFETチャネル内の前記エピタキシャル半導体層内に引張応力を発生させる、請求項19に記載の方法。
  21. 前記エピタキシャル半導体層の上にゲート酸化物構造を形成するステップと、
    前記ゲート酸化物構造の両側の前記Si層内に延長部ならびにドレイン領域およびソース領域を形成するステップと、
    をさらに有する、請求項16に記載の方法。
  22. 前記nFETチャネルの上にハード・マスクを配置し、前記pFETチャネル内に前記第1の材料層を成長させることにより、前記第1の材料層が形成され、
    前記pFETチャネルの上にハード・マスクを配置し、前記nFETチャネル内に前記第2の材料層を成長させることにより、前記第2の材料層が形成される、請求項16に記載の方法。
  23. 基板内に形成されたp型電界効果トランジスタ(pFET)チャネルと、
    前記基板内に形成されたn型電界効果トランジスタ(nFET)チャネルと、
    前記基板内に形成された浅いトレンチ分離構造と、
    前記基板の格子定数とは異なる格子定数を有する前記pFETチャネル内の第1の材料層と、
    前記基板の前記格子定数とは異なる格子定数を有する前記nFETチャネル内の第2の材料層と、
    前記pFETチャネル内の前記第1の材料層および前記nFETチャネル内の前記第2の材料層の上に形成されたエピタキシャル半導体層であって、実質的に前記基板と同じ格子定数を有し、したがって、前記pFETチャネルおよび前記nFETチャネル内に所望の応力成分を発生させるエピタキシャル半導体層と、
    を有する、半導体構造。
  24. 前記第1の材料層が炭化シリコンであり、前記第2の材料層がSiGeである、請求項23に記載の構造。
  25. 前記第1の材料層および前記第2の材料層が、前記pFETチャネル内に約3GPaを上回る応力レベルを発生させるSiGeである、請求項23に記載の構造。
  26. 前記第1の材料層が、前記pFETチャネル内の前記エピタキシャル半導体層内に圧縮応力を発生させ、
    前記第2の材料層が、前記nFETチャネル内の前記エピタキシャル半導体層内に引張応力を発生させる、請求項23に記載の構造。
JP2006536706A 2003-10-20 2004-10-19 半導体構造および半導体構造を製造する方法 Active JP5046153B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/687,608 2003-10-20
US10/687,608 US7037770B2 (en) 2003-10-20 2003-10-20 Method of manufacturing strained dislocation-free channels for CMOS
PCT/US2004/034528 WO2005043590A2 (en) 2003-10-20 2004-10-19 Strained dislocation-free channels for cmos and method of manufacture

Publications (3)

Publication Number Publication Date
JP2007509503A true JP2007509503A (ja) 2007-04-12
JP2007509503A5 JP2007509503A5 (ja) 2007-10-18
JP5046153B2 JP5046153B2 (ja) 2012-10-10

Family

ID=34521006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006536706A Active JP5046153B2 (ja) 2003-10-20 2004-10-19 半導体構造および半導体構造を製造する方法

Country Status (7)

Country Link
US (2) US7037770B2 (ja)
EP (1) EP1676296B1 (ja)
JP (1) JP5046153B2 (ja)
KR (1) KR100910902B1 (ja)
CN (1) CN101095211B (ja)
TW (1) TWI327779B (ja)
WO (1) WO2005043590A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2006030505A1 (ja) * 2004-09-16 2008-05-08 富士通株式会社 Mos型電界効果トランジスタ及びその製造方法
WO2011004474A1 (ja) * 2009-07-08 2011-01-13 株式会社 東芝 半導体装置及びその製造方法
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7858458B2 (en) * 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
DE102006046380B4 (de) * 2006-09-29 2011-03-24 Globalfoundries Inc. Verfahren zur Herstellung eines Feldeffekttransistors mit einem elastisch verspannten Kanalgebiet und Feldeffekttransistor
KR100833498B1 (ko) * 2006-10-19 2008-05-29 한국전자통신연구원 스트레인드 베리드 채널을 구비하는 광소자
WO2008054678A1 (en) * 2006-10-31 2008-05-08 Advanced Micro Devices Inc. A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
DE102006051492B4 (de) 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US7531401B2 (en) 2007-02-08 2009-05-12 International Business Machines Corporation Method for improved fabrication of a semiconductor using a stress proximity technique process
WO2008102451A1 (ja) * 2007-02-22 2008-08-28 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100902105B1 (ko) * 2007-11-09 2009-06-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US7687354B2 (en) * 2008-02-29 2010-03-30 Freescale Semiconductor, Inc. Fabrication of a semiconductor device with stressor
US8624295B2 (en) * 2008-03-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM devices utilizing strained-channel transistors and methods of manufacture
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US20110068368A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device comprising a honeycomb heteroepitaxy
CN102110710A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 形成有沟道应力层的半导体结构及其形成方法
CN101924138B (zh) * 2010-06-25 2013-02-06 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制备方法
US20120080722A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics,Chinese Academy of Sciences Method for forming strained semiconductor channel and semiconductor device
US9006052B2 (en) 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
EP2477211B1 (en) * 2011-01-17 2019-03-06 IMEC vzw Method for selective deposition of a semiconductor material
CN102832171A (zh) * 2011-06-13 2012-12-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR101865754B1 (ko) * 2011-07-01 2018-06-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
FR2995134B1 (fr) * 2012-09-05 2015-12-18 Commissariat Energie Atomique Procede de gravure d'un materiau semiconducteur cristallin par implantation ionique puis gravure chimique a base de chlorure d'hydrogene
FR2995135B1 (fr) 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US8796096B2 (en) * 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
CN103928403B (zh) * 2013-01-10 2016-08-10 中芯国际集成电路制造(上海)有限公司 含应变硅层的半导体器件的形成方法
US8927363B2 (en) * 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US9484266B1 (en) 2015-08-04 2016-11-01 International Business Machines Corporation Complementary heterogeneous MOSFET using global SiGe substrate and hard-mask memorized germanium dilution for nFET
US9685510B2 (en) 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
CN108037131B (zh) * 2017-12-21 2020-10-16 上海华力微电子有限公司 一种对插塞缺陷进行检测的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003092399A (ja) * 2001-09-18 2003-03-28 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003188275A (ja) * 2001-09-28 2003-07-04 Texas Instr Inc <Ti> ゲート構造及びその製造方法

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US3836999A (en) * 1970-09-21 1974-09-17 Semiconductor Res Found Semiconductor with grown layer relieved in lattice strain
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
JPH0650723B2 (ja) * 1984-10-17 1994-06-29 日本電気株式会社 エピタキシヤル成長方法
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) * 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
JP3443343B2 (ja) * 1997-12-03 2003-09-02 松下電器産業株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
EP1102327B1 (en) * 1999-11-15 2007-10-03 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
JP2002033477A (ja) * 2000-07-13 2002-01-31 Nec Corp 半導体装置およびその製造方法
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
JP3998408B2 (ja) * 2000-09-29 2007-10-24 株式会社東芝 半導体装置及びその製造方法
US6506639B1 (en) * 2000-10-18 2003-01-14 Advanced Micro Devices, Inc. Method of forming low resistance reduced channel length transistors
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) * 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6461900B1 (en) * 2001-10-18 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form a self-aligned CMOS inverter using vertical device integration
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6790699B2 (en) * 2002-07-10 2004-09-14 Robert Bosch Gmbh Method for manufacturing a semiconductor device
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003092399A (ja) * 2001-09-18 2003-03-28 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003188275A (ja) * 2001-09-28 2003-07-04 Texas Instr Inc <Ti> ゲート構造及びその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2006030505A1 (ja) * 2004-09-16 2008-05-08 富士通株式会社 Mos型電界効果トランジスタ及びその製造方法
WO2011004474A1 (ja) * 2009-07-08 2011-01-13 株式会社 東芝 半導体装置及びその製造方法
JP5355692B2 (ja) * 2009-07-08 2013-11-27 株式会社東芝 半導体装置及びその製造方法
KR101354844B1 (ko) 2009-07-08 2014-01-22 가부시끼가이샤 도시바 반도체 장치 및 그의 제조 방법
US8653560B2 (en) 2009-07-08 2014-02-18 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method thereof
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法

Also Published As

Publication number Publication date
US7495291B2 (en) 2009-02-24
WO2005043590A2 (en) 2005-05-12
US7037770B2 (en) 2006-05-02
CN101095211A (zh) 2007-12-26
TW200525765A (en) 2005-08-01
EP1676296A2 (en) 2006-07-05
TWI327779B (en) 2010-07-21
EP1676296B1 (en) 2014-12-10
CN101095211B (zh) 2010-08-11
US20050139930A1 (en) 2005-06-30
EP1676296A4 (en) 2008-08-20
WO2005043590A3 (en) 2006-09-21
JP5046153B2 (ja) 2012-10-10
US20050085022A1 (en) 2005-04-21
KR20060089736A (ko) 2006-08-09
KR100910902B1 (ko) 2009-08-05

Similar Documents

Publication Publication Date Title
JP5046153B2 (ja) 半導体構造および半導体構造を製造する方法
US7198995B2 (en) Strained finFETs and method of manufacture
US7608489B2 (en) High performance stress-enhance MOSFET and method of manufacture
US8633071B2 (en) Silicon device on Si: C-oi and Sgoi and method of manufacture
US8168971B2 (en) Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
JP2007528593A (ja) Si:CおよびSiGeエピタキシャル成長ソース/ドレインを用いた高性能で応力が向上されたMOSFETおよび製造方法
US7838932B2 (en) Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
US9034102B2 (en) Method of fabricating hybrid orientation substrate and structure of the same

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070831

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110606

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110606

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110927

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120706

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5046153

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150