KR20060089736A - Cmos를 위한 스트레인드 비-전위 채널 및 그 제조 방법 - Google Patents

Cmos를 위한 스트레인드 비-전위 채널 및 그 제조 방법 Download PDF

Info

Publication number
KR20060089736A
KR20060089736A KR1020067007125A KR20067007125A KR20060089736A KR 20060089736 A KR20060089736 A KR 20060089736A KR 1020067007125 A KR1020067007125 A KR 1020067007125A KR 20067007125 A KR20067007125 A KR 20067007125A KR 20060089736 A KR20060089736 A KR 20060089736A
Authority
KR
South Korea
Prior art keywords
channel
layer
material layer
pfet
nfet
Prior art date
Application number
KR1020067007125A
Other languages
English (en)
Other versions
KR100910902B1 (ko
Inventor
듀레세티 치담바라오
오머 도쿠마치
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20060089736A publication Critical patent/KR20060089736A/ko
Application granted granted Critical
Publication of KR100910902B1 publication Critical patent/KR100910902B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

반도체 디바이스 및 반도체 디바이스 제조 방법이 개시된다. 반도체 디바이스는 pFET 및 nFET을 위한 채널을 포함한다. SiGe층이 nFET 채널의 채널내에 성장되고 Si:C층이 pFET 채널내에 성장된다. SiGe 및 Si:C층은 위에서 성장된 에피택셜층내에 스트레스 요소를 생성하기 위해 밑에 있는 Si층의 격자 네트워크와 매치한다. 한가지 구현에서, 이것은 pFET 채널내에 압축성 스트레스를 nFET 채널내에 신장성 스트레스를 야기시킨다. 다른 구현에서, SiGe층은 nFET 및 pFET 채널 둘 모두내에 성장된다. 이 구현에서, pFET 채널내의 스트레스 레벨은 약 3GPa보다 커야만 한다.
CMOS, nFET, pFET, 채널, 스트레스

Description

CMOS를 위한 스트레인드 비-전위 채널 및 그 제조 방법{STRAINED DISLOCATION-FREE CHANNELS FOR CMOS AND METHOD OF MANUFACTURE}
본 발명은 일반적으로 반도체 디바이스 및 그 제조에 관한 것으로, 좀더 구체적으로는, 디바이스 제조 과정중 디바이스내에 신장성(tensile) 및 압축성(compressive) 스트레스를 부과하는 반도체 디바이스 및 그 제조 방법에 관한 것이다.
반도체 디바이스 기판에서의 기계적 스트레스는 디바이스 성능을 변화시킬 수 있다. 즉, 반도체 디바이스내의 스트레스는 반도체 디바이스 특성을 강화시키는 것으로 알려져 있다. 따라서, 반도체 디바이스의 특성을 개선시키기 위해, 신장성 및/또는 압축성 스트레스가 n-타입 디바이스(예를 들면, nFET) 및/또는 p-타입 디바이스(예를 들면, pFET)의 채널내에 생성된다. 그러나, 동일한 스트레스 요소는, 신장성 스트레스 또는 압축성 스트레스, n-타입 디바이스와 p-타입 디바이스의 특성에 차별적으로 영향을 미친다.
집적회로(IC) 칩내의 nFET 및 pFET의 성능을 최대화하기 위해, 스트레스 요소는 nFET와 pFET에 다르게 처리되고 적용되어야 한다. 즉, nFET의 성능에 유리한 스트레스 타입은 일반적으로 pFET의 성능에는 불리하다. 좀더 구체적으로, 디바이 스가 신장될때(예를 들면, 평면 디바이스의 전류 흐름 방향), nFET의 특성의 성능은 강화되는 반면에 pFET의 특성 성능은 감소된다. nFET에는 신장성 pFET에는 압축성 스트레스를 선택적으로 생성시키기 위해, 차별적인 프로세스 및 다른 물질 조합이 이용된다.
예를 들면, 트렌치 격리 구조물이 nFET 및 pFET 각각에 적절한 스트레스를 형성하기 위해 제시되었다. 이러한 방법이 이용될때, nFET 디바이스에 대한 격리 영역은 세로 방향(예를 들면, 전류 흐름 방향에 평행)과 가로 방향(예를 들면, 전류 흐름 방향에 수직)으로 nFET 디바이스상에 제1 기계적 스트레스 타입을 적용하는 제1 격리 물질을 포함한다. 또한, 제1 격리 영역 및 제2 격리 영역은 pFET에 대해 제공되고 pFET 디바이스의 상기 영역 각각은 가로 및 세로 방향으로 pFET 디바이스상에 유일한 기계적 스트레스를 적용한다.
대안적으로, 게이트 측벽상의 라이너가 FET 디바이스의 채널내에 적절한 스트레스를 선택적으로 유도하기위해 제시되었다(예를 들면, 오츠카 외, IEDM2000, p.575 참조). 라이너를 제공하는 것에 의해 적절한 스트레스가 트렌치 격리 충전 기술의 결과로서 적용되는 스트레스보다 디바이스에 근접하여 적용된다.
이러한 방법들은 nFET에 대해 적용되는 신장성 스트레스와 pFET 디바이스의 세로 방향을 따라 적용되는 압축성 스트레스를 갖는 구조물을 제공하지만, 이들은 추가적인 물질 및/또는 좀더 복잡한 프로세싱을 요하므로, 그 결과 높은 비용을 초래한다. 또한, 이러한 경우에서 적용될 수 있는 스트레스의 레벨은 일반적으로 변한다(즉, 100s MPa와 유사하게). 따라서, nFET 및 pFET 채널 각각에 높은 신장성 및 압축성 스트레스를 생성하기 위한 비용절감적이고 단순화된 방법을 제공하는 것이 바람직하다.
본 발명의 첫번째 측면에 따라, 반도체 구조물을 제조하기 위한 방법이 제공된다. 상기 방법은 기판에 p-타입 전계 효과 트랜지스터(pFET) 채널과 n-타입 전계 효과 트랜지스터(nFET) 채널의 형성을 포함한다. 기판의 격자 상수와는 다른 격자 상수를 갖는 제1 물질층이 pFET 채널내에 제공되며 기판의 격자 상수와는 다른 격자 상수를 갖는 제2 물질층이 nFET 채널내에 제공된다. 에피택셜 반도체 층이 pFET 채널의 제1 물질층과 nFET 채널의 제2 물질층 위에 형성된다. 에피택셜 반도체 층은 스트레스 요소가 pFET 채널 및 nFET 채널내에 생성되도록 기판과 실질적으로 동일한 격자 상수를 갖는다.
본 발명의 다른 측면에 따라, 반도체 구조물을 제조하기 위한 방법이 제공된다. 상기 방법은 실리콘 또는 실리콘 온 인슐레이터와 같은 기판층에 pFET 및 nFET 채널의 형성을 포함한다. 기판의 격자 상수와는 다른 격자 상수를 갖는 제1 물질층이 pFET 채널내에 제공되며 기판의 격자 상수와는 다른 격자 상수를 갖는 제2 물질층이 nFET 채널내에 제공된다. 에피택셜 반도체 층이 pFET 채널의 제1 물질층과 nFET 채널의 제2 물질층 위에 형성된다. 에피택셜 반도체 층은 기판층과 실질적으로 동일한 격자 상수를 가지며 이에 따라 pFET 채널내의 제1 물질층과 nFET 채널내의 제2 물질층과는 반대되는 스트레스 요소를 생성한다.
본 발명의 또다른 측면에 따라, 반도체 구조물은 Si층과 같은 기판에 형성된 pFET 및 nFET 채널을 포함한다. Si층과 Si층의 격자 상수와는 다른 격자 상수를 갖는 pFET 채널의 제1물질에 얕은 트렌치 격리 구조물이 형성된다. nFET 채널의 제2물질층은 Si층의 격자 상수와는 다른 격자 상수를 갖는다. pFET 채널의 제1 물질층과 nFET 채널의 제2 물질층 위에 형성되는 에피택셜 반도체 층은 Si층과 실질적으로 동일한 격자 상수를 가지며 이에 따라 pFET 채널 및 nFET 채널내에 원하는 스트레스 요소를 생성한다.
도 1a 내지 1f는 본 발명에 따라 디바이스를 형성하기 위한 제조 프로세스를 나타낸다.
도 2a 내지 2d는 본 발명에 따라 디바이스를 형성하기 위한 제조 프로세스를 나타낸다.
도 3은 본 발명에 따라 nFET 디바이스내의 스트레스의 위치를 나타낸다.
도 4는 본 발명에 따라 pFET 디바이스내의 스트레스의 위치를 나타낸다.
본 발명은 CMOS 디바이스의 nFET 채널에는 신장성 스트레스를 제공하고 pFET 내철에는 압축성 스트레스를 제공하는 반도체 디바이스 및 그 제조 방법에 관한 것이다. 일실시예에서, 높은 신장성 스트레스가 디바이스 성능을 증가시키기 위해 pFET 채널에 제공될 수도 있다. 본 발명의 일실시예에서, 채널은 nFET 및 pFET의 형성 영역이 있는 실리콘층에 형성된다. 다음으로, 채널은 밑에 있는 실리콘층의 격자 상수와 매치하지 않는 격자 상수를 갖는 실리콘 기반 물질로 채워진다. 이러 한 물질을 적용하는 것에 의해, 신장성 및/또는 압축성 힘이 nFET 및 pFET의 채널내의 위에 있는 에피택셜 층에 가해진다. 일실시예에서, nFET 및 pFET 채널은 동시에 형성될 수 있다. 본 발명의 제조 프로세스를 이용하는 것에 의해, 높은 수율과 낮은 디바이스 결점뿐만 아니라 개선된 디바이스 특성이 얻어질 수 있다. 또한, 본 발명의 제조 프로세스로 낮은 제조 비용이 실현될 수 있다.
도 1a 내지 1f는 본 발명에 따른 디바이스를 형성하기 위한 제조 프로세스를 나타낸다. 도 1a에서, 실리콘-온-인슐레이터(SOI) 또는 이와 유사한 기판(10)이 제공된다. 이는 베리드 산화물층(15)과 실리콘-온-인슐레이터층(20)(예를 들면, Si층)을 포함한다. SOI 웨이퍼는 당해분야에서 공지된 SIMOX 또는 결합 기술에 의해 형성될 수 있다. 일실시예에서, Si층(20)은 약 300Å에서 1500Å이다;그러나, Si층(20)의 높이는 특정 어플리케이션에 따라 변경될 수 있다는 것도 역시 자명할 것이다.
도 1a를 참조하여, Si층(20)은 패드 산화물화, 패드 질화물 증착, 패터닝 기반 리소그래피, 베리드 산화물 밑에 질화물, 산화물, 실리콘으로 구성된 스택의 반응성 이온 에칭(RIE), 엣지 산화물화, 라이너 증착, 충전 증착, 및 화학적 기계적 연마와 같은 표준 기술들을 이용하여 얕은 트렌치 격리(STI) 피쳐(25)를 형성하기 위해 패터닝된다. STI 형성 프로세스는 당해분야에서 공지되어 있다. 패드 질화물이 그 다음 스트립된다.
도 1b를 참조하여, 산화물층(32)이 STI 영역(25)과 Si층(20)의 연마된 표면상에 증착된다. 이 산화물층(32)의 높이는 변할 수 있고, 일실시예에서는 약 200Å 이다. 포토레지스트 물질로 알려진 임의의 포토레지스트층(35)이 산화물층(32)상에 증착된다. 공지된 마스킹과 리소그래피 패터닝 기술, 반응성 이온 에칭이 포토레지스트층(35)과 산화물층(32)에 수행된다. 이 단계에서, 반응성 이온 에칭은 산화물층에 대해서는 선택적일 수 있다. 이것은 pFET 채널(40) 및 nFET 채널(45)의 형성 프로세스와 동시에 시작한다. 산화물 에칭후, Si층(20)은 도 1c에 도시된 바와 같이 반응성 이온 에칭을 이용하여 선택적으로 에칭된다.
대안적인 단계로, 요구되는 에칭의 깊이에 따라 10keV에서 100keV의 범위의 에너지로 2e14#/cm2에서 1e15#/cm2의 도스(dose)에서 Ge 주입을 이용하여 Si이 먼저 어몰파이즈(amorphize)된다. 이러한 선택적 어몰파이즈 단계는 에칭 품질을 개선하는데 이용될 수 있다. 어떤 제조에 있어서든, pFET 및 nFET의 배치에 대응하여 Si층(20)에 채널(40, 45)이 각각 형성된다. 일실시예에서, 채널(40, 45)은 Si층(20)에서 약 200Å에서 400Å의 깊이로 에칭된다. 그러나, 이러한 깊이는 본 발명의 특정 어플리케이션에 따라 변할 수 있다.
도 1d는 본 발명에 따른 다른 제조 프로세스를 나타낸다. 이 제조 프로세스에 있어서, 포토레지스트 물질(35)은 공지 기술을 이용하여 제거된다. 하드 마스크(50)는 공지된 리소그래피 프로세스를 이용하여 pFET 채널(40)내에서 패터닝된다. 일실시예에서, 하드 마스크는 질화물 물질이고 pFET 채널에 근접하여, 산화물층(32)위에서 패터닝된다. SiGe층(45a)은 약 100Å에서 300Å의 두께로 nFET 채널(45)에서 에피택셜 성장되며, 다른 두께 역시 본 발명에 의해 고려될 수 있다.
SiGe는 보통 Si층(20)보다 큰 격자 상수를 갖는다. 즉, SiGe 물질의 격자 상수는 Si층(20)의 격자 상수와 매치하지 않는다. 그러나, 본 발명의 구조물에 있어서, nFET 채널(45)내의 SiGe층(45a)의 성장때문에, SiGe층(45a)의 격자 구조물은 밑에 있는 Si층(20)의 격자 구조물과 매치하는 경향이 있을 것이다.
Si층(20)에 대한 SiGe(45a)의 격자 매치에 의하여(일반적으로는 보다 큼), SiGe층(45a)과 그 주변 영역이 압력하에 있게 만든다. SiGe층의 주변 영역은 평형 상태를 얻으려고 할 것이므로 SiGe층(45a)상에 형성된 에피택셜 Si층의 신장성 스트레스를 초래한다(도 1f에 도시된 바와 같이). 일실시예에서, SiGe층(45a)의 Ge 함유량은 Si 함유량에 대해 5%에서 50%가 될 수 있다.
도 1e에서, 하드 마스크(50)는 공지된 프로세스에 의해 제거된다. 하드 마스크(55)는 공지된 리소그래피 프로세스를 이용하여 nFET 채널(45)내에서 패터닝된다. 하드 마스크(55)는 또한 nFET 채널(45)에 근접한, 산화물층(32)과 성장된 SiGe층(45a) 위에서 패터닝된다. 또한, 일실시예에서, 하드 마스크(55)는 질화물 물질이다. Si:C층(40a)는 약 100Å에서 300Å의 두께로 pFET의 채널(40)에서 에피택셜 성장되며, 다른 두께 역시 본 발명에서 고려될 수 있다. 당업자라면 도 1e의 프로세스 단계는 도 1d에 도시된 프로세스 단계에 앞서서 수행될 수 있다는 것을 이해할 수 있을 것이다.
단독으로 있을때, Si:C는 보통 Si층(20)보다 작은 격자 상수를 가질 것이다. 즉, Si:C 물질의 격자 상수는 Si층(20)의 격자 상수와 매치되지 않는다. 그러나, 본 발명의 구조물에 있어서, pFET 채널(40)내의 Si:C층(40a)의 성장으로 인해, Si:C층(40a)의 격자 구조물은 밑에 있는 Si층(20)의 격자 상수와 매치될 것이다.
Si층(20)에 대한 Si:C(40a)의 격자 매칭으로 인해, Si:C층(40a) 및 그 주변 영역은 신장성 스트레스하에 있게 된다. SiGe층에서의 발생과 유사하게, Si:C층(40a)의 주변 영역은 평형 상태를 얻을려고 할 것이고 따라서 Si:C층(40a)상에 형성된 에피택셜 Si층의 압축성 스트레스를 초래한다. 일실시예에서, C 함유량은 Si 함유량에 대해 0 % 에서 4%의 비율이다.
도 1f는 중간 구조물을 나타낸다. 이 구조물을 얻기 위해, 도 1e를 참조하여 설명된 것과 유사한 방식으로 하드 마스크(55)가 제거된다. Si 에피택셜층(60)은 pFET 및 nFET의 채널내의 Si:C 및 SiGe층 위에 각각 선택적으로 성장된다. 일실시예에서, Si 에피택셜층(60)은 nFET 채널(45)내에 신장성 스트레스를 pFET 채널(40)내에 압축성 스트레스를 초래하는 SiGe(45a) 또는 Si:C(40a)와 Si 절연층(20)의 주변 구조물과 평형을 유지한다. SiGe층내의 Ge 함유농도를 조정하는 것에 의해, nFET 채널(45)내의 신장성 스트레스를 조정하는 것이 가능하다는 것을 이해하여야 할 것이다. 이와 유사하게, Si:C층내의 C 함유농도를 조정하는 것에 의해, pFET 채널(40)내의 압축성 스트레스를 조정하는 것이 가능하다. 이것은 이러한 물질들의 격자 상수때문이다.
도 1f를 참조하여, 희생 산화물층(65)이 선택적으로 성장된 에피택셜 Si층(60)위에 성장된다. 그 다음 nFET 채널 주입이 수행될 수 있도록 표준 포토레지스트-기반 리소그래피 기술을 이용하여 pFET이 마스크된다. 포토레지스트(도 1f에는 미도시)가 스트립핑된 후, nFET이 마스크되고(이 또한 표준 포토레지스트-기반 리소그래피 기술을 이용) pFET 채널 주입이 수행되고 또 다른 포토레지스트 스트립이 이뤄진다. 그 다음, 희생 산화물층(65)이 스트립되고 도 1f에 도시된 바와 같이 게이트 산화물층(70)이 성장된다. 그 후, 게이트 폴리실리콘(70)이 pFET 및 nFET 영역내에 형성된다. 당업자에게는 공지된, 게이트 폴리 증착과 화학적 기계적 연마가 도 1f에 도시된 구조물을 생산하기 위해 수행된다.
다마신 산화물층(32)의 스트립핑후, 표준 CMOS 프로세싱으로 상기 프로세스를 계속한다. 예를 들면, 공지된 기술을 이용하여 산화물층(32)이 스트립된 후, 표준 스페이서 및 이온 주입 프로세스가 신장부분(extension)과 pFET 및 nFET의 소스 및 드레인 영역을 형성하기 위해 수행될 수 있다.
도 2a 내지 2d는 본 발명에 따른 디바이스를 형성하기 위한 또다른 제조 프로세스를 나타낸다. 도 2a에서, 기판 및 STI가 도 1a와 같은 방식으로 형성된다. 도 2a에서, 실리콘-온-인슐레이터(SOI) 또는 이와 유사한 기판(10)이 제공된다. 이것은 베리드(buried) 산화물층(15)과 실리콘-온-인슐레이터층(20)을 포함한다. SOI 웨이퍼는 공지된 SIMOX 또는 결합 기술에 의해 형성될 수 있다. 일실시예에서, Si층(20)은 약 300Å에서 1500Å이다; 그러나, 당업자라면 본 발명에서 고려되는 Si층(20)의 높이는 특정 어플리케이션에 따라 변경이 가능하다는 것을 알 수 있을것이다.
도 2a를 참조하여, 그 다음 Si층(20)은 Si층(20)은 패드 산화물화, 패드 질화물 증착, 패터닝 기반 리소그래피, 베리드 산화물 밑에 질화물, 산화물, 실리콘으로 구성된 스택의 반응성 이온 에칭(RIE), 엣지 산화물화, 라이너 증착, 충전 증 착, 및 화학적 기계적 연마와 같은 표준 기술들을 이용하여 얕은 트렌치 격리(STI) 피쳐(25)를 형성하기 위해 패터닝된다. STI 형성 프로세스는 당해분야에서 공지되어 있다. 패드 질화물이 그 다음 스트립된다.
도 2b를 참조하여, 산화물층(32)이 STI 영역(25) 및 Si층(20)의 연마된 표면상에 증착된다. 산화물층(32)의 높이는 변할 수 있으며, 일실시예에서는 약 200Å이다. 임의의 포토레지스트 물질일 수 있는 포토레지스트층(35)이 산화물층(32)상에 증착된다. 공지된 마스킹 및 리소스래픽 패터닝 기술, 예를 들면, 반응성 이온 에칭이 그 다음 포토레지스트층(35)과 산화물층(32)상에서 수행된다. 이 단계에서, 반응성 이온 에칭은 산화물층에 대해서는 선택적일 수 있다. nFET 채널(45) 형성 프로세스가 시작된다. 산화물 에칭후, 반응성 이온 에칭을 이용하여 Si층(20이 선택적으로 에칭된다. 에칭 품질을 개선하기 위해 선택적(optional) 비결정성 Si 에칭이 수행될 수 있다. 한가지 구현에서, 채널(45)이 Si 절연층(20)내 약 200Å에서 400Å 깊이로 에칭된다. 그러나, 이 깊이는 본 발명과 이용되는 특정 어플리케이션에따라 변할 수 있다.
도 2c는 본 발명에 따른 다른 제조 프로세스를 나타낸다. 이 제조 프로세스에서, SiGe층(45a)은 약 100Å에서 300Å 높이로 nFET의 채널(45)내에 성장된다. 다른 높이 역시 본 발명에서 고려될 수 있다. 일실시예에서, SiGe의 Ge 함유량은 Si 함유량에 대해 약 0%에서 5%의 비율일 수 있으며, 바람직하게는 약 15%이다. 그 다음, 에피택셜 Si층(60)이 nFET 채널(45)내 SiGe층(45a) 위에 선택적으로 성장된다. 희생 게이트 산화물층이 그 다음 선택적으로 성장된 Si층(60) 위에 성장된다. 그 다음 공지된 제조 프로세스를 이용하여 nFET 마스크 및 웰 주입(well implant)이 제공된다. 게이트 산화물이 그 다음 nFET 영역내에 형성된다. 도 2c에 도시된 구조물을 생산하기 위해 게이트 폴리실리콘(70a)이 증착되고 당업자에게는 공지된 화학적 기계적 연마된다.
도 2b와 2c로 나타난것과 동일한 프로세스가 디바이스의 pFET을 형성하는데 이용될 수 있다. pFET 구조물의 SiGe 대신에 Si:C와 결합한다. 선택적 Si:C 게이트 산화물(65b)과 게이트 폴리(70b)를 결합시키는 pFET을 나타내는 마지막 생성물이 도 2d에 도시되어 있다. 산화물(32)는 스트립되고 표준 CMOS 프로세싱이 프로세스를 계속하는데 이용될 수 있다. 이것은 신장부분, 소스 및 드레인 영역, 실리사이드 형성, 질화물 에칭 정지층, 컨택트 프로세스, 상호접속 등을 포함한다.
본 발명의 또 다른 실시예에서, SiGe 물질로부터 채널내에 약 3GPa보다 큰 스트레스 레벨이 얻어질 수 있으며, SiGe 물질은 pFET 및 nFET 채널 둘다에서 이용될 수 있다. 이러한 접근법은 긴장된(unrelaxed) 시스템을 요하기 때문에 큰 Ge 함유량을 용이하게 한다. 그러므로, pFET에서 설명된 SiGe 증착 단계를 이용하는 것이 가능하다. 높은 스트레스 및 전위(dislocation) 문제와 같이 대치하는 요구로 인해 프로세스(Ge%) 윈도우는 작을 수 있다. 채널과 스트레스 레벨은 임베디드(embedded) 물질과 비교했을때 줄어들기 때문에, 임베디드 물질은 pFET에 대한 이 구조물에 적용하기 위해서, 일실시예에서, 약 25%에서 30%보다 큰 Ge 퍼센티지를 가져야 한다. 이 접근법에서, 독립적 pFET 및 nFET 제어는 존재하지 않는다.
도 3은 본 발명에 따른 nFET 디바이스내의 스트레스 위치를 나타낸다. 도 3 에 도시된 바와 같이, 긴장된 SiGe 영역이 압축하에 있는 nFET의 채널내에 신장성 스트레스가 존재한다. 좀더 구체적으로, 본 발명의 구조물에서, SiGe층(45a)의 격자 상수는 밑에 있는 Si 절연층(20)의 격자 상수와 매치한다. 이것은 SiGe층(45a)과 그 주변 영역이 압축성 스트레스하에 있도록 한다. 주변 영역은 평형 상태를 얻으려 할 것이고 따라서 SiGe층(45a)상에 형성된 에피택셜 Si층(60)의 신장성 스트레스를 초래한다.
도 4는 본 발명에 따른 pFET 디바이스내의 스트레스 위치를 나타낸다. 도 4에 도시된 바와 같이, 긴장된 Si:C 영역이 신장(tension)하에 있는 pFET의 채널내에 압축성 스트레스가 존재한다. 좀더 구체적으로, 본 발명의 구조물에서, Si:C 층(40a)의 격자 구조물은 밑에 있는 Si 절연층(20)의 격자 구조물과 매치할 것이다. 이것은 Si:C층(40a)와 그 주변 영역이 신장성 스트레스하에 있게 한다. SiGe층에서 발생하는 것과 같이, Si:C층(40a)의 주변 영역은 평형 상태를 얻을 것이다. 이것은, 그러나, Si:C층(40a)상에 형성된 에피택셜 Si층(60)의 압축성 스트레스를 초래한다.
한가지 구현에서, nFET의 Si 에피택셜(60)내의 세로방향 스트레스 요소(소스로부터 드레인으로의 전류 흐름 방향의 스트레스)의 바람직한 범위는 100MPa보다 큰 신장치(tensile value)이며, pFET Si 채널내에서는 100MPa보다 큰 압축치(compressive value)가 바람직하다.
따라서, 본 발명의 구조물에서, 신장성 스트레스가 nFET의 채널내에 형성되고 압축성 스트레스가 pFET내에 형성된다. 한가지 구현에서, 높은 신장성 스트레스 또한 pFET내에 형성될 수 있다. 이러한 스트레스를 허용하는 것에 의해, 높은 디바이스 성능이 얻어질 수 있다. 또한, 본 발명의 프로세스에서, 높은 수율을 가지며 제조 비용이 감소될 수 있다.
본 발명은 실시예의 형식으로 설명되었지만, 당업자라면 첨부된 청구항들의 의도 및 범위를 벗어나지 않는 변경이 가해질 수 있음을 알 수 있을 것이다. 예를 들면, 본 발명은 벌크 기판에 대해 쉽게 적용할 수 있다.

Claims (26)

  1. 반도체 구조물 제조 방법에 있어서,
    기판에 p-타입 전계-효과-트랜지스터(pFET) 채널 및 n-타입 전계-효과-트랜지스터(nFET) 채널을 형성하는 단계와,
    상기 기판의 격자 상수(lattice constant)와 다른 격자 상수를 갖는 제1 물질층을 상기 pFET 채널내에 제공하는 단계와,
    상기 기판의 격자 상수와 다른 격자 상수를 갖는 제2 물질층을 상기 nFET 채널내에 제공하는 단계와,
    상기 pFET 채널의 상기 제1 물질층 및 상기 nFET 채널의 상기 제2 물질층 위에 에피택셜 반도체 층을 형성하는 단계 -상기 pFET 채널 및 상기 nFET 채널내에 스트레스 요소(stress component)가 생성되도록 상기 에피택셜 반도체 층은 상기 기판과 실질적으로 동일한 격자 상수를 가짐-
    를 포함하는, 반도체 구조물 제조 방법.
  2. 제1항에 있어서,
    상기 pFET 채널 및 상기 nFET 채널은 동시에 형성되는, 반도체 구조물 제조 방법.
  3. 제1항에 있어서,
    상기 pFET 채널 및 상기 nFET 채널은 개별적으로 형성되는, 반도체 구조물 제조 방법.
  4. 제1항에 있어서,
    상기 제1 물질층은 Si에 대한 Ge 함유량이 25%보다 큰 SiGe인, 반도체 구조물 제조 방법.
  5. 제4항에 있어서,
    상기 제1 물질층은 상기 에피택셜 반도체 층내에 3GPa보다 큰 신장성(tensile) 스트레스를 생성시키는, 반도체 구조물 제조 방법.
  6. 제1항에 있어서,
    상기 제2 물질층은 SiGe인, 반도체 구조물 제조 방법.
  7. 제6항에 있어서,
    상기 제2 물질층은 상기 nFET 채널내의 상기 에피택셜 반도체 층내에 신장성 스트레스를 생성시키는, 반도체 구조물 제조 방법.
  8. 제1항에 있어서,
    상기 제1 물질층은 Si:C인, 반도체 구조물 제조 방법.
  9. 제1항에 있어서,
    상기 에피택셜 반도체 층 위에 게이트 산화물 구조물을 형성하는 단계와,
    상기 게이트 산화물 구조물의 측면상의 기판내에 신장부분(extension)과 드레인 영역 및 소스 영역을 형성하는 단계를 더 포함하는, 반도체 구조물 제조 방법.
  10. 제1항에 있어서,
    상기 nFET 및 pFET 채널을 형성하는 단계는 약 200Å에서 400Å의 깊이로 상기 Si층을 에칭하는 단계를 포함하는, 반도체 구조물 제조 방법.
  11. 제1항에 있어서,
    상기 제1 물질층은 상기 nFET 채널위에 하드 마스크를 배치하고 상기 pFET 채널내에 상기 제1 물질층을 성장시키는 것에 의해 형성되고,
    상기 제2 물질층은 pFET 채널위에 하드 마스크를 배치하고 상기 nFET 채널내에 상기 제2 물질층을 성장시키는 것에 의해 형성되는, 반도체 구조물 제조 방법.
  12. 제1항에 있어서,
    상기 기판내에 얕은 트렌치 구조물을 형성하는 단계를 더 포함하는, 반도체 구조물 제조 방법.
  13. 제1항에 있어서,
    상기 제1 물질층 및 제2 물질층은 약 100Å에서 300Å의 높이로 성장되는, 반도체 구조물 제조 방법.
  14. 제1항에 있어서,
    상기 기판층은 실리콘-온-인슐레이터(SOI)인, 반도체 구조물 제조 방법.
  15. 제1항에 있어서,
    상기 제1 물질층 및 제2 물질층은 둘다 SiGe물질이며, pFET에 적용하기 위해 약 25%에서 30%보다 큰 Ge 퍼센티지를 갖는, 반도체 구조물 제조 방법.
  16. 반도체 구조물 제조 방법에 있어서,
    기판에 p-타입 전계-효과-트랜지스터(pFET) 채널 및 n-타입 전계-효과-트랜지스터(nFET) 채널을 형성하는 단계와,
    상기 기판의 격자 상수와 다른 격자 상수를 갖는 제1 물질층을 상기 pFET 채널내에 제공하는 단계와,
    상기 기판의 격자 상수와 다른 격자 상수를 갖는 제2 물질층을 상기 nFET 채널내에 제공하는 단계와,
    상기 pFET 채널의 상기 제1 물질층 및 상기 nFET 채널의 상기 제2 물질층 위 에 에피택셜 반도체 층을 형성하는 단계 -상기 에피택셜 반도체 층은 상기 기판과 실질적으로 동일한 격자 상수를 가지며 따라서 상기 pFET 채널내의 상기 제1 물질층 및 상기 nFET 채널내의 상기 제2 물질층과는 상반되는 스트레스 요소를 생성시킴-
    를 포함하는, 반도체 구조물 제조 방법.
  17. 제16항에 있어서,
    상기 pFET 채널 및 상기 nFET 채널은 동시에 형성되는, 반도체 구조물 제조 방법.
  18. 제16항에 있어서,
    상기 pFET 채널 및 상기 nFET 채널은 개별적으로 형성되는, 반도체 구조물 제조 방법.
  19. 제16항에 있어서,
    상기 제1 물질층은 Si:C 이며 상기 제2 물질층은 SiGe인, 반도체 구조물 제조 방법.
  20. 제19항에 있어서,
    상기 제1 물질층은 상기 pFET 채널내의 상기 에피택셜 반도체 층내에 압축성 스트레스를 생성시키며,
    상기 제2 물질층은 상기 nFET 채널내의 상기 에피택셜 반도체 층내에 신장성 스트레스를 생성시키는, 반도체 구조물 제조 방법.
  21. 제16항에 있어서,
    상기 에피택셜 반도체 층위에 게이트 산화물 구조물을 형성하는 단계와,
    상기 산화물 구조물의 측면상의 Si층내에 신장부분과 드레인 영역 및 소스 영역을 형성하는 단계를 더 포함하는, 반도체 구조물 제조 방법.
  22. 제16항에 있어서,
    상기 제1 물질층은 상기 nFET 채널위에 하드 마스크를 배치하고 상기 pFET 채널내에 상기 제1 물질층을 성장시키는 것에 의해 형성되며,
    상기 제2 물질층은 상기 pFET 채널위에 하드 마스크를 배치하고 상기 nFET 채널내에 상기 제2 물질층을 성장시키는 것에 의해 형성되는, 반도체 구조물 제조 방법.
  23. 반도체 구조물에 있어서,
    기판에 형성된 p-타입 전계-효과-트랜지스터(pFET) 채널과,
    상기 기판에 형성된 n-타입 전계-효과-트랜지스터(nFET) 채널과,
    상기 기판에 형성된 얕은 트렌치 격리 구조물과,
    상기 기판의 격자 상수와 다른 격자 상수를 갖는 상기 pFET 채널내의 제1 물질층과,
    상기 기판의 격자 상수와 다른 격자 상수를 갖는 상기 nFET 채널내의 제2 물질층과,
    상기 pFET 채널의 상기 제1 물질층 및 상기 nFET 채널의 상기 제2 물질층 위에 형성된 에피택셜 반도체 층 -상기 에피택셜 반도체 층은 상기 기판과 실질적으로 동일한 격자 상수를 가지며 따라서 상기 pFET 채널 및 nFET 채널내에 원하는 스트레스 요소를 생성시킴-
    을 포함하는, 반도체 구조물.
  24. 제23항에 있어서,
    상기 제1 물질층은 Si:C 이며 상기 제2 물질층은 SiGe인, 반도체 구조물.
  25. 제23항에 있어서,
    상기 제1 물질층 및 제2 물질층은 상기 pFET 채널에 약 3GPa 보다 큰 스트레스 레벨을 생성시키는 SiGe인, 반도체 구조물.
  26. 제23항에 있어서,
    상기 제1 물질층은 상기 pFET 채널내의 상기 에피택셜 반도체 층내에 압축성 스트레스를 생성시키며,
    상기 제2 물질층은 상기 nFET 채널내의 상기 에피택셜 반도체 층내에 신장성 스트레스를 생성시키는, 반도체 구조물.
KR1020067007125A 2003-10-20 2004-10-19 Cmos를 위한 스트레인드 비-전위 채널 및 그 제조 방법 KR100910902B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/687,608 US7037770B2 (en) 2003-10-20 2003-10-20 Method of manufacturing strained dislocation-free channels for CMOS
US10/687,608 2003-10-20

Publications (2)

Publication Number Publication Date
KR20060089736A true KR20060089736A (ko) 2006-08-09
KR100910902B1 KR100910902B1 (ko) 2009-08-05

Family

ID=34521006

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067007125A KR100910902B1 (ko) 2003-10-20 2004-10-19 Cmos를 위한 스트레인드 비-전위 채널 및 그 제조 방법

Country Status (7)

Country Link
US (2) US7037770B2 (ko)
EP (1) EP1676296B1 (ko)
JP (1) JP5046153B2 (ko)
KR (1) KR100910902B1 (ko)
CN (1) CN101095211B (ko)
TW (1) TWI327779B (ko)
WO (1) WO2005043590A2 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
JP4888118B2 (ja) * 2004-09-16 2012-02-29 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US8900980B2 (en) 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
DE102006046380B4 (de) * 2006-09-29 2011-03-24 Globalfoundries Inc. Verfahren zur Herstellung eines Feldeffekttransistors mit einem elastisch verspannten Kanalgebiet und Feldeffekttransistor
KR100833498B1 (ko) 2006-10-19 2008-05-29 한국전자통신연구원 스트레인드 베리드 채널을 구비하는 광소자
DE102006051492B4 (de) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
KR101378987B1 (ko) * 2006-10-31 2014-03-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 인장성 스트레인 및 압축성 스트레인을 생성시키기 위한 임베드된 Si/Ge 물질을 갖는 NMOS 및 PMOS 트랜지스터를 포함하는 반도체 디바이스
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US7531401B2 (en) 2007-02-08 2009-05-12 International Business Machines Corporation Method for improved fabrication of a semiconductor using a stress proximity technique process
JP5359863B2 (ja) * 2007-02-22 2013-12-04 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100902105B1 (ko) * 2007-11-09 2009-06-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US7687354B2 (en) * 2008-02-29 2010-03-30 Freescale Semiconductor, Inc. Fabrication of a semiconductor device with stressor
US8012839B2 (en) 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US8624295B2 (en) * 2008-03-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM devices utilizing strained-channel transistors and methods of manufacture
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
CN102473642B (zh) * 2009-07-08 2014-11-12 株式会社东芝 半导体装置及其制造方法
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US20110068368A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device comprising a honeycomb heteroepitaxy
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
CN102110710A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 形成有沟道应力层的半导体结构及其形成方法
CN101924138B (zh) * 2010-06-25 2013-02-06 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制备方法
US20120080722A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics,Chinese Academy of Sciences Method for forming strained semiconductor channel and semiconductor device
US9006052B2 (en) * 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
EP2477211B1 (en) * 2011-01-17 2019-03-06 IMEC vzw Method for selective deposition of a semiconductor material
CN102832171A (zh) * 2011-06-13 2012-12-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR101865754B1 (ko) * 2011-07-01 2018-06-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
FR2995134B1 (fr) * 2012-09-05 2015-12-18 Commissariat Energie Atomique Procede de gravure d'un materiau semiconducteur cristallin par implantation ionique puis gravure chimique a base de chlorure d'hydrogene
FR2995135B1 (fr) 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US8796096B2 (en) * 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
CN103928403B (zh) * 2013-01-10 2016-08-10 中芯国际集成电路制造(上海)有限公司 含应变硅层的半导体器件的形成方法
US8927363B2 (en) * 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US9484266B1 (en) 2015-08-04 2016-11-01 International Business Machines Corporation Complementary heterogeneous MOSFET using global SiGe substrate and hard-mask memorized germanium dilution for nFET
US9685510B2 (en) 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
CN108037131B (zh) * 2017-12-21 2020-10-16 上海华力微电子有限公司 一种对插塞缺陷进行检测的方法

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US3836999A (en) * 1970-09-21 1974-09-17 Semiconductor Res Found Semiconductor with grown layer relieved in lattice strain
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
JPH0650723B2 (ja) * 1984-10-17 1994-06-29 日本電気株式会社 エピタキシヤル成長方法
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
JP3443343B2 (ja) * 1997-12-03 2003-09-02 松下電器産業株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
EP1672700A2 (en) * 1999-11-15 2006-06-21 Matsushita Electric Industrial Co., Ltd. Field effect semiconductor device
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6969875B2 (en) * 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
JP2002033477A (ja) * 2000-07-13 2002-01-31 Nec Corp 半導体装置およびその製造方法
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
JP3998408B2 (ja) * 2000-09-29 2007-10-24 株式会社東芝 半導体装置及びその製造方法
US6506639B1 (en) * 2000-10-18 2003-01-14 Advanced Micro Devices, Inc. Method of forming low resistance reduced channel length transistors
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
AU2002322105A1 (en) * 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
WO2003015142A2 (en) * 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003092399A (ja) * 2001-09-18 2003-03-28 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
JP4034627B2 (ja) * 2001-09-28 2008-01-16 テキサス インスツルメンツ インコーポレイテツド 集積回路及びその製造方法
US6461900B1 (en) * 2001-10-18 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form a self-aligned CMOS inverter using vertical device integration
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6790699B2 (en) * 2002-07-10 2004-09-14 Robert Bosch Gmbh Method for manufacturing a semiconductor device
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Also Published As

Publication number Publication date
EP1676296B1 (en) 2014-12-10
US7495291B2 (en) 2009-02-24
KR100910902B1 (ko) 2009-08-05
US20050085022A1 (en) 2005-04-21
TWI327779B (en) 2010-07-21
CN101095211A (zh) 2007-12-26
CN101095211B (zh) 2010-08-11
TW200525765A (en) 2005-08-01
US7037770B2 (en) 2006-05-02
US20050139930A1 (en) 2005-06-30
WO2005043590A3 (en) 2006-09-21
JP5046153B2 (ja) 2012-10-10
WO2005043590A2 (en) 2005-05-12
JP2007509503A (ja) 2007-04-12
EP1676296A2 (en) 2006-07-05
EP1676296A4 (en) 2008-08-20

Similar Documents

Publication Publication Date Title
KR100910902B1 (ko) Cmos를 위한 스트레인드 비-전위 채널 및 그 제조 방법
US7198995B2 (en) Strained finFETs and method of manufacture
US8633071B2 (en) Silicon device on Si: C-oi and Sgoi and method of manufacture
US7791144B2 (en) High performance stress-enhance MOSFET and method of manufacture
US7494902B2 (en) Method of fabricating a strained multi-gate transistor
KR100985935B1 (ko) Si:C 및 SiGe 애피택셜 소스/드레인을 사용하는고성능의 스트레스 강화 MOSFETs 및 제조 방법
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
US7838932B2 (en) Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130627

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee