JP4034627B2 - 集積回路及びその製造方法 - Google Patents

集積回路及びその製造方法 Download PDF

Info

Publication number
JP4034627B2
JP4034627B2 JP2002278818A JP2002278818A JP4034627B2 JP 4034627 B2 JP4034627 B2 JP 4034627B2 JP 2002278818 A JP2002278818 A JP 2002278818A JP 2002278818 A JP2002278818 A JP 2002278818A JP 4034627 B2 JP4034627 B2 JP 4034627B2
Authority
JP
Japan
Prior art keywords
gate
dielectric
silicate
preferred
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002278818A
Other languages
English (en)
Other versions
JP2003188275A (ja
Inventor
エム ウォレス ロバート
イー グネイド ブルース
Original Assignee
テキサス インスツルメンツ インコーポレイテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテツド filed Critical テキサス インスツルメンツ インコーポレイテツド
Publication of JP2003188275A publication Critical patent/JP2003188275A/ja
Application granted granted Critical
Publication of JP4034627B2 publication Critical patent/JP4034627B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes

Description

【0001】
【発明の属する技術分野】
本発明は、エレクトロニック半導体デバイスに関し、特に、集積回路の誘電体構造及びその製造方法に関する。
【0002】
【従来の技術】
半導体集積回路の小型化構造のサイズによる高デバイス密度及び動作電圧に対する傾向は、一般に二酸化シリコンから作られる、シリコンの電界効果(MOS)トランジスタのゲート誘電体ヘ導き、ゲートのチャネルへの容量性結合を維持するために1−2nmのオーダの厚さに近づいている。しかし、この薄い酸化物は、酸化物をとおるキャリアによるリーク電流の問題を起こす。結果的に、大きな物理的な厚さを可能にするために、大きな誘電率を有する代わりのゲート誘電体が提案されている。実際に、Ta25、(Ba、Sr)TiO3、及び他の高誘電率材料が提案されているが、これらの材料はシリコンとの境界の安定性が劣っている。
【0003】
WilkとWallaceによる"Electrical Properties of Hafnium Silicate Gate Dielectrics Deposited Directly on Silicon", 74 Appl. Phys. Lett. 2854(1999)は、5nm厚のHf6Si2965(Hf0.37SI1.784)層のシリケート誘電体上の金の電極と共にシリコン上に(5x10-6mTorrの圧力及び500℃の基板温度で)スパッタリング堆積することによって形成されたハフニウムシリケート(hafnium silicate)誘電体を有するキャパシタに関する測定値を開示している。このようなキャパシタは、低いリーク電流、熱安定性、約11の実効誘電率、及び10MV/cmの破壊フィールドを示した。
【0004】
シリコン集積回路に対する増大した性能への他のアプローチは、PMOSに対する高いホール移動度(mobility)を達成し、さらに、へテロ接合のバイポーラトランジスタ(HBTs)を設けるために、シリコン基板上にSiXGe1-X層を用いている。Sharama他による、"Properties of Gate Quality Silicon Dioxide Films Deposited on Si-Ge Using Remote Plasma-Enhanced Chemical Vapor Deposition", 17 JVST B 460 (2000)は、厚さが20-40nmで、xが0.85-0.9の範囲にあるSiXGe1-X層上に二酸化シリコンの誘電体を有するキャパシタに関する測定値を記載している。同様に、Ngai他による、"Electrical Properties of ZrO2 Gate Dielectric on SiGe, 76 Appl. Phy. Lett. 502 (2000)は、シリコン上のSi0.85Ge0.15の厚さが40nmの層上の3-8nm厚のZrO2を有するキャパシタに関する測定を示している。
【0005】
しかし、これらの誘電体は、誘電体とSiGeの境界に形成される界面層を伴う問題を有している。
【0006】
他のSiGeのアプローチは、SiO2のゲート誘電体を形成するためにSiGe上に薄いバッファSi層を堆積しているが、これらのアプローチは、SiGeが移動度を増大すると言う充分な利点を達成しない.
【0007】
【発明の概要】
本発明は、バッファ層のないSiGe及びSi面に対する金属シリケートまたはシリケート−ゲルマネート(silicate-germanate)誘電体による集積回路の製造を提供する。
【0008】
これにより、簡単な処理でCMOS集積回路の向上した性能を有する利点がある。
【0009】
【発明の実施の形態】
1.概要
好適な実施の形態の集積回路及び構造は、メタルシリケートまたはメタルゲルマネートの特別な場合を含むメタル・シリケート−ゲルマネートから作られたゲート誘電体を有するSiGe面を有する。例として、ハフニウム・シリケート−ゲルマネート、ジルコニウム・シリケート−ゲルマネート、ランタニウム・シリケート−ゲルマネート等を含む。これらのシリケート−ゲルマネートは、SiGeで熱力学的に安定している。
【0010】
さらに好適な実施の形態は、Ge又はSi基板上にこれらのシリケート-ゲルマネートを有し、又絶縁誘電体の少なくとも一部としてシリケート-ゲルマネートの使用を含んでいる。
【0011】
これらの好適な構造の各々は、CMOS又はBiCMOS集積回路における異なる構造と組合せて使用することができる。例えば、PMOSに対してSiGe面を、またNMOSに対してSi面を有するCMOS、及びPMOSとNMOSの双方に対して共通のハフニウム・シリケート-ゲルマネート誘電体である。
【0012】
他の好適な実施の形態は、増大した熱力学の安定性のためのゲート誘電体として、Si及び/又はGeをメタル・アルミネートを組み込むことができる。
【0013】
2.SiGeプラスSi上のシリケートのゲート誘電体のCMOSの好適な実施の形態
図1a-図1eは、ハフニウム・シリケートのゲート誘電体、ポリシリコンゲート、及びSiチャネル領域のNMOSと共にSiGeチャネル領域のPMOSを有する電界効果トランジスタ(例えば、CMOS又はBiCMOS)を含む集積回路のための第1の好適な実施の形態の製造方法のステップを縦断面の形状で示す。この好適な実施の形態は、以下のステップを含む。
【0014】
(1)基板
<100>のオリエンテーションを有するp型シリコン(又はsilicon-on-insulator (SOI):絶縁体上のシリコン)で開始し、パッド酸化物の成長による薄いトレンチ絶縁、窒化物の堆積、トレンチパターン、窒化物-酸化物-シリコン・トレンチのエッチング(トレンチの深さは300nmとすることができる)、トレンチ表面の酸化、ブランケットAPCVD酸化物の堆積による充填、エッチバック又はCMP平坦化、及びパッド酸化物を除く窒化物のストリップを形成する。図1aは、NMOSとPMOSトランジスタの製造のためのトレンチ絶縁及び配置を示す基板の縦断面図である。
【0015】
次に、PMOS領域からパッド酸化物を取り除き、PMOS領域におけるシリコン表面上に30nmの厚さまでSi0.85Ge0.15の選択性エピタキシャル成長のためマスク酸化物として残りのパッド酸化物(及びトレンチ絶縁)を使用する。この層は、圧縮性の歪を受けるが、その厚さのために安定である。図1bを参照されたい。
【0016】
その後、n-及びp-型ウエル(さらに、任意に、メモリセルアレイのウエルおよびバイポーラデバイスの埋め込み層)を形成するために多数のマスクされたドーパントイオン注入を行ない、更に、チャネル停止領域、パンチスルー防止領域、及びスレッショルド調整領域を形成する。イオン注入のドーズ量及び深さは、周辺トランジスタと比較して、メモリセルアレイのトランジスタに対しては異なっていることに留意すべきである。又、同じ型の高電圧及び低電圧のトランジスタの双方が形成され、異なるイオンドーズ量および深さを有することができる。急速熱アニール(例えば、1050℃で30秒間)が行なわれ、注入されたドーパント(例えば、ホウ素及びリン)を拡散する。
【0017】
(2)ゲート誘電体の堆積
緩衝材で処理されたHF溶液でNMOS領域から残りのパッド酸化物を取り除き、洗浄及び乾燥を行なう。これにより、NMOS及びPMOSトランジスタのそれぞれに対してその位置にあるSi及びSiGeを露出する。ヘテロ接合バイポーラトランジスタ(HBTs)は、ベース及び堆積されたポリシリコンのエミッタとしてSiGeを有するSiGe領域にある。
【0018】
次に、例えば、スパッタリング又はLPCVDによってHfXSiY4の7nm厚のゲート誘電体層を堆積する。図1cを参照されたい。スパッタリングはHfO2とSiO2のターゲットを使用し、ソースターゲットの組成及び堆積条件によって容易に制御されるHfとSiの比及び酸素含有量でシリケート誘電体層を形成する。誘電体の組成は、CMOSデバイスに対するチャネルにおける高いキャリヤの移動度を有するSiGeとSiとの境界を生じるように制御される。特に、SiGe境界における酸化を防止するために過剰な酸素を避ける。Hfの少ない誘電体は結晶化を妨げ、その結果、誘電体はアモルファスになり、殆どリークしない。実際に、組成が、例えば、HfO2又はHfSiO4(HfO2+SiO2)のようなフェーズに近づけば近づく程、誘電体は結晶化し、結晶粒界に沿ってリークを示すようになる。好適な実施の形態は、このような結晶化、例えば、豊富なSiO2を避けるフェーズスペースにポイントを得ようとする。しかし、アモルファス性を保つことと結晶が増大する充分高い誘電率を得ることの間にはトレードオフがある。実際に、HfO2の誘電率は約25であり、SiO2のそれは約4であるので、HfXSi2-X4の誘電率に対するリニアな補間近似は4+11xである。しかし、測定された誘電率は、多分、金属濃度が増加するにしたがって、ボンディングが変化するために、このリニアな近似より大きいようである。したがって、少なくとも10の誘電率を持つために、Hfの含有量は陽イオン(カチオン)の少なくともおよそ25%(即ち、x>0.5)になる必要があるが、容易な結晶化を避けるために、Hfの含有量はカチオンの50%より非常に少ない(即ち、x≪1)必要がある。
【0019】
いろいろな組成範囲が異なる応用に適している。例えば、0.6<x<0.7は良好なトレードオフであり、0.3<x<0.6はリークが少ないが、低い誘電率を有する。一方、0.7<x<0.8は、誘電率が高いが悪いリークを有する。さらに、HfXSi2-X4の酸素不足は、酸素アニールによって解消することができる。
【0020】
約14の実効誘電率の場合、7nm厚のシリケートのゲート誘電体は、2nmの等価な二酸化シリコンの厚さを有するが、このような薄い二酸化シリコンのゲート誘電体のリーク(トンネル)電流を有しない。
【0021】
シリケート誘電体のLPCVD形成は、10Pa(75mTorr)から3000Pa(22.5Torr)のトータル圧力及び300℃から700℃の範囲の基板温度で、Siに対する先駆物質としてSiH4を有し、酸素に対してN2O、Hfに対してHf(CH34を有する。酸素源とハフニウム・シリコン源の比は、本質的に完全な酸素及び最小のHf−Siボンディングを保証する必要がある。ハフニウム源とシリコン源の比は、結果の誘電体におけるHf−Siの比を決定する。
【0022】
先駆物質は表面で本質的に反応し、全体の反応は、
SiH4+Hf(CH3)4+20N2O→HfSiO4+4CO2+8H2O+20N2
に近似することができる。著しく酸化している堆積の場合、SiGe表面におけるSiは優先的に酸化し、粗い境界にGeを残す。
【0023】
他のHfの先駆物質、例えば、ビス−2,4ペンタジオン・ハフニウム(bis-2,4 pentadione hafnium)、TDMAH(tetrakis-dimethylamino hafnimu:テトラキス−ジメチルアミノ・ハフニウム)又はHfCl4を、Hf(CH34の代わりに用いることができる。実際に、Hf及びSiの双方を含む先駆物質、例えばHfシロキサン(Hf siloxane)を用いることができる。
【0024】
他の好適な実施の形態であるシリケート誘電体は、ZrxSiy4及びLaxSiy4、及びM−OボンディングがSi−Oボンディングより強い場合の他の金属シリケートMxSiy4を含み、Si及びSiGe表面上の安定性を保証する。再び、シリケート誘電体の組成は、結晶化を阻止するために化学量論的シリケートを避けるべきであり、したがって金属部分xは前述のような範囲にあるべきである。
【0025】
(3)ゲート材料の堆積
シリケートゲート誘電体上に300nm厚のアモルファスシリコン(ポリシリコン)のゲート材料層を堆積する。シランを有する低温のLPCVDが熱バジェットを低く保ち、シリケート誘電体の結晶化を妨げる。また、固有抵抗を減少する金属又はシリサイドのキャップ層をシリコン層の上に堆積することができる。
(4)ゲートパターニング
ゲート及びゲートレベルの相互接続(配線)を規定するためにホトレジストをスピンオンし、露光し、且つ現像する。その後、エッチングのマスクとしてのホトレジストによって、アモルファスシリコン(ポリシリコン)を異方性のプラズマエッチングして、ゲート及びゲートレベルの相互接続を形成する。このエッチングは、酸化物と窒素物、及びシリケート誘電体に非常に感度のよいHBrプラス酸素プラズマである。ゲートは、100−150nmオーダの長さ(ライン幅)を有している。
【0026】
(5)軽くドープしたドレイン
シリケート誘電体によって、及びNMOSとPMOS領域に対してうまくマスキングする非臨界的なホトレジストでゲートに整列され、軽くドープされたドレイン(LLD)のイオン注入を行なう。選択的に、イオン注入する前に、低温酸素プラズマでアモルファスシリコンゲートの側壁上に薄い保護酸化物を成長させる。さらに、Si又はSiGe基板へのHfのノックオンを制限するために、イオン注入する前に露出したシリケート誘電体を選択的に薄くする(又は取り除く)。200℃の基板温度でCFx+Cl2によるプラズマエッチングがHfCl4+SiF4+CO2プラス関連した化合物としてのシリケート誘電体を主にガス化する。代わりに、ウエットエッチングを用いることができる。
(6)側壁スペーサ
窒化シリコン(又は、他の便利な誘電体)のコンフォーマルな堆積、続いて異方性エッチングを行なうことによってゲート(及びゲートレベルの相互接続)上に側壁スペーサを形成する。異方性エッチングは、フッ素プラス不活性ガスの、及び酸化物に選択性があるプラズマである。
【0027】
(7)ソース/ドレイン
(薄くされるか、又は取り除かれた)シリケート誘電体とおして、及びNMOSとPMOS領域に対して非臨界的なマスキングを有するゲートプラス側壁スペーサに整列され、軽くドープされたソース/ドレインのイオン注入を行なう。露出したシリケート誘電体を取り除いた後に続くバリエーションは、自己整合されたシリサイド化であり、ゲートの上部及びソース/ドレインの双方の上にシリサイドを形成する。このシリサイド化は、ブランケット金属(Ti又はCo又はNi)堆積、続いて下にあるシリコンとの反応、その後、反応しない金属(又は窒素雰囲気においてTiのシリサイド化の場合ではTiN)の除去によって行なわれる。
(8)PMD及び相互接続レベル
ゲート及びゲートレベルの相互接続(例えば、リフローされたBPSG、又はモービルゲッタラー(mobile getterers)を含むコンフォーマルな、平坦化された層のスタック)上に平坦化されたプリメタル誘電体を形成する。この平坦化はCMP又はエッチバックによって行なわれる。その後、ソース/ドレイン及びゲート/相互接続へのコンタクトのためPMD層プラス下にあるシリケート誘電体(もし、あれば)を通してビアをホトリソグラフィ的に画定し、エッチングする。その後、導電性材料(例えば、TiN、W、ポリシリコン、バリア層プラス充填など)のブランケット堆積によって、ビアを導電性プラグで充填する。
【0028】
その後、上部に相互接続層を形成し、不動態化及びパッキングによって集積回路を完成する。
代替として、相互接続及びビアの形成のために二重ダマシンを用いることを含む。
【0029】
3.SiGe及びSi上のシリケート−ゲルマネートのゲート誘電体の好適な実施の形態
第2の好適な実施の形態は、第1の好適な実施の形態のステップをたどるが、シリケートのゲート誘電体をシリケート−ゲルマネートのゲート誘電体で置き換える。特に、ステップ(2)において、スパッタリング又はLPCVDによって再びHfXGeWSiZ4を約7nmの厚さまで堆積する。スパッタリングターゲットは、HfO2、GeO2およびSiO2の混合物であるか、または酸素−アルゴン(例えば、50%−50%)プラズマにおいて反応性スパッタリングされるHfSi2プラスSiGe合金のようなシリサイドであってもよい。実際にシリサイドを有するスパッタリングは、境界におけるシリサイドを堆積するために、初期のアルゴンプラズマを可能にし、続いて、プラズマへの酸素の追加及びシリケートの形成を可能にする。LPCVDの先駆物質は、GeH4、SiH4、N2O、及びHf(CH34または第1の好適な実施の形態の先駆物質の他の類似物である。ソースにおけるGeとSiの比、及び堆積条件は結果の誘電体組成を決定する。SiGeとほぼ同じシリコンとゲルマニウムの比を有するシリケート−ゲルマネートでSiGeへの堆積は、誘電体と基板の間のSi又はGeの交換反応を妨げる。再度、好適な実施の形態は、高誘電率とアモルファスのリークの制御の間で妥協(トレードオフ)を求める。Hfの少ないシリケート−ゲルマネートは結晶化を妨げ、その結果、誘電体はアモルファスになる。実際に、第1の好適な実施の形態のHfXSiY4に類似して、0.4から0.8の範囲にあるxを有するHfXGeWSiZ4は、充分高い誘電率プラス充分高い結晶化へのバリアを生じる。且つ、およそ15と85のwとzの比は、SiGePMOS領域におけるGeとSiの比に一致する。したがって、第2の好適な実施の形態は、例えば、PMOSに対してSi0.85Ge0.15領域及びNMOSに対してSiを有するCMOSに対するHf0.6Ge0.2Si1.24のようなゲート誘電体を提供する。
【0030】
図2の台形の領域は、(1)最小の金属含有による充分高い誘電率、(2)アモルファス化による充分低いリーク、及び(3)Si/Geによる堆積中の境界の安定性を示している。
【0031】
第1の好適な実施の形態の場合のように、LPCVDの先駆物質は、表面で本質的に反応し、全体の反応は、
GeH4+6SiH4+3Hf(CH3)4+76N2O→
5Hf0.6Ge0.2Si1.2O4+12CO2+32H2O+76N2
に近似する。
【0032】
シリケート−ゲルマネートは、PMOS領域におけるSiGe表面とほぼ同じGeとSiの比を有しており、この組成は境界層の形成を阻止する。実際に、過度に酸化している堆積の場合、シリコンは優先的に酸化し、表面にGeを残す。
【0033】
第1の好適な実施の形態の先駆物質に類似の他のLPCVDの先駆物質を用いることができる。
【0034】
4.Ge上のシリケート−ゲルマネートのゲート誘電体の好適な実施の形態
第3の実施の形態は、第2の実施の形態をたどるが、PMOSとNMOS領域の双方においてGe表面に適用する。シリケート−ゲルマネートのゲート誘電体は、Ge上で安定する。実際に、ゲート誘電体におけるシリコンとゲルマニウムの比は、第2の実施の形態におけるように下にある基板のシリコンによって束縛されない。従って、結晶化することなく充分高い誘電率を保証するために、[0.3、0.8]のような範囲に制限されたxを有するHfXGeWSiZ4をスパッタリング堆積する。実際に、第3の好適な実施の形態は、Ge表面上に、例えばHf0.7Ge1.34のような化合物の誘電体を提供する。同様に、Hfに対して置き換えられたZr、又は両方の混合物が代替の誘電体を提供する。
【0035】
5.シリケート−ゲルマネート絶縁誘電体の好適な実施の形態
他の好適な実施の形態は先の好適な実施の形態のステップをたどるが、シリケート−ゲルマネート誘電体でステップ(1)におけるトレンチ絶縁の充填の少なくとも一部を置き換える。もし、トレンチがGe層のSiGeにおいて、或いはSiGeを通して行われ、Geに伴う境界問題が制限されるなら、これは最良である。さらに、水素による不動態化がSiとGeの双方に適用する。
【0036】
6.ディスポーザブルゲートの好適な実施の形態
図3a−図3fは、図1a−図1dと類似であり、ダミーゲート酸化物を用いるディスポーザブルゲート(disposable gate)のプロセスを示す。特に、ディスポーザブルゲートの好適な実施の形態は、以下のプロセスを行なう。
(1)基板
第1の好適な実施の形態におけると同じトレンチ絶縁及びSiGeエピタキシーで開始する。図1a−図1bと同じである図3a−3bを参照されたい。
(2)ダミーゲート誘電体
ダミーゲート誘電体として二酸化シリコンの薄い(例えば、30nm)層を堆積する。図3cを参照されたい。
(3)ダミーゲート
ダミー(ディスポーザブル)ゲートを形成するために、ポリシリコンを堆積し、それをパターン化する。その後、ソース/ドレインにイオン注入する。図3dを参照されたい。
(4)PMD
プリメタルレベル誘電体(premetal level dielectric:PMD)を堆積し、平坦化する。PDMは、例えば、拡散のための第1の薄いコンフォーマルな二酸化シリコン、その後に、低誘電率のためのフッ化二酸化シリコンが続き、最後に、ゲッタリングのための上部のドープされたシリカ層(例えば、BPSG)の多層である。エッチバック又は化学機械的研磨(ポリッシング)後に、PMDは、ポリシリコンのダミーゲートを露出するために充分薄くされる。その後、ダミーゲートは選択的にエッチング除去される。図3eを参照されたい。
(5)ゲート誘電体
ダミーゲートの除去によって残された開口の底部に露出されたダミーゲート酸化物をエッチング除去する。このエッチングは、PMD層の上部の幾らかを除去するかもしれないが、ダミーゲート酸化物は30nmの厚さであるから、このエッチングは短くする。その後、スパッタリング又はLPCVDによって、HfXGeWSiZ4のゲート誘電体を10nmの厚さに堆積する。図3fを参照されたい。
(6)ゲートの形成
PMDにおける開口(ダミーゲートの位置)を充填し、PMDを200nmの厚さに覆うために、ゲート金属(例えば、TiN)を堆積する。その後、T形ゲートを形成するために、金属をパターン化する。図3gを参照されたい。
【0037】
リプレース面とゲートアプローチに関連する低温度/熱バジェットはアモルファスか及び安定性の非常に大きな不動態化を生じる。これにより、より金属の豊富な誘電体の組成、それによる高誘電率を可能にする。実際に、図4の台形領域は、誘電体に対する金属の豊富な割合の領域を示す。HfO2の結晶化を避けるために、Hfの上限はおよそ0.8であり、HfSiO4の結晶化を避けるために、下限はおよそ0.6である。
(7)変更
好適な実施の形態は、Si及び/又はSiGe基板上のシリケート及び/又はシリケート−ゲルマネートのゲート誘電体の1つまたはそれ以上の特徴を残しながら、いろいろ変更することができる。
【0038】
例えば、HfはZr(又はアモルファス化をさらに進めるためにHfとZrの混合物)で置き換えることができる。図2と図4のHfと結ぶラインを有するいろいろなSiGe組成物、例えば、Si0.7Ge0.3はGeコーナーに向かって動かされる。層の厚さを含む寸法が変えられる。
【0039】
以上の記載に関連して、以下の各項を開示する。
(1)集積回路であって、
(a)MXGeWSiZ4ゲート誘電体を有するMOSデバイス、ただしMは、Hf、Zr、及びその混合物からなるグループから得られ、及び
(b)前記デバイス間の相互接続、
を有する集積回路。
(2)(a)前記MOSデバイスはSi−Geチャネル領域を有するPMOSデバイスを含み、且つ(b)前記MOSデバイスはSiチャネル領域を有するNMOSデバイスを含むことを特徴とする前記(1)に記載の集積回路。
(3)前記ゲート誘電体は、M=Hfを有し、図2の台形によって規定されたHf−Ge−Siの割合を有することを特徴とする前記(1)に記載の集積回路。
(4)前記ゲート誘電体は、M=Hfを有し、図4の台形によって規定されたHf−Ge−Siの割合を有することを特徴とする前記(1)に記載の集積回路。
(5)更に、Si−Geのベース金属と共にSiのエミッタ材料を有するバイポーラデバイスを有することを特徴とする前記(1)に記載の集積回路。
(6)集積回路の製造方法であって、
(a)基板に第1のシリコン表面領域及び第2のシリコン−ゲルマニウム表面領域を設けるステップと、
(b)前記第1の表面領域と第2の表面領域上に金属シリケートのゲート誘電体の層を形成するステップと、
(c)前記ゲート誘電体の層上にゲートを形成するステップと、
を有することを特徴とする方法。
(7)(a)前記金属シリケートのゲート誘電体はHfXSiY4を含むことを特徴とする前記(6)に記載の方法。
(8)集積回路の製造方法であって、
(a)基板に第1のシリコン表面領域及び第2のシリコン−ゲルマニウム表面領域を設けるステップと、
(b)前記第1の表面領域と第2の表面領域上にメタル・シリケート・ゲルマネートのゲート誘電体の層を形成するステップと、
(c)前記ゲート誘電体の層上にゲートを形成するステップと、
を有することを特徴とする方法。
(9)(a)前記メタル・シリケート・ゲルマネートのゲート誘電体はHfXSiYGeZ4を含むことを特徴とする前記(8)に記載の方法。
(10)SiGePMOS領域及びSiNMOS領域上にシリケート−ゲルマネートのゲート誘電体を有するCMOS及びBiCMOS、プラスSi−SiGeのエミッターベース接合を有するHBT。
【図面の簡単な説明】
【図1a】第1の好適な実施の形態におけるステップの縦断面図である。
【図1b】第1の好適な実施の形態におけるステップの縦断面図である。
【図1c】第1の好適な実施の形態におけるステップの縦断面図である。
【図1d】第1の好適な実施の形態におけるステップの縦断面図である。
【図1e】第1の好適な実施の形態におけるステップの縦断面図である。
【図2】組成のダイアグラムである。
【図3a】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3b】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3c】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3d】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3e】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3f】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図3g】処理できるゲートの好適な実施の形態の製造方法におけるステップの縦断面図である。
【図4】組成のダイアグラムである。

Claims (2)

  1. 集積回路であって、
    (a)Si−Geチャネル領域上にMXGeWSiZ4ゲート誘電体の層を有するPMOSデバイスと、
    (b)Siチャネル領域上にMXGeWSiZ4ゲート誘電体の層を有するNMOSデバイスと、
    但し、前記(a)、(b)において、Mは、Hf、Zr、及びその混合物からなるグループから選択され、及び
    (c)前記PMOS及びNMOSデバイス間の相互接続と、
    を有する集積回路。
  2. 集積回路の製造方法であって、
    (a)基板にSi−Ge表面領域及びSi表面領域を設けるステップと、
    (b)前記Si−Ge表面領域及びSi表面領域上にMXGeWSiZ4ゲート誘電体の層を形成するステップと、
    但し、Mは、Hf、Zr、及びその混合物からなるグループから選択され、
    (c)前記MXGeWSiZ4ゲート誘電体の層の上にゲートを形成して、前記Si−Ge表面領域にPMOSデバイス及び前記Si表面領域にNMOSデバイスをそれぞれ形成するステップと、
    (d)前記PMOSデバイス及びNMOSデバイス間に相互接続を形成するステップと、
    を有することを特徴とする方法。
JP2002278818A 2001-09-28 2002-09-25 集積回路及びその製造方法 Expired - Fee Related JP4034627B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32551901P 2001-09-28 2001-09-28
US60/325519 2001-09-28

Publications (2)

Publication Number Publication Date
JP2003188275A JP2003188275A (ja) 2003-07-04
JP4034627B2 true JP4034627B2 (ja) 2008-01-16

Family

ID=23268217

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002278818A Expired - Fee Related JP4034627B2 (ja) 2001-09-28 2002-09-25 集積回路及びその製造方法

Country Status (4)

Country Link
US (1) US6784507B2 (ja)
EP (1) EP1298712B1 (ja)
JP (1) JP4034627B2 (ja)
DE (1) DE60238742D1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861326B2 (en) * 2001-11-21 2005-03-01 Micron Technology, Inc. Methods of forming semiconductor circuitry
JP3627106B2 (ja) * 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US6734072B1 (en) * 2003-03-05 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a MOSFET device using a spike rapid thermal oxidation procedure
US6936506B1 (en) 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
JPWO2004107450A1 (ja) * 2003-05-30 2006-07-20 富士通株式会社 半導体装置と半導体装置の製造方法
US20050082624A1 (en) * 2003-10-20 2005-04-21 Evgeni Gousev Germanate gate dielectrics for semiconductor devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
DE10360874B4 (de) 2003-12-23 2009-06-04 Infineon Technologies Ag Feldeffekttransistor mit Heteroschichtstruktur sowie zugehöriges Herstellungsverfahren
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP4216707B2 (ja) * 2003-12-25 2009-01-28 株式会社東芝 半導体装置の製造方法
US7005302B2 (en) * 2004-04-07 2006-02-28 Advanced Micro Devices, Inc. Semiconductor on insulator substrate and devices formed therefrom
JP4040602B2 (ja) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 半導体装置
JP4157496B2 (ja) * 2004-06-08 2008-10-01 株式会社東芝 半導体装置及びその製造方法
US6979622B1 (en) * 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
JP4817677B2 (ja) * 2005-03-04 2011-11-16 Okiセミコンダクタ株式会社 半導体素子の製造方法
JP2007005534A (ja) * 2005-06-23 2007-01-11 Toshiba Corp 半導体装置
TWI267926B (en) * 2005-09-23 2006-12-01 Ind Tech Res Inst A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate
US7335562B2 (en) * 2005-10-24 2008-02-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP4177857B2 (ja) * 2006-04-28 2008-11-05 株式会社東芝 半導体装置およびその製造方法
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
EP1914800A1 (en) * 2006-10-20 2008-04-23 Interuniversitair Microelektronica Centrum Method of manufacturing a semiconductor device with multiple dielectrics
JP4504392B2 (ja) * 2007-03-15 2010-07-14 株式会社東芝 半導体装置
US7666730B2 (en) * 2007-06-29 2010-02-23 Freescale Semiconductor, Inc. Method for forming a dual metal gate structure
US7943460B2 (en) 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
CN102412282B (zh) * 2011-01-13 2014-05-21 上海华虹宏力半导体制造有限公司 锗硅异质结双极型晶体管的基区结构
US8895384B2 (en) 2011-11-10 2014-11-25 International Business Machines Corporation Gate structures and methods of manufacture
EP4120333A4 (en) * 2021-04-28 2023-10-25 Changxin Memory Technologies, Inc. METHOD FOR PREPARING SEMICONDUCTOR STRUCTURE

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
US6437392B1 (en) * 1999-12-08 2002-08-20 Agere Systems Optoelectronics Guardian Corp. Article comprising a dielectric material of ZR-Ge-Ti-O or Hf-Ge-Ti-O and method of making the same

Also Published As

Publication number Publication date
EP1298712B1 (en) 2010-12-29
EP1298712A2 (en) 2003-04-02
US20030062586A1 (en) 2003-04-03
EP1298712A3 (en) 2004-12-08
US6784507B2 (en) 2004-08-31
JP2003188275A (ja) 2003-07-04
DE60238742D1 (de) 2011-02-10

Similar Documents

Publication Publication Date Title
JP4034627B2 (ja) 集積回路及びその製造方法
US9947587B2 (en) Method of forming fin structure of semiconductor device
CN100559591C (zh) 互补金属氧化物半导体及其形成方法
US6074919A (en) Method of forming an ultrathin gate dielectric
JP4021593B2 (ja) 半導体装置およびその製造方法
US9548356B2 (en) Shallow trench isolation structures
US8361879B2 (en) Stress-inducing structures, methods, and materials
JP3600476B2 (ja) 半導体装置の製造方法
US20050282341A1 (en) High-temperature stable gate structure with metallic electrode
JP2007207837A (ja) 半導体装置および半導体装置の製造方法
US8492803B2 (en) Field effect device with reduced thickness gate
CN101268543A (zh) 用于更低的米勒电容和改善的驱动电流的单个栅极上的多个低和高介电常数栅级氧化物
JP2004072094A (ja) ゲート構造体及び方法
KR20060098361A (ko) 고성능 장치의 금속 대체 게이트의 구조체 및 방법
JP5268829B2 (ja) 半導体装置
TWI283481B (en) BiCMOS integration scheme with raised extrinsic base
JPH1174508A (ja) 半導体装置及びその製造方法
JP3998665B2 (ja) 半導体装置およびその製造方法
JP2004006891A (ja) 半導体装置とその製造方法
JP3725465B2 (ja) 半導体装置及びその製造方法
US6524938B1 (en) Method for gate formation with improved spacer profile control
JP2005064032A (ja) 半導体装置及びその製造方法
US6200846B1 (en) Semiconductor device with capacitor formed on substrate and its manufacture method
CN103578953A (zh) 半导体集成电路制造的方法
JP4280871B2 (ja) 絶縁膜積層体、絶縁膜積層体の製造方法、半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070323

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070709

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070925

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071025

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4034627

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111102

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121102

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121102

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131102

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees