JP2006041516A - パターン形成した歪み半導体基板およびデバイス - Google Patents

パターン形成した歪み半導体基板およびデバイス Download PDF

Info

Publication number
JP2006041516A
JP2006041516A JP2005208400A JP2005208400A JP2006041516A JP 2006041516 A JP2006041516 A JP 2006041516A JP 2005208400 A JP2005208400 A JP 2005208400A JP 2005208400 A JP2005208400 A JP 2005208400A JP 2006041516 A JP2006041516 A JP 2006041516A
Authority
JP
Japan
Prior art keywords
layer
forming
strained
relaxation
buffer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005208400A
Other languages
English (en)
Other versions
JP5373247B2 (ja
JP2006041516A5 (ja
Inventor
Kangguo Cheng
カングオ・チェン
Deivakaruni Ramachandora
ラマチャンドラ・ディヴァカルニ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006041516A publication Critical patent/JP2006041516A/ja
Publication of JP2006041516A5 publication Critical patent/JP2006041516A5/ja
Application granted granted Critical
Publication of JP5373247B2 publication Critical patent/JP5373247B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Pressure Sensors (AREA)

Abstract

【課題】 歪み領域と非歪み領域とを同一基板上に形成するための方法と構造を提供すること。
【解決手段】 基板101上に歪み材料および緩和材料のパターン形成工程と、歪み材料中に歪みデバイス129形成工程と、緩和材料中に非歪みデバイス131形成工程とを備えた方法を開示する。歪み材料は、引っ張り状態または圧縮状態にあるSiであり、緩和材料は通常状態にあるSiである。基板101上にSiGe、SiC、または類似の材料から成る格子定数/格子構造が一致しない緩衝層113、緩和層111を形成して、歪み材料を引っ張り状態または圧縮状態にする。別の実施形態では、歪み材料を形成するのに、炭素をドープしたシリコンまたはゲルマニウムをドープしたシリコンを使用する。この構造体はその上にパターン形成された歪み材料と非歪み材料を有する多層基板を備えている。
【選択図】 図5

Description

本発明はデバイス性能を改良した半導体デバイスを製造するための方法と構造に関し、特に基板上に歪み領域および非歪み領域から成るパターンを形成するための方法と構造に関する。
埋め込みDRAM(embedded Dynamic Random Access Memory: eDRAM)、ASIC(Application Specific Integrated Circuit)、およびシステム・オン・チップ(system-on-chip: SoC)などの先端技術では、高性能の論理デバイスとメモリ・デバイスとを同一のチップ上で組み合わせる必要がある。また、一部の用途の場合、ディジタル回路とアナログ回路とを同一のチップ上に備えることも必要である。論理デバイスはエピタキシャル成長させた、緩和した(relaxed)シリコン・ゲルマニウム(SiGe)層上に別にエピタキシャル成長させた引っ張り歪み(strained)シリコン層の上に形成するとより良好な性能を示すことが明らかになっている。
完全に緩和したSiGe層の格子定数はシリコンの格子定数よりも大きい。したがって、その上にシリコン層をエピタキシャル成長させると、当該シリコン層は緩和したSiGe層のより大きな格子定数に適合するようになる。これにより、その上に形成した上記シリコン層に物理的な二軸応力が印加されることになる。上記シリコン層に印加されるこの物理的な二軸応力によって、歪みシリコン中に形成した論理デバイスの性能が向上する。
シリコン基板上のSiGeの緩和は不整合転位(misfit dislocation)を形成することにより起きる。これにより、転位が応力を解放しうるように等間隔に離間されている場合、基板を完全に緩和することが可能になる。また、不整合転位によって、基板中に、シリコンから成る余分の半平面(half-plane)が形成される。これにより、SiGe層の格子定数をその真正の値にすることが可能になる。このように、SiGe/シリコン界面全体にわたって不整合歪みを導入すると、SiGeの格子定数はより大きくなる。
この方式の問題点は、それがきわめて厚い多層のSiGe層を必要とする、という点である。また、SiGe層とエピタキシャル・シリコン層との間に形成される不整合転位は容易には制御できない不均質な核形成のために、不規則であり、密度がきわめて不均一であり、そして、制御性がきわめて悪い。したがって、シリコン層に印加される物理的な応力によって、欠陥が生じやすい。不整合密度の高い場所では、歪みシリコン層中に欠陥が形成される。これらの欠陥によって、デバイスの端子が短絡するとともに、他の漏れ電流の問題が生じる。このため、歪みシリコンの領域に論理デバイスを形成すると当該論理デバイスの性能が向上するが、DRAMデバイスなど欠陥の影響を受けやすいデバイスの性能は、歪み領域に形成すると劣化する。また、欠陥の影響を受けやすいデバイスを歪み領域に形成すると、製造歩留りが低下する。したがって、歪みシリコン領域に高性能の論理デバイスを形成し、非歪み領域に高品質で欠陥の影響を受けやいデバイスを形成しうるようにした、歪みシリコン領域および非歪みシリコン領域を形成するための方法(および構造)が求められている。
本発明の一側面においては、電気デバイスを形成する方法を提供する。この方法は、基板上に歪み材料および非歪み(緩和)材料のパターンを形成するステップを備えている。また、この方法は、前記歪み材料中に歪みデバイスを形成するステップを備えている。さらに、この方法は、前記非歪み(緩和)材料中に非歪みデバイスを形成するステップを備えている。
本発明の別の側面においては、電気デバイスを形成する別の方法を提供する。この方法は、基板の一部分と接触させて緩衝層(buffer layer)を形成するステップを備えている。前記緩衝層は前記基板と格子定数/格子構造が一致していない。また、この方法は、前記緩衝層上に緩和層を形成するステップを備えている。さらに、この方法は、前記緩和層の上表面に歪み材料を形成するステップを備えている。前記緩和層によって前記歪み材料が引っ張り状態および圧縮状態のうちの一方の状態になっている。さらに、この方法は、前記歪み材料の近傍に非歪み(緩和)材料をパターン形成するステップを備えている。
本発明のさらに別の側面においては、電気デバイスを提供する。この電気デバイスは、基板を備えている。また、この電気デバイスは、基板上に形成された歪み材料および緩和材料のパターンを備えている。さらに、この電気デバイスは、前記歪み材料中に形成された歪みデバイスを備えている。さらに、この電気デバイスは、前記緩和材料中に形成された非歪みデバイスを備えている。
本発明のさらに別の側面においては、別の電気デバイスを提供する。この電気デバイスは、基板の一部分と接触して形成された緩衝層を備えている。前記緩衝層は前記基板とは格子定数/格子構造が一致していない。また、この電気デバイスは、前記緩衝層上に形成された緩和層を備えている。さらに、この電気デバイスは、前記緩和層の上表面に形成された歪み材料を備えている。前記緩和層によって前記歪み材料は引っ張り状態および圧縮状態のうちの一方の状態になっている。さらに、この電気デバイスは、前記歪み材料の近傍にパターン形成された非歪み材料を備えている。
本発明はその上に歪み材料および非歪み(すなわち緩和)材料のパターンが形成された基板を備えた電気デバイス、ディジタル・デバイス、半導体デバイス、その他のデバイスに関する。歪み材料は引っ張り状態または圧縮状態に置かれるが、それは下に存在する、緩和材料から成る層との間における格子定数/格子構造の相違によって決まる。そして、この緩和材料は基板の一部に接触している緩衝層の上に形成されている。
緩衝層を形成している材料はその濃度が当該層中で変動しているとともに、基板を形成している材料と格子定数/格子構造が一致していない。緩衝層が基板から離れて伸びるのにつれて当該緩衝層を形成している材料はその濃度が増大するから、通常、格子不整合(lattice mismatch)によって惹起される欠陥は実質的に消失する。また、緩衝層上に緩和層を形成すると、歪み材料が実質的に無欠陥になる程度に、欠陥がさらに低減または消失する、あるいは、低減し消失する。歪み材料中の欠陥が劇的に低減、または消失すると、そこに形成した電子デバイスまたはディジタル・デバイスはきわめて高速かつきわめて効率的に動作しうるようになる。また、DRAM(Dynamic Random Access Memory)などのデバイスを隣接する緩和材料中に形成しうるようになるが、それは通常、このようなデバイスが欠陥の影響をきわめて受けやすいからである。したがって、本発明の実施形態によれば、歪み論理デバイスと非歪みメモリ・デバイスとを同一基板上に並べて形成することが可能になる。
次に図1〜5を参照する。図1〜5は電気デバイス100の一部分の断面図である。「電気デバイス」とは電気デバイス、電気機械デバイス、半導体デバイス、ディジタル・デバイス、または同様のデバイスを指す。電気デバイスの実例的な種別には次に示すものがあるが、それらに限定されない。すなわち、トランジスタ、キャパシタ、抵抗器、論理デバイス、メモリ・デバイス、コンピュータ・プロセッサ、導電路(trace)、バイア(via)、半導体ウェハ、コンピュータ・チップ、ASIC(application specific integrated circuit)、システム・オン・チップ(system-on-chip:SoC)などである。図1に示すように、電気デバイス100はパッド層103で覆われた基板101を備えている。
基板101は好適な任意の材料、たとえばシリコン(Si)で形成する。別の好適な種別の基板にはゲルマニウム(Ge)、シリコン・ゲルマニウム(SiGe)、シリコン・カーボン(炭化珪素)(SiC)、および、次式によって定義される組成物を含有する少なくとも1つの化合物半導体から実質的に成るものがある。
AlX1GaX2InX3AsY1PY2NY3SbY4
(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)
他の好適な基板は次式によって定義される組成物を含有している。
ZnA1CdA2SeB1TeB2
(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)
あるいは、基板は「半導体オン絶縁体(semiconductor-on-insulator)」型の構造(たとえばSOI(silicon-on-insulator)基板)を有する。一実施形態では、基板の厚さは当技術分野で既知の標準の半導体ウェハの厚さにほぼ等しくする。
パッド層103はその直下に存在する層が後続する任意のプロセスによって剥離されるのを防止するように機能する。後述するように、パッド層中に開口を選択的にパターニングすることにより、下に存在する基板層のすべて、または一部分を貫通する凹部を形成することができる。また、パッド層を使用すると、次に示すような特定の材料をエピタキシャル成長(および堆積)することが可能になる。すなわち、Si、Ge、SiGe、SiC、次式によって定義される組成物を含有する少なくとも1つの化合物半導体から実質的に成るもの、
AlX1GaX2InX3AsY1PY2NY3SbY4
(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)および、次式によって定義される組成物を含有したもの、
ZnA1CdA2SeB1TeB2
(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)
である。これらの典型的な材料群の各々はここで説明するすべての実施形態に適用することができる。
パッド層103を形成する材料は使用する製造プロセスの種別に応じて変える。パッド層の典型的な材料には窒化シリコンおよび/または酸化シリコンがあるが、これらに限定されない。(「Aおよび/またはB」は「AおよびB、A、またはB」を表わす。)しかし、当業者が容易に理解しうるように、別の種別の材料を用いてパッド層を形成してもよい。たとえば、約2.0マイクロメートルの深さの凹部を形成する必要がある場合、パッド層の厚さは全体にわたって約0.2マイクロメートルである。この典型的な厚さはここで説明するすべての実施形態に適用することができる。
図2に、反応性イオン・エッチング・プロセスまたはドライ・エッチング・プロセスを用いてその中に形成した凹部105を有する基板101を示す。凹部105の正確な幅には臨界的な意義はないが、その深さは約1.0マイクロメートル〜約3.0マイクロメートルの範囲に形成する。典型的な幅は約100マイクロメートルである。説明を目的とした、凹部のこれらの寸法はここで説明するすべての実施形態に適用することができる。その後、当技術分野で既知の任意の好適な堆積プロセスまたは成長プロセスを用いて、凹部105の側壁および底部109の上に酸化物材料または窒化物材料から成る絶縁層107を共形に(conformally)形成する。たとえば、絶縁層は約1ナノメートル(10オングストローム)〜約10ナノメートル(100オングストローム)の厚さに形成する。この典型的な寸法はここで説明するすべての実施形態に適用することができる。絶縁層107を形成した後、その横方向の部分を凹部から異方性エッチング(たとえば反応性イオン・エッチング(RIE:reactive ion etching))を用いて除去する(しかし垂直方向の部分は除去しない)。すなわち、凹部の底部109に形成した絶縁層107の部分は除去するが、凹部の側壁に形成した絶縁層はその上に残す。最終的な結果は、凹部の底部109が露出する一方、凹部の側壁は絶縁層107によって共形に(下地形状に忠実に)覆われている、ということになる。説明を目的としたこの実施形態では、図示するように、パッドの内側の露出した端部の上にも絶縁層107を形成する。
図3において、緩衝層113は基板101との間で格子定数/格子構造の不整合121を形成するが、当該不整合によって惹起される転位の大部分を抑制するするように機能している。たとえば、この緩衝層の厚さは全体にわたって約0.5マイクロメートル未満〜約2.0マイクロメートル超である。この緩衝層の上に緩和層111が形成されている。この緩和層111は比較的無欠陥のままである。たとえば、緩和層111の全体にわたる厚さは約0.2マイクロメートルである。これらの典型的な厚さの寸法はここで説明するすべての実施形態に適用することができる。
緩衝層113と緩和層111は凹部105において絶縁層107から成る境界内にエピタキシャル成長させる。始めに緩衝層113を形成した後、緩和層111を形成する。緩衝層113の成長プロセスは凹部の底部109から開始して上方に層を重ねるように進め、全体にわたる厚さが約0.5マイクロメートル〜約2.0マイクロメートルに到達するまで続ける。一実施形態では、引き続いて緩和層111の表面に半導体層(たとえばシリコン)を形成して引っ張り応力を与えるために、シリコン・ゲルマニウム(SiGe)を用いて緩衝層113と緩和層111を形成する。別の実施形態では、シリコン・カーボン(SiC)を、引き続いて形成するシリコン層中に圧縮応力を与えるために用いることができる。
緩衝層113と緩和層111は化学的気相堆積法(CVD:chemical vapor deposition) など既存の手法を用いて堆積または成長させる。たとえば、超高真空化学的気相堆積法(UHVCVD:ultra-high vacuum chemical vapor deposition) を既存の態様で用いてデバイスの形成に好適な品質のSiGe層またはSiC層を成長させる。他の既存の手法には急熱化学的気相堆積法(RTCVD:rapid thermal chemical vapor deposition、ラピッド・サーマルCVD) 、減圧化学的気相堆積法(LPCVD:low-pressure chemical vapor deposition 、減圧CVD) 、制限的反応処理CVD(LRPCVD:limited reaction processing CVD) 、および分子線エピタキシ(MBE:molecular beam epitaxy)がある。また、任意選択で、SiGeまたはSiCを形成する前に、凹部105の内壁に薄いシリコンの緩衝層(図示せず)を形成してもよい。
多層構造の緩衝層113は材料(たとえばGe)の濃度が、凹部の底部の近傍におけるベース(base)濃度119から緩衝層の上表面の近傍におけるベンチマーク(benchmark)濃度117まで段階的に増加するような態様で構築する。濃度をこのように段階的に増加させる際には、たとえば新たな層を堆積または成長させるごとに10%ずつ増加させるなど、任意の階段状の態様をとりうる。しかし、所望の用途と必要なコストとに応じて、任意の百分率を使用しうる。理論的には、Geの濃度は約1%未満のベース濃度から100%のベンチマーク濃度までの範囲の値をとりうる。しかし、コストおよび他の理由から、約40%のベンチマーク濃度を使用する。また、緩和層中に欠陥が発生するのを防止するために、緩和層111(すなわちSiGeを使用する場合におけるGe)を形成するのに使用する材料の第2のベース濃度115は緩衝層113中のGeのベンチマーク濃度117にほぼ一致するように選定する。
図4を参照する。パッド層は除去されている。そして、絶縁層107から成る境界の内と外に材料(たとえばSiであるが、これに限定されない)の層がエピタキシャル成長され、緩和材料123と歪み材料125を形成している。材料123を緩和(すなわち非歪み)として記述するのは、その格子定数が基板101の格子定数にほぼ等しいからである。材料125を歪みとして記述するのは、その格子定数が緩和材料111を形成するのに使用する材料の格子定数とは異なるからである。したがって、歪み材料125と緩衝層113との間の界面に格子不整合127が生じる。緩和層111を形成するのに使用する材料の種別によって、歪み材料125は引っ張り状態または圧縮状態になる。たとえば、歪み材料125をSiで形成し、緩和層をSiGeで形成すると、歪み材料125は引っ張り歪み状態になる。あるいは、歪み材料125をSiで形成し、緩和層111をSiCで形成すると、歪み材料125は圧縮歪み状態になる。しかし、任意の異なる2つの半導体材料を使用することができる。なぜなら、各材料の格子構造/格子定数が異なれば、圧縮歪み状態および引っ張り歪み状態のいずれか一方になるからである。一実施形態では、歪み材料125および緩和材料123の各々の、全体にわたる厚さは20ナノメートル未満〜約100ナノメートル超の範囲の値である。これらの典型的な厚さはここで説明する様々な実施形態で使用することができる。
図5を参照する。歪み材料125中および緩和材料123中に歪みデバイス129および非歪みデバイス131がそれぞれ形成されている。たとえば、歪みデバイス129は論理デバイスすなわち第1のトランジスタであり、非歪みデバイス131はDRAMすなわち第2のトランジスタである。
次に、図6〜11を参照して、別の実施形態と製造方法を説明する。図6〜11の実施形態を形成するのに使用する材料、エッチング方法、エピタキシャル成長方法、および堆積方法は上述したものと同じであるから、本発明の諸側面を不必要に不明瞭にしないように、これらの図面はさほど詳細には説明しない。
図6に、電気デバイス100の断面を示す。電気デバイス100はパッド層103によって覆われた基板101を備えている。図7に示すように、パッド層103を貫通し、基板101中の事前設定の深さまでエッチングして凹部105を形成する(これは上述した点と同じである)。その後、凹部105の内部を酸化物または窒化物の絶縁層107で共形に(下地形状に忠実に)覆う。次いで、絶縁層107の底部部分を除去するが、凹部の側壁に接触している部分は元のままにしておく。
図8は凹部105において絶縁層107から成る境界内に緩衝層113と緩和層111を形成する様子を示す図である。上述したように、緩衝層を形成する材料の濃度はベース濃度119からベンチマーク濃度117まで変化する。緩衝層113のベンチマーク濃度117にほぼ一致するように、緩和層111を形成する材料の第2のベース濃度115を選定する。上述したように、緩衝層113は格子の不一致121によって惹起される転位を包み込むように機能する。
図9は凹部105において絶縁層107から成る境界内かつ緩和層111の表面に歪み材料を個別的かつ選択的に形成する様子を示す図である。上述したように、緩和層111を形成するのに使用する材料の種別は歪み材料125に張力および圧縮力のうちのどちらを適用するのかによって決まる。
図10はパッド層103を除去した後、引き続いて基板101を平坦化する様子を示す図である。この図には歪み材料125と緩和層111との間における格子不整合127も示されている。パッド層を除去するのに使用するプロセスの種別はそのような層を形成するのに使用した材料の種別によって決まる。たとえば、パッド層として窒化シリコンを使用している場合には、熱リン酸(H3 PO4 )を使用する。使用する平坦化方法の種別としては、好適な任意の平坦化方法を用いることができる。たとえば、一実施形態では、化学機械研磨(CMP:chemical mechanical polishing) を使用する。別の実施形態では、水素の存在下における高温リフロー・プロセスを使用する。
図11は歪み材料125および基板101の非歪み領域に電気デバイス129および131を形成する様子を示す図である。この実施形態では、絶縁層107から成る境界の外側に存在する基板101の部分に、図4に示した緩和材料123が形成されている。上述したように、歪みデバイス129はたとえば論理デバイスすなわち第1のトランジスタであるが、これに限定されない。そして、非歪みデバイス131はたとえばDRAMすなわち第2のトランジスタであるが、これに限定されない。
第3の実施形態を図12〜16について示す。図12はその上に下から順に緩衝層113、緩和層111、および歪み材料125が形成された基板101を備えた電気デバイス100(すなわちシリコン・ウェハ)の断面図である。この図には基板101と緩衝層113の下面との間に形成される格子不整合121、および、緩和層111と歪み材料125との間に形成される格子不整合127も示されている。これらの層は既知の任意の方法で成長または堆積させることができる。その際、一実施形態では、緩衝層113の材料の濃度は歪み層に最も近い位置においてより高くし、そこから離れるにつれて徐々に低減するようにする。これにより、最終製造物における欠陥の形成をなくす、または低減させることができる。
図13は凹部105を形成する様子を示す図である。凹部105はパッド層103、歪み材料125、緩和層111、および緩衝層113を貫通して伸びているが、基板101の上表面の一部をその底部としている。
図14は凹部105の側壁に絶縁層107を形成する様子を示す図である。絶縁層107は上述したように、堆積プロセスまたは成長プロセスとそれに続くエッチング・プロセスとによって形成する。図15は凹部において絶縁材料から成る境界内に選択的にエピタキシャル成長させた、当該凹部を完全に充填している緩和材料(たとえばSi)を示す図てある。その後、パッド層を除去した後、基板を平坦化して歪み材料125、絶縁材料、および緩和材料123の露出表面がほぼ同一平面を形成するようにする。この実施形態では、歪み材料125が絶縁材料107から成る境界の外部に存在する一方、緩和材料123はその内部に存在する。すなわち、緩和材料は凹部の内部に形成する。
図16を参照する。図16は歪み材料125中に歪みデバイス129を形成し、緩和材料123中に非歪みデバイス131を形成する様子示す図である。図示するように、歪みデバイス129は絶縁材料から成る境界の外部に設け、非歪みデバイス131はそのような境界の内部に設ける。
第4の実施形態を図17〜22について示す。第4の実施形態による電気デバイス100の断面図を図17に示す。電気デバイス100はその上にSiGeから成る緩衝層113が形成された基板101を備えている。別の実施形態では、SiCを形成してもよい。緩衝層の上表面は緩和層111(これもSiGe(あるいはSiC)から成る)が覆っている。緩衝層とシリコン基板との間の格子不整合121はたとえば2%以下の範囲にある。このことが意味するのは、最下層のSiGe緩衝層の格子定数とシリコン基板の格子定数とは約2%以下だけ相違する、ということである。これと同じ百分率はここで開示する実施形態群のいずれのものにも適用することができる。
図18はパッド層103を貫通し、緩和層111を貫通し、緩衝層113を貫通して伸び、シリコン基板101の上表面を露出させる凹部105を形成する様子を示す図である。図19は上述したように、凹部105の側壁に絶縁層107を形成した後、凹部に緩和材料123を形成する様子を示す図である。図20では、パッド層は除去されており、緩和層111、絶縁層107、および緩和材料123の上表面は平坦化されている。その後、図21に示すように、シリコンから成る層をエピタキシャル成長させ、上記平坦化された表面全体を覆う。
このプロセスの結果は、緩和層とシリコン層との間の格子不整合によって当該シリコン上に引っ張り歪みまたは圧縮歪みが導入され、それにより歪み材料125が形成される、というものである。シリコン層の別の部分と緩和材料123(Si)との間における格子不整合は無視しうるから、凹部105の境界内には緩和(非歪み)材料124が形成される。この実施形態では、絶縁層107によって歪み材料125と第2の緩和材料124とは分離されていないのに、歪み材料125と非歪み材料124との間の横方向の歪みは緩和層111によって導入される歪みと比べると、わずかな値になっている。
図22は歪み材料125中に歪みデバイス129を形成し、緩和材料124中に非歪みデバイス131を形成する様子を示している。上述したように、歪みデバイス129は論理デバイスであり、非歪みデバイス131はDRAMである。しかし、他の電気デバイス(たとえばトランジスタやキャパシタなど)を用いてもよい。
図23〜27はドープ・シリコンを用いて基板101上に歪み材料125を形成する様子を示す、電気デバイス100の断面図である。図23に示すように、シリコン基板101上にパッド層103を形成する。次いで図24に示すように、パッド層を貫通し、基板101を、基板101の上表面から測定した値が約0.05〜1マイクロメートルの典型的な深さまでエッチングして凹部105を形成する。その後、化学的気相堆積法(CVD:chemical vapor deposition) または他の既知のプロセスを用いて、凹部105の側壁および底部に(酸化物材料または窒化物材料で形成された)最適な絶縁層107を形成する。凹部105の底部から絶縁層107を除去するエッチング・プロセスに続いて、凹部において絶縁材料107から成る境界内に歪み材料125をエピタキシャル成長させる。その際、歪み材料125の上表面を基板101の上表面とほぼ一致させるようにする。歪み材料125の厚さはいわゆる「臨界厚さ」未満である。この臨界厚さはそれ未満では実質的に欠陥が形成されない、歪み層の最大厚さとして定義されている。たとえば、歪み材料125は炭素をドープしたシリコンである。しかし、他のドープした半導体材料を使用してもよい。たとえば、シリコン基板上にゲルマニウムをドープしたシリコンを形成することにより、圧縮歪み層を形成することができる。
図26は次に示す事項を示している。すなわち、上述したように、パッド層103はドライ・エッチングまたはウエット・エッチングを用いてエッチング除去されており、基板101の上表面は平坦化され、歪み材料125、絶縁層107、および基板101の上表面群とほぼ同一の平面を形成している。このように、歪み材料125は凹部105に選択的に形成され、基板101の非歪み領域126から絶縁層107によって分離されている。図27に示すように、歪み材料125中には歪みデバイス129(たとえば論理デバイス)を形成し、基板101の非歪み領域126には非歪みデバイス131を形成する。
図28〜32はドープ・シリコンを用いてシリコン基板101上に歪み材料125を形成する別の方法を示す、電気デバイス100の断面図である。まず図28において、処理用にシリコン基板101を準備する。次いで図29において、基板101の上表面に炭素をドープした歪み材料125をエピタキシャル成長させる。歪み材料125の厚さはいわゆる「臨界厚さ」未満である。この臨界厚さはそれ未満では実質的に欠陥が形成されない、歪み層の最大厚さとして定義されている。たとえば、歪み材料125は炭素をドープしたシリコンである。しかし、他のドープした半導体材料を使用してもよい。たとえば、シリコン基板上にゲルマニウムをドープしたシリコンを形成することにより、圧縮歪み層を形成することができる。
次いで図30において、歪み材料125上にパターニングしたパッド層103を形成する。ドープ歪み材料125の、パッド層103によって覆われていない領域をエッチング・プロセスを用いて除去することにより、非歪みシリコン基板の領域を露出させる。
次いで図31において、基板101の露出した領域の上に非歪み(緩和)材料123をエピタキシャル成長させる。その際、非歪み材料123の高さを歪み層125の高さとほぼ同じにして、実質的に平坦な上表面を形成する。非歪み材料123のエピタキシャル成長は任意選択である。というのは、この実施形態では歪み材料125がきわめて薄い(たとえば100ナノメートル未満)からである。その後、図32に示すように、パッド層103をエッチングして除去した後、歪み材料125中に歪みデバイス129を形成する。緩和材料123中には非歪みデバイス131を形成する。あるいは、緩和材料123を使用していない場合には、基板101の非歪み領域に非歪みデバイス131を形成する。この場合にも、歪み材料125が隣接する緩和材料123と接触しうるようにしても通常、問題は生じない。なぜなら、両材料によって導入される横方向の歪みは歪み材料125を形成しているドープした半導体材料によって導入される歪みよりもはるかに小さいからである。たとえば、歪み材料125は炭素をドープしたシリコンである。しかし、他のドープした半導体材料を使用してもよい。たとえば、シリコン基板上にゲルマニウムをドープしたシリコンを形成することにより、圧縮歪み層を形成することができる。
図33は引っ張り歪み材料125A、圧縮歪み材料125B、および非歪み材料123をそれぞれ備えた電気デバイス100を示す断面図である。図示するように、これらの材料の各々は上述した手法の組み合わせを用いて基板101の表面に形成する。接合133において導入される横方向の歪みは格子不整合127Aおよび格子不整合127Bによってそれぞれ導入される垂直方向の歪みに比してきわめて小さい。あるいは、これらの層群を絶縁材料によって分離してもよい。一実施形態では、引っ張り歪み材料125Aはシリコン上に形成した炭素をドープしたシリコン層であり、圧縮歪み材料125Bはシリコン上に形成したゲルマニウムをドープしたシリコン層である。あるいは、引っ張り歪み材料125AはSiGe緩衝層(図示せず)上に形成したシリコン層であり、圧縮歪み材料125BはSiC緩衝層(図示せず)上に形成したシリコン層である。たとえば層として示したが、緩和層123は上述するとともに、図31と図32を参照して説明したように、基板101の緩和した上表面であってもよい。たとえばこれらの層群の厚さを同一であるように示したが、それらの厚さは必ずしも同一でなくともよい。
図1〜33は製造方法をも表すことができる、ということを理解すべきである。いずれにしても、図34〜39は本発明の様々な側面による装置の様々な製造方法を示している。ここでは逐次的参照番号を参照して説明するが、各方法の工程群は任意の順番で実行することができる。層を除去して凹部を形成するプロセス、層を形成するプロセス、および、他のプロセスは既知の任意の製造方法によって提供される。たとえば、ここで説明する製造プロセスは次に示すものを含むが、それらに限定されない。すなわち、化学的気相堆積法(CVD:chemical vapor deposition) 、超高真空化学的気相堆積法(UHVCVD:ultra-high vacuum chemical vapor deposition)、反応性イオン・エッチング(RIE:reactive ion etching)、電界エッチング、プラズマ・エッチング、ドライ・エッチングなどである。イオン・エッチングとは高エネルギのイオン化粒子を用いて固体または液体の基板の領域(群)を選択的に衝撃することにより不所望の材料を除去するプロセスのことである。プラズマ・エッチングは多くの場合、微細電子回路の製造において使用され、プラズマ中において反応種を生成し、それらの反応種を用いて不所望の材料を選択的に除去するものである。
図34は本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3401において、パッド層で覆われた基板中に凹部をパターニングし形成する。ステップ3403において、凹部の側壁と底部に絶縁層を任意選択で形成する。ステップ3405において、凹部の底部から絶縁層の一部分を除去して基板の一部分を露出させる。ステップ3407において、凹部において絶縁層から成る境界内に緩衝層を形成する。緩衝層と基板とは格子定数/格子構造が一致していない。ステップ3409において、緩衝層を形成するときに当該緩衝層を形成する材料の濃度をベース濃度からベンチマーク濃度まで増大させる。ステップ3411において、緩衝層上に緩和層を形成する。ステップ3413において、パッド層を剥離する。ステップ3415において、絶縁層から成る境界内の緩和層上に歪み材料を形成し、絶縁層から成る境界外の基板の一部分上に非歪み材料を形成する。ステップ3417において、歪み材料中に歪みデバイスを形成する。ステップ3419において、緩和材料中に非歪みデバイスを形成する。一実施形態では、緩和層を形成する材料はその底部表面の近傍において第2のベース濃度を有する。この第2のベース濃度は緩衝層の上表面の近傍のベンチマーク濃度にほぼ等しい。
図35はは本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3501において、パッド層で覆われた基板中に凹部をパターニングし形成する。ステップ3503において、凹部の側壁と底部に絶縁層を形成する。ステップ3505において、凹部の底部から絶縁層の一部分を除去して基板の一部分を露出させる。ステップ3507において、凹部において絶縁層から成る境界内に緩衝層を形成する。緩衝層と基板とは格子定数/格子構造が一致しない。ステップ3509において、緩衝層を形成するときに緩衝層を形成する材料の濃度をベース濃度からベンチマーク濃度まで増大させる。ステップ3511において、緩衝層上に緩和層を形成する。ステップ3513において、凹部において絶縁層から成る境界内の緩和層上に歪み材料を形成する。ステップ3515において、パッド層を剥離する。ステップ3517において、基板を平坦化する。ステップ3519において、歪み材料中に歪みデバイスを形成する。ステップ3521において、緩和材料中に非歪みデバイスを形成する。一実施形態では、緩和層を形成する材料はその底面の近傍において第2のベース濃度を有する。この第2のベース濃度は緩衝層の上表面の近傍のベンチマーク濃度にほぼ等しい。
図36は本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3601において、歪み材料上にパッド層を形成する。ステップ3603において、歪み材料を貫通し、その近傍に事前形成した緩和層を貫通し、緩和層の近傍に事前形成した緩衝層を貫通し、基板に接触する凹部をパターニングし、形成する。ステップ3605において、凹部の側壁と底部に絶縁層を形成する。ステップ3607において、凹部の底部から絶縁層を除去する。ステップ3609において、凹部において絶縁材料から成る境界内に緩和材料を形成する。ステップ3611において、パッド層を剥離する。ステップ3613において、基板を平坦化する。ステップ3615において、歪み材料中に歪みデバイスを形成する。ステップ3617において、緩和材料中に非歪みデバイスを形成する。
図37はは本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3701において、基板上に事前形成した緩衝層上に事前形成した緩和層上にパッド層をパターニングし形成する。ステップ3703において、緩和層と緩衝層を貫通して凹部を形成する。ステップ3705において、凹部の側壁と底部に絶縁層を形成する。ステップ3709において、凹部において絶縁材料から成る境界内に緩和材料を形成する。ステップ3711において、パッド層を剥離する。ステップ3713において、基板を平坦化する。ステップ3715において、絶縁層から成る境界外において緩和層上に歪み材料を形成する。ステップ3717において、凹部において絶縁層から成る境界内に緩和材料を形成する。ステップ3719において、歪み材料中に歪みデバイスを形成する。ステップ3721において、緩和材料中に非歪みデバイスを形成する。
図38はは本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3801において、パッド層によって覆われた基板中に凹部をパターニングし、形成する。ステップ3803において、凹部の側壁と底部に絶縁層を形成する。ステップ3805において、凹部の底部から絶縁層の一部分を除去し、基板の一部分を露出させる。ステップ3807において、凹部において絶縁層から成る境界内に歪み材料を選択的にエピタキシャル成長させる。ステップ3809において、パッド層を剥離する。ステップ3811において、歪み材料中に歪みデバイスを形成する。ステップ3813において、絶縁層から成る境界外において基板の緩和領域中に非歪みデバイスを形成する。この実施形態では、歪み材料は炭素をドープした材料、たとえば炭素をドープしたシリコンであるが、これに限定されない。あるいは、歪み材料はゲルマニウムをドープした材料、たとえばゲルマニウムをドープしたシリコンであるが、これに限定されない。
図39は本発明の一実施形態に従って電気デバイス100を製造する典型的な方法を示すフローチャートを示す図である。ステップ3901において、基板上に歪み材料を形成する。ステップ3903において、歪み材料上にパッド層を形成する。ステップ3905において、歪み材料の所定の領域を除去して対応する基板の部分を露出させる。ステップ3907において、任意選択で、露出した基板上に緩和材料を歪み材料とほぼ同じ高さに成長させる。ステップ3909において、パッド層を剥離する。ステップ3911において、歪み材料中に歪みデバイスを形成する。ステップ3913において、緩和領域に非歪みデバイスを形成する。この実施形態では、歪み材料は炭素をドープした材料、たとえば炭素をドープしたシリコンであるが、それに限定されない。
SiGeを融合させて引っ張り歪み材料125を形成する、本発明の実施形態群を図1〜22において説明したが、圧縮歪み材料125を形成する必要がある場合には、SiGeを他の材料(たとえばSiC)で置換することができることを理解されたい。また、引っ張り歪み材料125はシリコン基板上に炭素をドープしたシリコンをエピタキシャル成長させることによって形成してもよい。さらに、所望の用途と必要なコストとに応じて、SiGeを他の材料(たとえばガリウム・リン、ガリウム・ヒ素など)で置換してもよい。ここで説明したように、本発明の一実施形態に従って形成した電気デバイスは図4、15、21、26、31、33について例示するとともに説明したように、非歪み(緩和)材料123、124、126を備えている。
以上、本発明の典型的な実施形態をいくつか詳細に説明したが、当業者が認識しうるように、本発明の新規な特徴と利点の多くを保持しながら、これら典型的な実施形態に対して多くの変更と変形をなしうる。
本発明の第1の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第1の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第1の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第1の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第1の実施形態による電気デバイスの最終構造を示す図でるあ。 本発明の第2の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第2の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第2の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第2の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第2の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第2の実施形態による電気デバイスの最終構造を示す図でるあ。 本発明の第3の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第3の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第3の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第3の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第3の実施形態による電気デバイスの最終構造を示す図でるあ。 本発明の第4の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第4の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第4の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第4の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第4の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第4の実施形態による電気デバイスの最終構造を示す図でるあ。 本発明の第5の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第5の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第5の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第5の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第5の実施形態による電気デバイスの最終構造を示す図でるあ。 本発明の第6の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第6の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第6の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第6の実施形態に従い電気デバイスを製造する製造工程を示す図である。 本発明の第6の実施形態による電気デバイスの最終構造を示す図でるあ。 図1〜32に示す方法と材料の組み合わせを用いて形成する、本発明の第7の実施形態による電気デバイスの断面図である。 図1〜5に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。 図6〜11に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。 図12〜16に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。 図17〜22に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。 図23〜27に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。 図28〜32に示す電気デバイスを製造する製造工程を示すフローチャートを示す図である。
符号の説明
101 基板
103 パッド層
107 絶縁層
109 凹部の底部
111 緩和層
113 緩衝層
115 第2のベース濃度
117 ベンチマーク濃度
119 ベース濃度
121 格子不整合
123 緩和材料
125 歪み材料
127 格子不整合
129 歪みデバイス
131 非歪みデバイス

Claims (41)

  1. 基板上に歪み材料および緩和材料のパターンを形成するステップと、
    前記歪み材料中に歪みデバイスを形成するステップと、
    前記緩和材料中に非歪みデバイスを形成するステップと
    を備えた
    方法。
  2. 基板上に歪み材料および緩和材料のパターンを形成する前記ステップが、さらに、
    前記基板に凹部を形成するステップであって、前記凹部は側壁を備えている、ステップと、
    前記基板と格子定数/格子構造が一致しない緩衝層を前記凹部に形成するステップと、
    前記緩衝層上に緩和層を形成するステップと、
    前記緩和層上に前記歪み材料を形成し、前記基板上に前記緩和材料を形成するステップであって、前記緩和材料は前記歪み材料と格子定数/格子構造が一致していない、ステップとを備えた、
    請求項1に記載の方法。
  3. さらに、
    前記緩衝層を形成するステップの前に、前記側壁に絶縁層を形成するステップを備えた、
    請求項2に記載の方法。
  4. 前記緩和層および前記緩衝層の各々を、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択する、
    請求項2に記載の方法。
  5. 前記緩和層および前記緩衝層の各々を、
    シリコン(Si)、シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択する、
    請求項2に記載の方法。
  6. 前記緩衝層を形成する前記ステップが、さらに、
    前記緩衝層を形成する材料から成る複数の層を、前記緩衝層を形成する材料の濃度が前記基板の近傍のベース濃度と前記緩和層の近傍のより高いベンチマーク濃度とを有するようにエピタキシャル成長させるステップを備えた、
    請求項2に記載の方法。
  7. 前記緩和層を形成する前記ステップが、さらに、
    前記緩和層を形成する材料から成る複数の層を、前記緩和層を形成する前記材料が前記緩衝層の近傍において前記緩衝層の材料のベンチマーク濃度にほぼ等しい第2のベース濃度を有するように、エピタキシャル成長させるステップを備えた、
    請求項6に記載の方法。
  8. 基板上に歪み材料および緩和材料のパターンを形成する前記ステップが、さらに、
    前記基板上に緩衝層を形成するステップであって、前記緩衝層は前記基板と格子定数/格子構造が一致していない、ステップと、
    前記緩衝上に緩和層を形成するステップと、
    前記緩和層および前記緩衝層を貫通して凹部を形成するステップであって、前記凹部は側壁を備えている、ステップと、
    前記凹部に前記緩和材料を形成するステップと、
    前記凹部の境界の外部において前記緩和層上に前記歪み材料を形成するステップであって、前記歪み材料は前記緩和層と格子定数/格子構造が一致していない、ステップとを備えた、
    請求項1に記載の方法。
  9. さらに、
    前記凹部に前記緩和層を形成するステップの前に、前記側壁に絶縁層を形成するステップを備えた、
    請求項8に記載の方法。
  10. 前記緩和層および前記緩衝層を、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択する、
    請求項8に記載の方法。
  11. 前記緩衝層を形成する前記ステップが、さらに、
    前記緩衝層を形成する材料から成る複数の層を、前記緩衝層を形成する材料の濃度が前記基板の近傍のベース濃度と前記緩和層の近傍のより高いベンチマーク濃度とを有するようにエピタキシャル成長させるステップを備えた、
    請求項8に記載の方法。
  12. 前記緩和層を形成する前記ステップが、さらに、
    前記緩和層を形成する材料から成る複数の層を、前記緩和層を形成する前記材料が前記緩衝層の近傍において前記緩衝層の材料のベンチマーク濃度にほぼ等しい第2のベース濃度を有するように、エピタキシャル成長させるステップを備えた、
    請求項11に記載の方法。
  13. 前記歪み材料が、炭素をドープしたシリコン、または、ゲルマニウムをドープしたシリコンから成る、
    請求項1に記載の方法。
  14. 電気デバイスを形成する方法であって、
    基板の一部分と接触させて緩衝層を形成するステップであって、前記緩衝層は前記基板と格子定数/格子構造が一致していない、ステップと、
    前記緩衝層上に緩和層を形成するステップと、
    前記緩和層の上表面に歪み材料を、前記緩和層によって前記歪み材料が引っ張り状態および圧縮状態のうちの一方の状態になるように、形成するステップと、
    前記歪み材料の近傍に非歪み材料をパターン形成するステップと
    を備えた
    方法。
  15. さらに、
    前記歪み材料中に歪みデバイスを形成するステップと、
    前記非歪み材料中に非歪みデバイスを形成するステップとを備えた、
    請求項14に記載の方法。
  16. 前記緩和層は前記歪み材料と格子定数/格子構造が一致しない材料から成っている、
    請求項14に記載の方法。
  17. 前記緩衝層を、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択する、
    請求項14に記載の方法。
  18. 前記緩衝層を形成する前記ステップが、さらに、
    前記緩衝層を形成する材料から成る複数の層を、前記緩衝層を形成する材料の濃度が前記基板の近傍のベース濃度と前記緩和層の近傍のより高いベンチマーク濃度とを有するようにエピタキシャル成長させるステップを備えた、
    請求項14に記載の方法。
  19. 前記緩和層を、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択する、
    請求項14に記載の方法。
  20. 前記歪み材料が、炭素またはゲルマニウムをドープした半導体材料である、
    請求項1に記載の方法。
  21. 基板上に形成された歪み材料および緩和材料のパターンと、
    前記基板上に形成され、前記基板と格子定数/格子構造が一致していない緩衝層と、
    前記緩衝層上に形成された緩和層であって、前記緩和層の上表面には引っ張り状態および圧縮状態のうちの一方の状態にある前記歪み材料が設けられている、緩和層とを備え、
    前記緩和層は前記歪み材料と格子定数/格子構造が一致していない材料から成り、
    前記緩衝層を形成する材料は濃度が前記基板の近傍におけるベース濃度から前記緩和層の近傍におけるベンチマーク濃度まで増大している
    電気デバイス。
  22. さらに、
    前記基板中に形成されるとともに前記緩衝層を囲む凹部であって、前記凹部は側壁を備えている、凹部を備えた、
    請求項21に記載の電気デバイス。
  23. さらに、
    前記側壁上に形成された絶縁層を備えた、
    請求項22に記載の電気デバイス。
  24. 前記緩和層および前記緩衝層が、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択されている、
    請求項21に記載の電気デバイス。
  25. 前記緩和層を形成する材料が、前記緩衝層の近傍において前記ベンチマーク濃度にほぼ等しい第2のベース濃度を有する、
    請求項21に記載の電気デバイス。
  26. 前記絶縁層を形成する材料が酸化物および窒化物のうちの一方である、
    請求項23に記載の電気デバイス。
  27. 前記基板の一部分が、前記緩和材料を形成しているとともに、前記絶縁層から成る境界の外部に位置している、
    請求項23に記載の電気デバイス。
  28. さらに、
    前記歪み材料中に形成された歪みデバイスと、
    前記緩和材料中に形成された非歪みデバイスとを備えた、
    請求項21に記載の電気デバイス。
  29. 前記緩和材料は前記凹部において前記絶縁層から成る境界内に形成されている、
    請求項23に記載の電気デバイス。
  30. 前記緩和層および前記緩衝層の各々は、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択されている、
    請求項29に記載の電気デバイス。
  31. 基板上に形成された歪み材料および緩和材料のパターンと、
    前記歪み材料中に形成された第1のデバイスと、
    前記緩和材料中に形成された第2のデバイスと
    を備えた
    電気デバイス。
  32. さらに、
    前記基板の一部分と接触して形成された緩衝層であって、前記緩衝層は前記基板とは格子定数/格子構造が一致していない、緩衝層と、
    前記緩衝層上に形成された緩和層と、
    前記緩和層の上表面に形成された歪み材料であって、前記緩和層によって前記歪み材料は引っ張り状態および圧縮状態のうちの一方の状態になっている、歪み材料と、
    前記歪み材料の近傍にパターン形成された非歪み材料とを備えた、
    請求項31に記載の電気デバイス。
  33. 前記歪み材料中に形成された前記第1のデバイスは論理デバイスであり、前記緩和材料中に形成された前記第2のデバイスは欠陥の影響を受けやすいデバイスである、
    請求項31に記載の電気デバイス。
  34. 前記緩和材料が、前記歪み材料と格子定数/格子構造が一致していない材料から成る、
    請求項32に記載の電気デバイス。
  35. 前記緩衝層および前記緩和層が、
    シリコン・カーボン(SiC)、シリコン・ゲルマニウム(SiGe)、AlX1GaX2InX3AsY1PY2NY3SbY4(ただし、X1、X2、X3、Y1、Y2、Y3、およびY4は相対比率を表し、各々は「0」以上であり、X1+X2+X3+Y1+Y2+Y3+Y4=1(「1」は合計相対モル量)である)、および、ZnA1CdA2SeB1TeB2(ただし、A1、A2、B1、およびB2は相対比率を表し、各々は「0」以上であり、A1+A2+B1+B2=1(「1」は合計相対モル量)である)から成る群
    から選択されている、
    請求項32に記載の電気デバイス。
  36. 前記緩衝層を形成する材料の濃度が、前記基板の近傍のベース濃度から前記緩和層の近傍のベンチマーク濃度まで増大している、
    請求項32に記載の電気デバイス。
  37. 前記歪み材料が、炭素をドープした半導体材料、または、ゲルマニウムをドープした半導体材料である、
    請求項32に記載の電気デバイス。
  38. さらに、
    前記歪み材料および前記緩和材料の近傍に形成された第2の歪み材料であって、それぞれ、前記歪み材料は引っ張り状態または圧縮状態にあり、前記第2の歪み材料は圧縮状態または引っ張り状態にある、第2の歪み材料を備えた、
    請求項31に記載の電気デバイス。
  39. 前記歪み材料が、炭素をドープした材料、または、ゲルマニウムをドープした材料である、
    請求項31に記載の電気デバイス。
  40. さらに、
    前記基板中に形成された凹部であって、前記凹部は側壁を備え、前記側壁から成る境界内には前記歪み材料が形成されており、前記歪み材料は炭素をドープした材料、または、ゲルマニウムをドープした材料である、凹部を備えた、
    請求項31に記載の電気デバイス。
  41. 前記側壁は絶縁層を備え、前記歪み材料は前記絶縁層から成る境界内に形成されている、
    請求項40に記載の電気デバイス。
JP2005208400A 2004-07-23 2005-07-19 基板上に歪み材料及び非歪み材料のパターンを形成するための方法、及びこれらのパターンを含む電気デバイス Active JP5373247B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,608 2004-07-23
US10/710,608 US7384829B2 (en) 2004-07-23 2004-07-23 Patterned strained semiconductor substrate and device

Publications (3)

Publication Number Publication Date
JP2006041516A true JP2006041516A (ja) 2006-02-09
JP2006041516A5 JP2006041516A5 (ja) 2008-07-17
JP5373247B2 JP5373247B2 (ja) 2013-12-18

Family

ID=35657774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005208400A Active JP5373247B2 (ja) 2004-07-23 2005-07-19 基板上に歪み材料及び非歪み材料のパターンを形成するための方法、及びこれらのパターンを含む電気デバイス

Country Status (4)

Country Link
US (4) US7384829B2 (ja)
JP (1) JP5373247B2 (ja)
CN (1) CN100385615C (ja)
TW (1) TWI353653B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508671A (ja) * 2006-10-31 2010-03-18 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 引張歪みおよび圧縮歪みを生成するための埋め込みSi/Ge材料を含むNMOSトランジスタおよびPMOSトランジスタを有する半導体デバイス
JP2010251729A (ja) * 2009-03-27 2010-11-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置
JP2014526145A (ja) * 2011-07-12 2014-10-02 エヌアーエスペー スリー/ヴィー ゲーエムベーハー モノリシック集積半導体構造

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2872626B1 (fr) * 2004-07-05 2008-05-02 Commissariat Energie Atomique Procede pour contraindre un motif mince
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) * 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
EP2595175B1 (en) * 2005-05-17 2019-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lattice-mismatched semiconductor structure with reduced dislocation defect densities
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
KR101329388B1 (ko) * 2005-07-26 2013-11-14 앰버웨이브 시스템즈 코포레이션 다른 액티브 영역 물질의 집적회로 집적을 위한 솔루션
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
DE102005047081B4 (de) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
WO2008054678A1 (en) * 2006-10-31 2008-05-08 Advanced Micro Devices Inc. A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US9508890B2 (en) * 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) * 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
KR101093588B1 (ko) * 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) * 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
DE102008049717B4 (de) * 2008-09-30 2010-10-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements als Transistor mit einem Metallgatestapel mit großem ε und einem kompressiv verspannten Kanal
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8367494B2 (en) 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US8829585B2 (en) * 2011-05-31 2014-09-09 International Business Machines Corporation High density memory cells using lateral epitaxy
CN102956497B (zh) * 2011-08-30 2015-04-29 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8471342B1 (en) * 2011-12-09 2013-06-25 GlobalFoundries, Inc. Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US8994085B2 (en) 2012-01-06 2015-03-31 International Business Machines Corporation Integrated circuit including DRAM and SRAM/logic
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8716751B2 (en) * 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
CN103779221A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8785907B2 (en) * 2012-12-20 2014-07-22 Intel Corporation Epitaxial film growth on patterned substrate
KR102021765B1 (ko) 2013-06-17 2019-09-17 삼성전자 주식회사 반도체 장치
KR102098900B1 (ko) 2013-06-28 2020-04-08 인텔 코포레이션 측방향 에피택시 과도성장 영역에서의 결함 없는 핀 기반 디바이스의 제조
US9425042B2 (en) * 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US20150194307A1 (en) * 2014-01-06 2015-07-09 Globalfoundries Inc. Strained fin structures and methods of fabrication
KR102083632B1 (ko) 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9601583B2 (en) * 2014-07-15 2017-03-21 Armonk Business Machines Corporation Hetero-integration of III-N material on silicon
US9437680B1 (en) 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
US10361219B2 (en) * 2015-06-30 2019-07-23 International Business Machines Corporation Implementing a hybrid finFET device and nanowire device utilizing selective SGOI
TWI677098B (zh) 2015-10-02 2019-11-11 聯華電子股份有限公司 鰭狀場效電晶體及其製造方法
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US9842929B1 (en) * 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10475930B2 (en) * 2016-08-17 2019-11-12 Samsung Electronics Co., Ltd. Method of forming crystalline oxides on III-V materials
WO2018101278A1 (ja) * 2016-11-30 2018-06-07 株式会社リコー 酸化物又は酸窒化物絶縁体膜形成用塗布液、酸化物又は酸窒化物絶縁体膜、電界効果型トランジスタ、及びそれらの製造方法
US10593672B2 (en) 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286418A (ja) * 1999-03-30 2000-10-13 Hitachi Ltd 半導体装置および半導体基板
JP2001257351A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及びその製造方法
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2002190599A (ja) * 2000-12-20 2002-07-05 Toshiba Corp 半導体装置及びその製造方法
JP2002343880A (ja) * 2001-05-17 2002-11-29 Sharp Corp 半導体基板及びその製造方法ならびに半導体装置及びその製造方法
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003158075A (ja) * 2001-08-23 2003-05-30 Sumitomo Mitsubishi Silicon Corp 半導体基板の製造方法及び電界効果型トランジスタの製造方法並びに半導体基板及び電界効果型トランジスタ
JP2004165197A (ja) * 2002-11-08 2004-06-10 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP2004193203A (ja) * 2002-12-09 2004-07-08 Matsushita Electric Ind Co Ltd 電界効果トランジスタおよびその製造方法
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP2005268322A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体基板及びその製造方法並びに半導体装置
JP2005311367A (ja) * 2004-04-22 2005-11-04 Internatl Business Mach Corp <Ibm> ハイブリッド結晶方位上の歪みシリコンcmos
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
KR20010024041A (ko) * 1997-09-16 2001-03-26 자르밀라 제트. 흐르벡 공동 평면 Si 및 Ge 합성물 기판 및 그 제조 방법
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6852602B2 (en) * 2001-01-31 2005-02-08 Matsushita Electric Industrial Co., Ltd. Semiconductor crystal film and method for preparation thereof
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
WO2003017336A2 (en) * 2001-08-13 2003-02-27 Amberwave Systems Corporation Dram trench capacitor and method of making the same
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6972245B2 (en) * 2002-05-15 2005-12-06 The Regents Of The University Of California Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286418A (ja) * 1999-03-30 2000-10-13 Hitachi Ltd 半導体装置および半導体基板
JP2001257351A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及びその製造方法
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP2002190599A (ja) * 2000-12-20 2002-07-05 Toshiba Corp 半導体装置及びその製造方法
JP2002343880A (ja) * 2001-05-17 2002-11-29 Sharp Corp 半導体基板及びその製造方法ならびに半導体装置及びその製造方法
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
JP2003158075A (ja) * 2001-08-23 2003-05-30 Sumitomo Mitsubishi Silicon Corp 半導体基板の製造方法及び電界効果型トランジスタの製造方法並びに半導体基板及び電界効果型トランジスタ
JP2004165197A (ja) * 2002-11-08 2004-06-10 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP2004193203A (ja) * 2002-12-09 2004-07-08 Matsushita Electric Ind Co Ltd 電界効果トランジスタおよびその製造方法
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP2005268322A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体基板及びその製造方法並びに半導体装置
JP2005311367A (ja) * 2004-04-22 2005-11-04 Internatl Business Mach Corp <Ibm> ハイブリッド結晶方位上の歪みシリコンcmos

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010508671A (ja) * 2006-10-31 2010-03-18 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 引張歪みおよび圧縮歪みを生成するための埋め込みSi/Ge材料を含むNMOSトランジスタおよびPMOSトランジスタを有する半導体デバイス
JP2010251729A (ja) * 2009-03-27 2010-11-04 Semiconductor Energy Lab Co Ltd 半導体装置及びその作製方法
JP2014526145A (ja) * 2011-07-12 2014-10-02 エヌアーエスペー スリー/ヴィー ゲーエムベーハー モノリシック集積半導体構造
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置

Also Published As

Publication number Publication date
US20060019462A1 (en) 2006-01-26
US20100109049A1 (en) 2010-05-06
TWI353653B (en) 2011-12-01
JP5373247B2 (ja) 2013-12-18
US7682859B2 (en) 2010-03-23
CN100385615C (zh) 2008-04-30
US9515140B2 (en) 2016-12-06
CN1725437A (zh) 2006-01-25
TW200620554A (en) 2006-06-16
US20080135874A1 (en) 2008-06-12
US9053970B2 (en) 2015-06-09
US20080061317A1 (en) 2008-03-13
US7384829B2 (en) 2008-06-10

Similar Documents

Publication Publication Date Title
JP5373247B2 (ja) 基板上に歪み材料及び非歪み材料のパターンを形成するための方法、及びこれらのパターンを含む電気デバイス
US7029964B2 (en) Method of manufacturing a strained silicon on a SiGe on SOI substrate
US8969155B2 (en) Fin structure with varying isolation thickness
US20070249129A1 (en) STI stressor integration for minimal phosphoric exposure and divot-free topography
US20110037150A1 (en) Substrate comprising different types of surfaces and method for obtaining such substrates
US7525154B2 (en) Semiconductor substrate, manufacturing method therefor, and semiconductor device
US11189532B2 (en) Dual width finned semiconductor structure
US9559018B2 (en) Dual channel finFET with relaxed pFET region
JP5204106B2 (ja) 電子移動度が増大したトランジスタを形成する方法
US20130175671A1 (en) Methods for processing a semiconductor wafer, a semiconductor wafer and a semiconductor device
US20190214254A1 (en) SiGe FINS FORMED ON A SUBSTRATE
KR20200036696A (ko) 감소된 워피지 및 더 나은 트렌치 충전 성능을 갖는, 반도체 디바이스를 제조하는 방법
US9780094B2 (en) Trench to trench fin short mitigation
JP5891597B2 (ja) 半導体基板または半導体装置の製造方法
CN109791877B (zh) 包括单晶半导体岛的结构以及制造这种结构的方法
US20150014824A1 (en) Method for fabricating a semiconductor device
CN115692308A (zh) 一种半导体结构的制备方法及半导体结构
JP2009283493A (ja) 半導体装置の製造方法
EP2304793A1 (en) Substrate comprising different types of surfaces and method for obtaining such substrates

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080602

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080602

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120510

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120710

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121109

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121116

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20121207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130919

R150 Certificate of patent or registration of utility model

Ref document number: 5373247

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250