JP2001338988A5 - - Google Patents

Download PDF

Info

Publication number
JP2001338988A5
JP2001338988A5 JP2000159544A JP2000159544A JP2001338988A5 JP 2001338988 A5 JP2001338988 A5 JP 2001338988A5 JP 2000159544 A JP2000159544 A JP 2000159544A JP 2000159544 A JP2000159544 A JP 2000159544A JP 2001338988 A5 JP2001338988 A5 JP 2001338988A5
Authority
JP
Japan
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000159544A
Other versions
JP2001338988A (ja
Filing date
Publication date
Application filed filed Critical
Priority to JP2000159544A priority Critical patent/JP2001338988A/ja
Priority claimed from JP2000159544A external-priority patent/JP2001338988A/ja
Priority to TW090107891A priority patent/TW502443B/zh
Priority to US09/824,225 priority patent/US6724019B2/en
Priority to KR1020010022292A priority patent/KR100783980B1/ko
Publication of JP2001338988A publication Critical patent/JP2001338988A/ja
Priority to US10/738,544 priority patent/US6995054B2/en
Publication of JP2001338988A5 publication Critical patent/JP2001338988A5/ja
Pending legal-status Critical Current

Links

JP2000159544A 2000-05-25 2000-05-25 半導体装置及びその製造方法 Pending JP2001338988A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000159544A JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法
TW090107891A TW502443B (en) 2000-05-25 2001-04-02 Semiconductor device and manufacturing method
US09/824,225 US6724019B2 (en) 2000-05-25 2001-04-03 Multi-layered, single crystal field effect transistor
KR1020010022292A KR100783980B1 (ko) 2000-05-25 2001-04-25 반도체 장치 및 그 제조 방법
US10/738,544 US6995054B2 (en) 2000-05-25 2003-12-18 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000159544A JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2001338988A JP2001338988A (ja) 2001-12-07
JP2001338988A5 true JP2001338988A5 (ja) 2006-03-30

Family

ID=18663847

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000159544A Pending JP2001338988A (ja) 2000-05-25 2000-05-25 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US6724019B2 (ja)
JP (1) JP2001338988A (ja)
KR (1) KR100783980B1 (ja)
TW (1) TW502443B (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6861326B2 (en) * 2001-11-21 2005-03-01 Micron Technology, Inc. Methods of forming semiconductor circuitry
JP2003249451A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp エピタキシャル薄膜の形成方法
DE10218381A1 (de) * 2002-04-24 2004-02-26 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge
US7521733B2 (en) * 2002-05-14 2009-04-21 Infineon Technologies Ag Method for manufacturing an integrated circuit and integrated circuit with a bipolar transistor and a hetero bipolar transistor
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
AU2003247513A1 (en) * 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
CN100505218C (zh) * 2003-01-08 2009-06-24 国际商业机器公司 半导体结构及其制作方法
JP2004245660A (ja) * 2003-02-13 2004-09-02 Seiko Instruments Inc 小片試料の作製とその壁面の観察方法及びそのシステム
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
JP2005011915A (ja) * 2003-06-18 2005-01-13 Hitachi Ltd 半導体装置、半導体回路モジュールおよびその製造方法
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6940705B2 (en) 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7129126B2 (en) 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
KR100588779B1 (ko) * 2003-12-30 2006-06-12 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7087965B2 (en) 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
CN1303700C (zh) * 2004-06-08 2007-03-07 江苏长电科技股份有限公司 具有台阶型栅氧化层的射频soi功率nmosfet及其制造方法
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
JP4604637B2 (ja) 2004-10-07 2011-01-05 ソニー株式会社 半導体装置および半導体装置の製造方法
US7800097B2 (en) * 2004-12-13 2010-09-21 Panasonic Corporation Semiconductor device including independent active layers and method for fabricating the same
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US7327008B2 (en) * 2005-01-24 2008-02-05 International Business Machines Corporation Structure and method for mixed-substrate SIMOX technology
US20060172480A1 (en) * 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
WO2006109221A2 (en) * 2005-04-13 2006-10-19 Nxp B.V. Lateral bipolar transistor
KR100611204B1 (ko) * 2005-05-10 2006-08-10 삼성전자주식회사 멀티 스택 패키징 칩 및 그 제조방법
US7465976B2 (en) * 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
TW200705553A (en) * 2005-06-28 2007-02-01 Nxp Bv Doping profile improvement of in-situ doped n-type emitters
KR101181272B1 (ko) * 2005-06-30 2012-09-11 매그나칩 반도체 유한회사 반도체 소자의 제조 방법
US7807523B2 (en) * 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
KR101329388B1 (ko) * 2005-07-26 2013-11-14 앰버웨이브 시스템즈 코포레이션 다른 액티브 영역 물질의 집적회로 집적을 위한 솔루션
JP4897948B2 (ja) * 2005-09-02 2012-03-14 古河電気工業株式会社 半導体素子
US8039880B2 (en) * 2005-09-13 2011-10-18 Raytheon Company High performance microwave switching devices and circuits
US7986029B2 (en) * 2005-11-08 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dual SOI structure
JP2007158295A (ja) * 2005-11-10 2007-06-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20070158779A1 (en) * 2006-01-12 2007-07-12 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a buried damage layer
US7648869B2 (en) * 2006-01-12 2010-01-19 International Business Machines Corporation Method of fabricating semiconductor structures for latch-up suppression
US7276768B2 (en) * 2006-01-26 2007-10-02 International Business Machines Corporation Semiconductor structures for latch-up suppression and methods of forming such semiconductor structures
US7491618B2 (en) * 2006-01-26 2009-02-17 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a conductive region
FR2897471A1 (fr) * 2006-02-10 2007-08-17 St Microelectronics Sa Formation d'une portion de couche semiconductrice monocristalline separee d'un substrat
US20070194403A1 (en) * 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
JP5194575B2 (ja) * 2006-10-17 2013-05-08 日産自動車株式会社 半導体装置の製造方法
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
JP5141029B2 (ja) * 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20080191285A1 (en) 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
US7456087B2 (en) * 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7818702B2 (en) * 2007-02-28 2010-10-19 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
US7754513B2 (en) * 2007-02-28 2010-07-13 International Business Machines Corporation Latch-up resistant semiconductor structures on hybrid substrates and methods for forming such semiconductor structures
JP4896789B2 (ja) * 2007-03-29 2012-03-14 株式会社東芝 半導体装置の製造方法
US7598560B2 (en) * 2007-03-30 2009-10-06 Kavalieros Jack T Hetero-bimos injection process for non-volatile flash memory
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7928474B2 (en) * 2007-08-15 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd., Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
DE102007063232B4 (de) * 2007-12-31 2023-06-22 Advanced Micro Devices, Inc. Verfahren zum Polieren eines Substrats
US8211786B2 (en) * 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US20100109044A1 (en) * 2008-10-30 2010-05-06 Tekleab Daniel G Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer
US8294222B2 (en) 2008-12-23 2012-10-23 International Business Machines Corporation Band edge engineered Vt offset device
DE102009006886B4 (de) 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
WO2010134334A1 (ja) * 2009-05-22 2010-11-25 住友化学株式会社 半導体基板、電子デバイス、半導体基板の製造方法及び電子デバイスの製造方法
JP4794655B2 (ja) * 2009-06-09 2011-10-19 シャープ株式会社 電界効果トランジスタ
US9601328B2 (en) * 2009-10-08 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Growing a III-V layer on silicon using aligned nano-scale patterns
US8395216B2 (en) * 2009-10-16 2013-03-12 Texas Instruments Incorporated Method for using hybrid orientation technology (HOT) in conjunction with selective epitaxy to form semiconductor devices with regions of different electron and hole mobilities and related apparatus
US9117905B2 (en) 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US20110215376A1 (en) * 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US9263339B2 (en) * 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
FR2965973B1 (fr) * 2010-10-11 2012-12-07 Centre Nat Rech Scient Heterostructure semi-conductrice et transistor de type hemt, en particulier pour applications cryogéniques a bas bruit et a basse fréquence
US20120319207A1 (en) * 2011-06-17 2012-12-20 Toshiba America Electronic Components, Inc. Semiconductor device with threshold voltage control and method of fabricating the same
WO2013154574A1 (en) 2012-04-13 2013-10-17 Intel Corporation Conversion of strain-inducing buffer to electrical insulator
CN103390634B (zh) * 2012-05-09 2015-12-02 中芯国际集成电路制造(上海)有限公司 SiC MOSFET结构及其制造方法
US9059321B2 (en) 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
CN102877121B (zh) * 2012-10-23 2015-08-26 云南北方驰宏光电有限公司 太阳能电池用锗单晶生长的掺杂方法
DE102013004909A1 (de) 2013-03-22 2014-10-09 Jowat Ag Neue Klebstoffzusammensetzungen auf Basis nachwachsender Rohstoffe und deren Verwendung
EP3036770B1 (en) 2013-08-23 2022-03-02 Intel Corporation High resistance layer for iii-v channel deposited on group iv substrates for mos transistors
US9368543B2 (en) * 2014-01-15 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
KR102277398B1 (ko) * 2014-09-17 2021-07-16 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102259328B1 (ko) * 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10020317B2 (en) 2015-08-31 2018-07-10 Cypress Semiconductor Corporation Memory device with multi-layer channel and charge trapping layer
US9899273B1 (en) * 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10593672B2 (en) 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication
JP7034834B2 (ja) * 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US11251042B2 (en) * 2019-10-31 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of single crystal semiconductors using planar vapor liquid solid epitaxy
US11888062B2 (en) * 2021-10-01 2024-01-30 Globalfoundries U.S. Inc. Extended-drain metal-oxide-semiconductor devices with a silicon-germanium layer beneath a portion of the gate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4791072A (en) * 1984-06-15 1988-12-13 American Telephone And Telegraph Company, At&T Bell Laboratories Method for making a complementary device containing MODFET
DE3830102A1 (de) * 1987-09-16 1989-03-30 Licentia Gmbh Si/sige-halbleiterkoerper
KR920010674A (ko) * 1990-11-28 1992-06-27 서주인 롤(Roll)타입 인덕터의 제조방법
JP3677350B2 (ja) * 1996-06-10 2005-07-27 三菱電機株式会社 半導体装置、及び半導体装置の製造方法
KR100216593B1 (ko) * 1996-12-06 1999-08-16 정선종 화합물 반도체 소자 제조 방법
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices

Similar Documents

Publication Publication Date Title
JP2001338988A5 (ja)
AU2000236813A8 (ja)
JP2002540013A5 (ja)
JP2001345360A5 (ja)
JP2003510972A5 (ja)
JP2003510591A5 (ja)
JP2001337874A5 (ja)
JP2002143153A5 (ja)
JP2002175479A5 (ja)
JP2002024754A5 (ja)
JP2001273629A5 (ja)
JP2001267352A5 (ja)
JP2002185872A5 (ja)
JP2002536785A5 (ja)
JP2002144377A5 (ja)
IN191339B (ja)
CN3151695S (ja)
CN3147984S (ja)
CN3155832S (ja)
CN3143069S (ja)
CN3154633S (ja)
CN3154632S (ja)
CN3153181S (ja)
CN3152298S (ja)
CN3150688S (ja)