KR102230198B1 - 반도체 소자 및 이의 제조 방법 - Google Patents

반도체 소자 및 이의 제조 방법 Download PDF

Info

Publication number
KR102230198B1
KR102230198B1 KR1020140127189A KR20140127189A KR102230198B1 KR 102230198 B1 KR102230198 B1 KR 102230198B1 KR 1020140127189 A KR1020140127189 A KR 1020140127189A KR 20140127189 A KR20140127189 A KR 20140127189A KR 102230198 B1 KR102230198 B1 KR 102230198B1
Authority
KR
South Korea
Prior art keywords
source
semiconductor device
drain
contact
drain region
Prior art date
Application number
KR1020140127189A
Other languages
English (en)
Other versions
KR20160035504A (ko
Inventor
김진범
김철성
배덕한
구본영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140127189A priority Critical patent/KR102230198B1/ko
Priority to US14/861,794 priority patent/US9679977B2/en
Publication of KR20160035504A publication Critical patent/KR20160035504A/ko
Priority to US15/604,687 priority patent/US10164030B2/en
Application granted granted Critical
Publication of KR102230198B1 publication Critical patent/KR102230198B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7809Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

반도체 장치는. NMOS 영역 및 PMOS 영역을 포함하며, 돌출 패턴을 구비하는 기판; 상기 기판의 상기 NMOS 영역 및 상기 PMOS 영역 상에 각각 형성되고, 상기 돌출 패턴과 교차하며 상기 기판의 상면에 평행한 제1 방향을 따라 연장하는 제1 및 제2 게이트 구조물들; 상기 제1 및 제2 게이트 구조물들의 양측 상에 각각 형성되는 제1 및 제2 소스/드레인 영역들; 및 상기 제1 및 제2 소스/드레인 영역들 상부에 각각 형성되는 제1 및 제2 콘택 플러그들;을 포함하고, 상기 제1 콘택 플러그의 바닥면이 상기 제2 콘택 플러그의 바닥면보다 낮거나 실질적으로 동일한 레벨 상에 위치한다.

Description

반도체 소자 및 이의 제조 방법{Semiconductor device and method for manufacturing the same}
본 발명의 기술적 사상은 반도체 장치 및 이의 제조 방법에 관한 것으로, 더욱 상세하게는 트랜지스터를 포함하는 반도체 장치 및 이의 제조 방법에 관한 것이다.
전자 기기의 고속화를 구현하기 위하여 전자 기기에 포함되는 반도체 장치의 고속화가 필요하다. 트랜지스터를 포함하는 반도체 장치에서, 반도체 장치의 미세화에 따라 콘택 저항이 증가하는 문제가 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는, 감소된 저항의 소스/드레인 영역을 구비하는 반도체 장치를 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는, 감소된 저항의 소스/드레인 영역을 구비하는 반도체 장치의 제조 방법을 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상에 따른 반도체 장치는, NMOS 영역 및 PMOS 영역을 포함하며, 돌출 패턴을 구비하는 기판; 상기 기판의 상기 NMOS 영역 및 상기 PMOS 영역 상에 각각 형성되고, 상기 돌출 패턴과 교차하며 상기 기판의 상면에 평행한 제1 방향을 따라 연장하는 제1 및 제2 게이트 구조물들; 상기 제1 및 제2 게이트 구조물들의 양측 상에 각각 형성되는 제1 및 제2 소스/드레인 영역들; 및 상기 제1 및 제2 소스/드레인 영역들 상부에 각각 형성되는 제1 및 제2 콘택 플러그들;을 포함하고, 상기 제1 콘택 플러그와 상기 제2 콘택 플러그는 비대칭 형상을 갖는다.
예시적인 실시예들에 있어서, 상기 제1 콘택 플러그의 바닥면이 상기 제2 콘택 플러그의 바닥면보다 낮거나 실질적으로 동일한 레벨 상에 위치할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역은 상기 제1 콘택 플러그와의 제1 접촉 면적을 가지며, 상기 제2 소스/드레인 영역은 상기 제2 콘택 플러그와의 제2 접촉 면적을 가지며, 상기 제2 접촉 면적이 상기 제1 접촉 면적보다 실질적으로 작을 수 있다.
예시적인 실시예들에 있어서,상기 제1 소스/드레인 영역은 상기 제1 콘택 플러그와 접촉하는 제1 콘택 랜딩 면(contact landing surface)을 가지며, 상기 제2 소스/드레인 영역은 상기 제2 콘택 플러그와 접촉하는 제2 콘택 랜딩 면을 가지며, 상기 제2 콘택 랜딩 면이 상기 제1 콘택 랜딩 면보다 높거나 실질적으로 동일한 레벨 상에 위치할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역은 상기 제1 방향을 따라 제1 최대 폭을 가지며, 상기 제2 소스/드레인 영역은 상기 제1 방향을 따라 제2 최대 폭을 가지며, 상기 제2 최대폭이 상기 제1 최대폭보다 작을 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역은 상기 제1 최대 폭을 갖는 제1 높이를 가지며, 상기 제2 소스/드레인 영역은 상기 제2 최대 폭을 갖는 제2 높이를 가지며, 상기 제2 높이가 상기 제1 높이보다 작을 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역은 상기 기판의 상면에 수직한 제2 방향을 따라 제3 높이를 가지며, 상기 제2 소스/드레인 영역은 상기 제2 방향을 따라 제4 높이를 가지며, 상기 제4 높이가 상기 제3 높이보다 클 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상부(top portion)는 상기 기판의 상면에 실질적으로 평행한 방향으로 평탄하게 연장할 수 있다.
예시적인 실시예들에 있어서, 상기 제2 소스/드레인 영역의 상부(top portion)는 패시티드 측벽(faceted sidewall)을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 패시티드 측벽은 상기 기판의 상면에 대하여 50도 내지 59도의 교각(intersecting angle)을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 제1 게이트 구조물의 측벽 및 상면 상에 형성되는 제1 스페이서; 및 상기 제2 게이트 구조물의 측벽 상에 형성되는 제2 스페이서;를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 게이트 구조물과의 사이에 상기 제1 스페이서가 개재되도록 상기 제1 게이트 구조물의 측벽 상에 형성되는 제3 스페이서; 및 상기 제2 게이트 구조물과의 사이에 상기 제2 스페이서가 개재되도록 상기 제1 게이트 구조물의 상면과 측벽 상에 형성되는 제4 스페이서;를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제2 소스/드레인 영역의 상면의 적어도 일부분은 상기 제4 스페이서에 의해 커버될 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상면이 상기 제3 스페이서에 의해 커버되지 않을 수 있다.
예시적인 실시예들에 있어서, 상기 제1 게이트 구조물과 상기 제1 소스/드레인 영역 사이에 제1 스페이서 및 제3 스페이서가 개재되며, 상기 제2 게이트 구조물과 상기 제2 소스/드레인 영역 사이에 제2 스페이서가 개재될 수 있다.
예시적인 실시예들에 있어서, 상기 제2 콘택 플러그는 상기 제4 스페이서의 적어도 일부분과 접촉하고, 상기 제1 콘택 플러그는 상기 제3 스페이서와 접촉하지 않을 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 측벽 일부분 상에 형성되는 제1 캡핑층; 및 상기 제2 소스/드레인 영역의 측벽 및 상면 상에 형성되는 제2 캡핑층을 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 캡핑층은 상기 제1 소스/드레인 영역의 최상면 상에는 형성되지 않으며, 상기 제2 캡핑층은 상기 제2 소스/드레인 영역의 최상면 상에 형성될 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상에 따른 반도체 장치는, 제1 방향으로 연장하는 제1 돌출 패턴 및 제2 돌출 패턴을 구비하는 기판; 상기 제1 돌출 패턴 상에서 상기 제1 방향과는 다른 제2 방향으로 연장하며, 순차적으로 적층된 제1 게이트 절연막 및 제1 게이트 전극을 포함하는 제1 게이트 구조물; 상기 제1 게이트 구조물의 양측에 상기 제1 방향을 따라 위치하는 한 쌍의 제1 소스/드레인 영역; 상기 제2 돌출 패턴 상에서 상기 제2 방향으로 연장하며, 순차적으로 적층된 제2 게이트 절연막 및 제2 게이트 전극을 포함하는 제2 게이트 구조물; 상기 제2 게이트 구조물의 양측에 상기 제1 방향을 따라 위치하는 한 쌍의 제2 소스/드레인 영역;을 포함하며, 한 쌍의 상기 제1 소스/드레인 영역 중 적어도 하나의 소스/드레인 영역의 최상면은 한 쌍의 상기 제2 소스/드레인 영역의 최상면보다 낮은 레벨 상에 위치할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상부는 라운드진 형상을 가지며, 상기 제2 소스/드레인 영역의 상부는 패시티드 측벽들을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역은 제1 콘택 플러그와 접촉하는 제1 콘택 랜딩 면을 가지며, 상기 제2 소스/드레인 영역은 제2 콘택 플러그와 접촉하는 제2 콘택 랜딩 면을 가지며, 상기 제2 콘택 랜딩 면이 상기 제1 콘택 랜딩 면보다 높거나 실질적으로 동일한 레벨 상에 위치할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 측벽 일부분을 둘러싸는 제1 캡핑층; 및 상기 제2 소스/드레인 영역의 측벽 및 상면을 둘러싸는 제2 캡핑층;을 더 포함할 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상에 따른 반도체 장치는, 한 쌍의 채널 영역 및 한 쌍의 상기 채널 영역의 사이에 위치되는 리세스를 가지는 핀 구조물이 형성되는 기판; 한 쌍의 상기 채널 영역 상에 각각 제공되고 상기 핀 구조물과 교차하여 연장되는 한 쌍의 게이트 구조물; 및 상기 리세스 저면 위에 형성되며, 상기 리세스 저면으로부터 상기 기판의 상면과 제1 교각을 갖도록 기울어지며 연장하는 제1 측벽, 상기 제1 측벽의 최상부로부터 연장하며, 상기 기판의 상면과 제2 교각을 갖도록 기울어지며 연장하는 제2 측벽, 및 상기 제2 측벽의 최상부로부터 상기 기판의 상면과 실질적으로 평행한 방향으로 연장하는 제1 상면을 구비하는 소스/드레인 영역;을 포함한다.
예시적인 실시예들에 있어서, 상기 한 쌍의 게이트 구조물은 상기 채널 영역 상에 순차적으로 적층된 게이트 절연막 및 게이트 전극을 포함하며, 상기 제1 상면은 상기 게이트 전극의 저면보다 높은 레벨 상에 위치할 수 있다.
예시적인 실시예들에 있어서, 상기 한 쌍의 게이트 구조물의 측벽 상에 순차적으로 형성된 제1 스페이서 및 제2 스페이서를 더 포함하며, 상기 소스/드레인 영역은 상기 제2 스페이서의 적어도 일부분과 접촉할 수 있다.
예시적인 실시예들에 있어서, 상기 소스/드레인 영역의 상기 제1 측벽을 둘러싸는 캡핑층을 더 포함할 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상에 따른 반도체 장치의 제조 방법은, 기판 상에, 상기 기판의 상면에 평행한 제1 방향으로 연장하는 돌출 패턴을 형성하는 단계; 상기 기판 상에서 상기 돌출 패턴과 교차하며, 상기 제1 방향과 다른 제2 방향으로 연장하는 제1 및 제2 게이트 구조물들을 형성하는 단계; 상기 제1 및 제2 게이트 구조물들의 양측에 상기 기판의 상면보다 높은 레벨 상에 위치하는 상면들을 갖는 제1 및 제2 소스/드레인 영역들을 형성하는 단계; 및 상기 제1 소스/드레인 영역의 높이가 제2 소스/드레인 영역의 높이보다 작아지도록 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계;를 포함한다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계는, 에치백 공정 또는 건식 식각 공정을 수행하여 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 및 제2 소스/드레인 영역들을 형성하는 단계는, 선택적 에피택시 성장 공정을 수행하여 상기 제2 게이트 구조물의 양측에 상기 제1 소스/드레인 영역을 형성하는 단계; 및 선택적 에피택시 성장 공정을 수행하여 상기 제1 게이트 구조물의 양측에 상기 제1 소스/드레인 영역의 높이보다 상기 제2 소스/드레인 영역의 높이가 크도록 상기 제2 소스/드레인 영역을 형성하는 단계;를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계 이전에, 상기 제1 및 제2 게이트 구조물들 및 상기 제1 및 제2 소스/드레인 영역들 상에 캡핑층을 형성하는 단계를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계는, 상기 제1 소스/드레인 영역의 높이가 제2 소스/드레인 영역의 높이보다 작아지도록 상기 제1 소스/드레인 영역 상부에 위치한 상기 캡핑층 및 상기 제1 소스/드레인 영역의 상측 일부분을 제거하는 단계를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 기판 상에, 상기 제1 및 제2 게이트 구조물들의 측벽들 및 상기 제1 및 제2 소스/드레인 영역들을 커버하는 층간 절연막을 형성하는 단계; 상기 제1 및 제2 게이트 구조물들을 제거하여 상기 기판의 상면을 노출시키는 단계; 상기 층간 절연막의 측벽 및 노출된 상기 기판의 상면 상에 상기 제1 및 제2 게이트 구조물이 제거된 공간을 채우는 제3 및 제4 게이트 구조물들을 형성하는 단계;를 더 포함할 수 있다.
상기 반도체 장치는 소스/드레인 영역들과 콘택 플러그들 사이의 저항이 감소될 수 있어, 반도체 장치의 고속 동작이 가능할 수 있다.
도 1a는 예시적인 실시예들에 따른 반도체 장치를 나타내는 사시도이다.
도 1b는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 1c는 예시적인 실시예들에 따른 반도체 장치를 나타내는 확대 단면도이다.
도 2a 내지 도 2l은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다.
도 3a는 예시적인 실시예들에 따른 반도체 장치를 나타내는 사시도이다.
도 3b는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 4a 내지 도 4d는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다.
도 5a는 예시적인 실시예들에 따른 반도체 장치를 나타내는 사시도이다.
도 5b는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 6a 내지 도 6c는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다.
도 7a는 예시적인 실시예들에 따른 반도체 장치를 나타내는 사시도이다.
도 7b는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 7c는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 8a는 예시적인 실시예들에 따른 반도체 장치를 나타내는 사시도이다.
도 8b는 예시적인 실시예들에 따른 반도체 장치를 나타내는 단면도이다.
도 9는 본 발명의 일 실시예에 따른 반도체 소자인 CMOS 인버터의 회로도이다.
도 10은 본 발명의 일 실시예에 따른 반도체 소자인 CMOS NAND 회로의 회로도이다.
도 11은 본 발명의 일 실시예에 따른 반도체 소자로 구현한 SoC의 구성을 개략적으로 나타내는 도면이다.
도 12는 본 발명의 일 실시예에 따른 반도체 소자로 구현한 SoC를 포함하는 전자 시스템을 나타내는 도면이다.
도 13은 본 발명의 실시예에 따른 반도체 소자가 응용된 전자 장치를 개략적으로 보여주는 사시도이다.
본 발명의 구성 및 효과를 충분히 이해하기 위하여, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라, 여러 가지 형태로 구현될 수 있고 다양한 변경을 가할 수 있다. 단지, 본 실시예들에 대한 설명은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술 분야의 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위하여 제공되는 것이다. 첨부된 도면에서 구성 요소들은 설명의 편의를 위하여 그 크기를 실제보다 확대하여 도시한 것이며, 각 구성 요소의 비율은 과장되거나 축소될 수 있다.
어떤 구성 요소가 다른 구성 요소에 "상에" 있다거나 "접하여" 있다고 기재된 경우, 다른 구성 요소에 상에 직접 맞닿아 있거나 또는 연결되어 있을 수 있지만, 중간에 또 다른 구성 요소가 존재할 수 있다고 이해되어야 할 것이다. 반면, 어떤 구성 요소가 다른 구성 요소의 "바로 위에" 있다거나 "직접 접하여" 있다고 기재된 경우에는, 중간에 또 다른 구성 요소가 존재하지 않는 것으로 이해될 수 있다. 구성 요소들 간의 관계를 설명하는 다른 표현들, 예를 들면, "~사이에"와 "직접 ~사이에" 등도 마찬가지로 해석될 수 있다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용될 수 있다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
단수의 표현은 문맥상 명백하게 다르게 표현하지 않는 한, 복수의 표현을 포함한다. "포함한다" 또는 "가진다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하기 위한 것으로, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들이 부가될 수 있는 것으로 해석될 수 있다.
본 발명의 실시예들에서 사용되는 용어들은 다르게 정의되지 않는 한, 해당 기술 분야에서 통상의 지식을 가진 자에게 통상적으로 알려진 의미로 해석될 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 설명함으로써 본 발명을 상세히 설명한다.
도 1a는 예시적인 실시예들에 따른 반도체 장치(100)를 나타내는 사시도이다.
도 1b는 예시적인 실시예들에 따른 반도체 장치(100)를 나타내는 단면도이다. 도 1b에는 도 1a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들이 도시된다.
도 1c는 예시적인 실시예들에 따른 반도체 장치(100)를 나타내는 단면도이다. 특히, 도 1c는 도 1a의 B-B' 및 C-C'를 따라 절단한 확대 단면도이다.
도 1a, 도 1b 및 도 1c를 참조하면, 반도체 장치(100)는 NMOS 트랜지스터(100N)와 PMOS 트랜지스터(100P)를 포함할 수 있다.
기판(110)에는 소자 분리 패턴(도시되지 않음)에 의해 서로 이격되는 NMOS 영역(110N)과 PMOS 영역(110P)이 정의될 수 있다. NMOS 영역(110N)에는 NMOS 트랜지스터(100N)가 형성될 수 있고, PMOS 영역(110P)에는 PMOS 트랜지스터(100P)가 형성될 수 있다. NMOS 트랜지스터(100N)는 제1 게이트 구조물(140N) 및 제1 소스/드레인 영역(160N)을 포함할 수 있고, PMOS 트랜지스터(100P)는 제2 게이트 구조물(140P) 및 제2 소스/드레인 영역(160P)을 포함할 수 있다.
기판(110)의 NMOS 영역(110N)에는 채널 영역(CH)이 정의되고, 한 쌍의 제1 소스/드레인 영역(160N)은 기판(110) 상에서 채널 영역(CH)의 양측에 제1 방향(예를 들어, 도 1a의 Y 방향)을 따라 위치하며, 제1 게이트 구조물(140N)은 채널 영역(CH) 상에서 상기 제1 방향(Y 방향)과 다른 제2 방향(예를 들어, 도 1a의 X 방향)을 따라 연장할 수 있다.
기판(110)의 PMOS 영역(110P)에는 채널 영역(CH)이 정의되고, 한 쌍의 제2 소스/드레인 영역(160P)은 기판(110) 상에서 채널 영역(CH)의 양측에 제1 방향(예를 들어, 도 1a의 Y 방향)을 따라 위치하며, 제2 게이트 구조물(140P)은 채널 영역(CH) 상에서 상기 제1 방향(Y 방향)과 다른 제2 방향(예를 들어, 도 1a의 X 방향)을 따라 연장할 수 있다.
도 1a에는 제1 및 제2 게이트 구조물들(140N, 140P)이 동일한 방향을 따라 연장하는 것으로 도시되었지만, 제1 및 제2 게이트 구조물들(140N, 140P)의 연장 방향이 이에 한정되는 것은 아니다. 다른 실시예들에 따르면, 제1 게이트 구조물(140N)은 제1 방향을 따라 연장하며, 제2 게이트 구조물(140P)은 상기 제1 방향과 다른 제2 방향을 따라 연장할 수 있다.
기판(110)에는 채널 영역(CH) 및 채널 영역(CH)의 양측에 위치되는 한 쌍의 리세스(112R1)를 가지는 핀 구조물(112)이 형성될 수 있다. 한 쌍의 상기 소스/드레인 영역(160)은 한 쌍의 리세스(112R1)에 형성될 수 있다. 핀 구조물(112)은 베이스부(112a) 및 채널 영역(CH)이 형성되는 돌출부(112b)로 이루어질 수 있다. 핀 구조물(112)은 기판(110) 상에 SEG(Selective Epitaxial Growth) 방법을 통하여 형성된 부분이거나, 기판(110)에서 리세스(112R1)에 대응되는 부분을 제거하여 형성된 부분일 수 있다. 핀 구조물(112)의 베이스부(112a)의 주변에는 핀 구조물(112)을 한정하는 소자 분리층(120)이 형성될 수 있다. 핀 구조물(112)은 트랜지스터를 구현하기 위한 활성 영역일 수 있다.
제1 게이트 구조물(140N)은 채널 영역(CH) 상에 제공되고 제2 방향(X 방향)을 따라 연장되는 제1 게이트 전극(144N), 채널 영역(CH)과 제1 게이트 전극(144N) 사이에 배치되는 제1 게이트 절연막(142N) 및 제1 게이트 전극(144N) 상부에 형성되는 제1 게이트 마스크(146N)을 포함할 수 있다.
제2 게이트 구조물(140P)은 채널 영역(CH) 상에 제공되고 제2 방향(X 방향)을 따라 연장되는 제2 게이트 전극(144P), 채널 영역(CH)과 제2 게이트 전극(144P) 사이에 배치되는 게이트 절연막(142P) 및 제2 게이트 전극(144P) 상부에 형성되는 제2 게이트 마스크(146P)을 포함할 수 있다.
제1 게이트 구조물(140N)의 측벽 상에는 제1 스페이서(152N)와 제3 스페이서(154N)가 순차적으로 적층될 수 있고, 제2 게이트 구조물(140P)의 측벽 상에는 제2 스페이서(152P)와 제4 스페이서(154P)가 순차적으로 적층될 수 있다.
예시적인 실시예들에 있어서, 제1 스페이서(152N)는 제1 게이트 구조물(140N)의 상면과 측벽 상에 컨포말하게 형성될 수 있다. 제3 스페이서(154N)는 제1 게이트 구조물(140N)의 측벽 상에 형성되고, 제1 게이트 구조물(140N)과 제3 스페이서(154N) 사이에 제1 스페이서(152N)가 개재될 수 있다. 제3 스페이서(154N)는 제1 게이트 구조물(140N)의 상면 상에는 형성되지 않을 수 있다.
예시적인 실시예들에 있어서, 제2 스페이서(152P)는 제2 게이트 구조물(140P)의 측벽 상에 형성될 수 있다. 제4 스페이서(154P)는 제2 게이트 구조물(140P)의 측벽 및 상면 상에 형성될 수 있다. 제2 게이트 구조물(140P)의 측벽과 제4 스페이서(154P) 사이에 제2 스페이서(152P)가 개재될 수 있고, 제2 게이트 구조물(140P)의 상면은 제4 스페이서(154P)의 바닥면과 직접 접촉할 수 있다. 제2 스페이서(152P)는 제2 게이트 구조물(140P)의 상면 상에는 형성되지 않을 수 있다.
제1 소스/드레인 영역(160N)은 채널 영역(CH)의 측면 및 제3 스페이서(154N)의 측면의 적어도 일부분과 접촉할 수 있다. 제1 소스/드레인 영역(160N)은 리세스(112R1) 상에 형성되어 제3 스페이서(154N)의 바닥면 아래까지 연장될 수 있다.
제1 소스/드레인 영역(160N)은 핀 구조물(112)의 베이스부(112a)로부터 기판(110) 상면과 제1 교각(θ1)을 갖도록 기울어지며 연장하는 제1 측벽(FS-1N) 및 제1 측벽(FS-1N)의 최상부로부터 연장하는 제1 상면(PS1)을 포함할 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 제1 측벽(FS-1N)은 실리콘 에피택시 성장 공정에서 특정한 결정학적 방위에 따른 패싯 면들이 우선적으로 성장한 결과에 의해 발생하는 패시티드 측벽일 수 있다. 예를 들어, 실리콘 결정의 결정학적 {111} 면에 평행한 패싯 면들이 우선적으로 성장할 수 있고, 이에 따라 제1 측벽(FS-1N)은 {111} 면에 평행한 방향으로 배열될 수 있다. 예시적인 실시예들에 있어서, 제1 교각(θ1)은 약 51 내지 59˚의 각도일 수 있다. 예를 들어, 제1 교각(θ1)은 약 54 내지 57˚의 각도일 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 제1 상면(PS1)과 제1 측벽(FS-1N)의 최상부와 접하는 영역이 라운드진 형상을 가질 수 있다. 제1 소스/드레인 영역(160N)의 제1 상면(PS1)의 최상부는 기판(110)의 상면과 실질적으로 평행한 방향(예를 들어, Y 방향)으로 평탄하게 연장할 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)은 실리콘 또는 실리콘 저머늄을 포함할 수 있다. 제1 소스/드레인 영역(160N)은 탄소, 보론, 인을 소정의 농도로 포함한 실리콘 또는 실리콘 저머늄을 포함할 수 있다.
제2 소스/드레인 영역(160P)은 채널 영역(CH)의 측면 및 제2 스페이서(152P)의 측면의 적어도 일부분과 접촉할 수 있다. 제2 소스/드레인 영역(160P)은 리세스(112R1) 상에 형성되어 제2 스페이서(152P)의 바닥면 아래까지 연장될 수 있다. 또한, 제4 스페이서(154P)는 제2 게이트 구조물(140P)의 측벽으로부터 연장되어 제2 소스/드레인 영역(160P)을 커버할 수 있다.
제2 소스/드레인 영역(160P)은 핀 구조물(112)의 베이스부(112a)로부터 기판(110) 상면과 제2 교각(θ2)을 갖도록 기울어지며 연장하는 제2 측벽(FS-1P) 및 제2 측벽(FS-1P)의 최상부로부터 연장하며 기판(110) 상면과 제3 교각(θ3)을 갖도록 기울어지는 제3 측벽(FS-2P)을 포함할 수 있다.
예시적인 실시예들에 있어서, 제2 소스/드레인 영역(160P)의 제2 측벽(FS-1P) 및 제3 측벽(FS-2P)은 실리콘의 에피택시 성장 공정에서 특정한 결정학적 방위에 따른 패싯 면들이 우선적으로 성장한 결과에 의해 발생하는 패시티드 측벽일 수 있다. 예시적인 실시예들에 있어서, 제2 교각(θ2) 및 제3 교각(θ3)은 약 51 내지 59˚의 각도일 수 있다. 예를 들어, 제2 교각(θ2) 및 제3 교각(θ3)은 약 54 내지 57˚의 각도일 수 있다.
예시적인 실시예들에 있어서, 제2 소스/드레인 영역(160P)은 제1 스트레인 유도층(strain inducing layer)(162) 및 제2 스트레인 유도층(164)을 포함할 수 있다.
제1 스트레인 유도층(162)은 리세스(112R1) 내부에서 핀 구조물(112)의 돌출부(112b) 및 베이스부(112a) 상에 소정의 두께로 형성될 수 있고, 제2 스트레인 유도층(164)이 제1 스트레인 유도층(162) 상부에서 리세스(112R1)를 채우도록 배치될 수 있다. 제1 스트레인 유도층(162)은 제2 게이트 구조물(140P)의 하부에서 채널 영역(CH)과 제2 스트레인 유도층(164) 사이에 배치되어, 제2 스트레인 유도층(164)이 채널 영역(CH)과 직접 접하는 것을 방지할 수 있다.
한편, 도 1b에서는 제1 스트레인 유도층(162)이 제2 스트레인 유도층(164)과 채널 영역(CH)의 측면 사이 및 제2 스트레인 유도층(164)의 저면과 기판(110) 사이에 일체로 배치된 것이 도시되었으나, 이와는 달리 제1 스트레인 유도층(162)은 제2 스트레인 유도층(164)과 채널 영역(CH)의 측면 사이에만 배치될 수도 있다.
제2 스트레인 유도층(164) 및 채널 영역(CH)은 각각 서로 다른 제1 도전형 및 제2 도전형을 가질 수 있다. 예를 들면, 제2 스트레인 유도층(164) 및 채널 영역(CH)은 각각 p 타입 및 n 타입을 가지는 반도체 물질일 수 있다.
채널 영역(CH)은 예를 들면, 실리콘 또는 실리콘 저머늄을 포함할 수 있다. 제1 및 제2 스트레인 유도층들(162, 164)은 각각 실리콘 저머늄으로 이루어질 수 있다.
제1 및 제2 스트레인 유도층(162, 164)은 각각 제1 및 제2 Ge 함량을 가질 수 있다. 여기에서 Ge 함량은 제1 및 제2 스트레인 유도층(162, 164)이 각각 실리콘 저머늄으로 이루어진 경우에, 실리콘 원자와 저머늄 원자 전체의 개수 중 저머늄 원자의 비율을 의미한다. 제1 스트레인 유도층(162)의 제1 Ge 함량은 제2 스트레인 유도층(164)의 제2 Ge 함량보다 클 수 있다. 또는 제1 스트레인 유도층(162)의 제1 Ge 함량은 제2 스트레인 유도층(164)의 제2 Ge 함량보다 작거나 같을 수 있다. 채널 영역(CH)의 Ge 함량은 제1 스트레인 유도층(162)의 제1 Ge 함량 및 제2 스트레인 유도층(164)의 제2 Ge 함량보다 각각 작을 수 있다. 즉, 채널 영역(CH)의 Ge 함량은 0이거나, 제1 및 제2 Ge 함량 각각보다 작은 값일 수 있다.
제1 스트레인 유도층(162) 및 제2 스트레인 유도층(164)은 각각 제1 및 제2 도핑 농도를 가지며, 상기 제2 도핑 농도는 상기 제1 도핑 농도보다 큰 값을 가질 수 있다. 제1 스트레인 유도층(162)은 제1 도전형을 가지거나 실질적으로 언도프드(un-doped) 상태일 수 있다. 즉, 제1 스트레인 유도층(162)의 상기 제1 도핑 농도는 실질적으로 0이거나 상기 제2 도핑 농도보다 낮을 수 있다. 제1 스트레인 유도층(162)은 제2 스트레인 유도층(164)에 포함된 제1 도전형을 위한 도펀트가 제2 도전형인 채널 영역(CH)으로 확산되는 것을 차단할 수 있다. 제2 스트레인 유도층(164)은 도펀트로 보론(B)이 도핑될 수 있다.
제2 소스/드레인 영역(160P) 상에는 소스/드레인 캡핑층(166)이 형성될 수 있다. 소스/드레인 캡핑층(166)은 제2 스트레인 유도층(164)의 상부면을 커버할 수 있다. 소스/드레인 캡핑층(166)은 예를 들면, 제1 도전형을 위한 도펀트가 도핑된 실리콘 또는 언도프드 실리콘으로 이루어질 수 있다.
제1 소스/드레인 영역(160N)은 기판(110)에 수평한 제2 방향(X 방향)을 따라 제1 최대 폭(WM1)을 가질 수 있고, 제1 최대 폭(WM1)을 갖는 지점의 기판(110)에 수평한 제3 방향(Z 방향)에 따른 높이를 제1 높이(H1)로 정의할 수 있다. 제2 소스/드레인 영역(160P)은 상기 제2 방향(X 방향)을 따라 제2 최대 폭(WM2)을 가질 수 있고, 제2 최대 폭(WM2)을 갖는 지점의 상기 제3 방향에 따른 높이를 제2 높이(H2)로 정의할 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 제1 최대 폭(WM1)은 제2 소스/드레인 영역(160P)의 제2 최대 폭(WM2)보다 크거나 같을 수 있다. 예를 들어, 제1 소스/드레인 영역(160N)은 제1 측벽(FS-1N)의 최상부 지점에서 제1 최대 폭(WM1)을 가질 수 있고, 제2 소스/드레인 영역(160P)은 제2 측벽(FS-1P) 및 제3 측벽(FS-2P)이 접하는 지점에서 제2 최대 폭(WM2)을 가질 수 있다. 또한, 제1 내지 제3 측벽들(FS-1N, FS-1P, FS-2P)은 소정의 각도로 기울어진(예를 들어, 기판(110) 상면에 대하여 제1 내지 제3 교각들(θ1, θ2, θ3)을 갖는) 패시티드 측벽들일 수 있으므로, 제1 및 제2 최대 폭들(WM1, WM2)이 증가할 때 제1 및 제2 높이들(H1, H2) 또한 증가할 수 있다. 예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 제1 측벽(FS-1N)의 최상부가 제2 소스/드레인 영역(160P)의 제2 측벽(FS-1P)의 최상부보다 더 높은 레벨 상에 위치할 수 있고, 이에 따라 제1 소스/드레인 영역(160N)의 제1 최대 폭(WM1)은 제2 소스/드레인 영역(160P)의 제2 최대 폭(WM2)보다 클 수 있다.
제1 소스/드레인 영역(160N)은 상기 제3 방향에 따른 제3 높이(H3)를 가질 수 있고, 제2 소스/드레인 영역(160P)은 상기 제3 방향에 따른 제4 높이(H4)를 가질 수 있다. 여기서, 제3 및 제4 높이들(H3, H4)은 각각 제1 소스/드레인 영역(160N) 및 제2 소스/드레인 영역(160P)의 바닥면으로부터 최상면까지의 높이들을 가리킨다. 예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 제3 높이(H3)는 제2 소스/드레인 영역(160P)의 제4 높이(H4)보다 작거나 실질적으로 같을 수 있다.
제1 소스/드레인 영역(160N) 및 제2 소스/드레인 영역(160P) 상에 각각 제1 콘택 플러그(170N) 및 제2 콘택 플러그(170P)가 형성될 수 있다. 제2 콘택 플러그(170P)는 제4 스페이서(154P)의 적어도 일부분과 접촉할 수 있다. 예시적인 실시예들에 있어서, 제1 콘택 플러그(170N)의 바닥면은 제2 콘택 플러그(170P)의 바닥면보다 낮은 레벨 상에 위치할 수 있다. 예를 들어, 도 1c에 도시된 것과 같이 제1 콘택 플러그(170N)의 바닥면은 제1 레벨(LV1)에 위치하며, 제2 콘택 플러그(170P)의 바닥면은 제1 레벨(LV1)보다 높은 제2 레벨(LV2)에 위치할 수 있다.
제1 콘택 플러그(170N)와 접촉하는 제1 소스/드레인 영역(160N)의 상면 부분을 제1 콘택 랜딩 면(contact landing surface)(160CL-1)으로, 제2 콘택 플러그(170P)와 접촉하는 제2 소스/드레인 영역(160P)의 상면 부분은 제2 콘택 랜딩 면(160CL-2)으로 지칭할 때, 제1 콘택 랜딩 면(160CL-1)은 제2 콘택 랜딩 면(160CL-2)보다 낮은 레벨 상에 위치할 수 있다. 즉, 도 1c에 도시된 것과 같이, 제1 콘택 랜딩 면(160CL-1)은 제1 레벨(LV1)과 실질적으로 동일한 레벨에 위치할 수 있고, 제2 콘택 랜딩 면(160CL-2)은 제2 레벨(LV2)과 실질적으로 동일한 레벨에 위치할 수 있다.
제1 소스/드레인 영역(160N)의 제1 최대 폭(WM1)이 제2 소스/드레인 영역(160P)의 제2 최대 폭(WM2)보다 크고, 제1 소스/드레인 영역(160N)의 제1 콘택 랜딩 면(160CL-1)이 제2 소스/드레인 영역(160P)의 제2 콘택 랜딩 면(160CL-2)보다 낮은 레벨 상에 위치하므로, 제1 콘택 플러그(170N)와 제1 소스/드레인 영역(160N) 사이의 접촉 면적은 제2 콘택 플러그(170P)와 제2 소스/드레인 영역(160P) 사이의 접촉 면적보다 클 수 있다. 따라서, 제1 소스/드레인 영역(160N)과 제1 콘택 플러그(170N) 사이의 접촉 면적이 비교적 큰 경우에 제1 소스/드레인 영역(160N)과 제1 콘택 플러그(170N) 사이의 저항이 감소될 수 있다.
한편, 제2 소스/드레인 영역(160P)과 제2 콘택 플러그(170P) 사이의 저항은 제2 소스/드레인 영역(160P)과 제2 콘택 플러그(170P) 사이의 접촉 저항과 제2 소스/드레인 영역(160P) 내부에 발생하는 스트레인에 의한 저항의 총합일 수 있다. 제2 소스/드레인 영역(160P)의 제2 콘택 랜딩 면(160CL-2)이 제1 소스/드레인 영역(160N)의 제1 콘택 랜딩 면(160CL-1)보다 높은 레벨 상에 위치하므로, 제2 소스/드레인 영역(160P) 내부에서 제2 콘택 플러그(170P)가 위치하는 부피가 감소될 수 있다(예를 들면, 제2 콘택 플러그(170P)의 형성을 위하여 식각되는 제2 소스/드레인 영역(160P) 부분의 부피가 감소될 수 있다). 따라서, 제2 소스/드레인 영역(160P)의 부피 감소로 인한 스트레인 감소가 방지될 수 있고, 제2 소스/드레인 영역(160P) 내부에서 발생하는 스트레인에 의한 저항이 감소될 수 있고, 따라서 제2 소스/드레인 영역(160P)과 제2 콘택 플러그(170P) 사이의 저항이 감소될 수 있다.
도 2a 내지 도 2l은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다. 도 2a 내지 도 2l을 참조로 설명하는 상기 제조 방법은 도 1a 내지 도 1c를 참조로 설명한 반도체 장치(100)의 제조 방법일 수 있다. 도 2a 내지 도 2l에서는 공정 단계들을 수행하는 과정에서 도 1a의 도 1a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들을 도시하였다.
도 2a를 참조하면, 제1 방향(Y)으로 연장되는 돌출 패턴(114)을 가지는 기판(110)을 준비한다. 돌출 패턴(114)의 양측에는 트렌치(115)가 형성될 수 있다. 기판(110)은 예를 들면, 실리콘을 포함할 수 있다. 또는 기판(110)은 저머늄과 같은 반도체 원소, 또는 실리콘 카바이드(silicon carbide), 갈륨 비소(gallium arsenide), 인듐 비소(indium arsenide), 및 인듐포스파이드(indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 또는 기판(110)은 SOI (silicon on insulator) 구조를 가질 수 있다. 예를 들면, 기판(110)은 BOX 층(buried oxide layer)을 포함할 수 있다. 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
돌출 패턴(114)은 기판(110) 상에 제1 마스크 패턴(도시되지 않음)을 형성한 후, 이를 식각 마스크로 하는 식각 공정에 의하여 형성될 수 있다. 상기 제1 마스크 패턴은 제1 방향(Y 방향)으로 연장하는 라인 형태일 수 있다. 상기 제1 마스크 패턴은 예를 들면, 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 중 적어도 하나를 포함하는 물질로 이루어질 수 있다. 돌출 패턴(114)은 예를 들면, 실리콘(Si) 또는 실리콘-저머늄(SiGe)으로 이루어질 수 있다.
이후, 트렌치(115)를 채우는 소자 분리층(120)을 형성한다. 소자 분리층(120)은 예를 들면, 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 중 적어도 하나를 포함하는 물질로 이루어질 수 있다. 소자 분리층(120)은 기판(110) 상에 돌출 패턴(114)을 덮는 예비 소자 분리 물질(미도시)을 형성한 후에 상기 제1 마스크 패턴이 노출될 때까지 상기 예비 소자 분리 물질의 일부분을 제거하거나, 돌출 패턴(114)이 노출될 때까지 상기 예비 소자 분리 물질의 일부분 및 상기 제1 마스크 패턴을 제거하여 형성할 수 있다. 상기 예비 소자 분리 물질의 일부분을 제거하기 위하여 에치백 공정, CMP 공정 또는 이들을 함께 수행할 수 있다.
상기 제1 마스크 패턴이 소자 분리층(120)의 형성 이후에 제거될 수 있으나, 이와는 달리 소자 분리층(120)의 상측 일부분을 제거하는 단계 이후에 제거될 수도 있다.
도 2b를 참조하면, 소자 분리층(120)의 일부분을 제거하여 돌출 패턴(114)의 상측 일부분이 노출되고, 하측 일부분을 덮도록 소자 분리층(120)을 형성할 수 있다. 소자 분리층(120)을 형성하기 위하여, 돌출 패턴(114)에 대하여 선택적 식각비를 가지는 식각 공정이 수행될 수 있다.
또한 전술한 바와 같이, 상기 제1 마스크 패턴은 소자 분리층(120)을 형성하는 단계에서 함께 제거될 수도 있으나, 소자 분리층(120)의 상측 일부분을 제거하는 단계에서 제거되거나, 또는 소자 분리층(120)의 상측 일부분을 제거하는 단계 이후에 제거하는 것 또한 가능하다.
다른 실시예들에 있어서, 돌출 패턴(114)의 상측 일부분이 노출되는 구조는 소자 분리층(120)의 일부분을 제거하는 대신, 돌출 패턴(114)의 상면을 시드(seed)로 하는 SEG 방법을 통하여 형성할 수도 있다.
돌출 패턴(114)에는 채널 영역 형성용 도핑이 수행될 수 있다. 예를 들어, PMOS 영역(110P)의 돌출 패턴(114)에는 채널 영역 형성용 도펀트로 인(P) 또는 비소(As)를 주입할 수 있다. NMOS 영역(110N)의 돌출 패턴(114)에는 채널 영역 형성용 도펀트로 보론(B)을 주입할 수 있다. 채널 영역 형성용 도핑은 도 2a 및 도 2b에 도시된 단계 중에 수행될 수 있다.
예시적인 실시예들에 있어서, 기판(110)의 NMOS 영역(110N)을 커버하는 제1 블로킹층(도시되지 않음)을 형성한 후, 기판(110)의 PMOS 영역(110P)의 돌출 패턴(114)에 채널 영역 형성용 도핑 단계를 수행하고, 상기 제1 블로킹층을 제거할 수 있다. 이후, 기판(110)의 PMOS 영역(110P)을 커버하는 제2 블로킹층(도시되지 않음)을 형성한 후, 기판(110)의 NMOS 영역(110N)의 돌출 패턴(114)에 채널 영역 형성용 도핑 단계를 수행하고, 상기 제2 블로킹층을 제거할 수 있다. 한편, PMOS 영역(110P) 및 NMOS 영역(110N)의 상기 도핑 순서는 달라질 수 있다.
도 2c를 참조하면, 기판(110) 상에 절연층(도시되지 않음) 및 도전층(도시되지 않음)을 순차적으로 형성한 후, 상기 도전층 상에 제1 방향(Y 방향)과 다른 제2 방향(X 방향)으로 연장하는 제1 및 제2 게이트 마스크들(146N, 146P)을 형성할 수 있다.
제1 및 제2 게이트 마스크들(146N, 146P)을 식각 마스크로 하여 상기 도전층 및 상기 절연층을 순차적으로 식각함으로써 제1 게이트 마스크(146N) 하부에 순차적으로 적층된 제1 게이트 절연막(142N) 및 제1 게이트 전극(144N)을 형성하고, 제2 게이트 마스크(146P) 하부에 순차적으로 적층된 제2 게이트 절연막(142P) 및 제2 게이트 전극(144P)을 형성할 수 있다.
이에 따라, 기판(110) 상에 순차적으로 적층된 제1 게이트 절연막(142N), 제1 게이트 전극(144N) 및 제1 게이트 마스크(146N)을 포함하는 제1 게이트 구조물(140N)과, 기판(110) 상에 순차적으로 적층된 제2 게이트 절연막(142P), 제2 게이트 전극(144P) 및 제2 게이트 마스크(146P)를 포함하는 제2 게이트 구조물(140P)이 형성될 수 있다. 제1 및 제2 게이트 전극들(144N, 144P)은 돌출 패턴(114)과 교차하도록 배치될 수 있고, 제1 및 제2 게이트 절연막들(142N, 142P)은 돌출 패턴(114)과 제1 및 제2 게이트 전극들(144N, 144P)의 사이에 개재될 수 있다.
제1 및 제2 게이트 마스크들(146N, 146P)은 예를 들면, 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 중 적어도 하나를 포함하는 물질로 이루어질 수 있다. 제1 및 제2 게이트 마스크들(146N, 146P)은 게이트 캡핑층의 기능을 수행할 수 있다.
도 2d를 참조하면, 기판(110) 상에 제1 및 제2 게이트 구조물들(140N, 140P)을 커버하는 예비 제1 스페이서(152)를 형성할 수 있다. 예비 제1 스페이서(152)는 예를 들면, 실리콘 질화막 또는 실리콘 산질화막을 사용하여 형성될 수 있다.
예비 제1 스페이서(152)를 형성한 이후에 돌출 패턴(114) 상부에 이온 주입 공정을 수행하여, 후속 공정에서 형성될 제1 소스/드레인 영역(160N) 및 제2 소스/드레인 영역(160P)의 연장부들(도시되지 않음)에 불순물 이온들을 주입할 수 있다. 선택적으로, 상기 이온 주입 공정 이후에 열 어닐링 또는 레이저 어닐링 공정을 수행할 수 있다.
상기 이온 주입 공정은 예비 제1 스페이서(152)의 형성 이전에 돌출 패턴(114)의 직접 상부에 수행될 수도 있다.
도 2e를 참조하면, 기판(110)의 NMOS 영역(110N)을 커버하는 제1 블로킹층(210)을 형성할 수 있다. 기판(110)의 PMOS 영역(110P) 상에 노출된 예비 제1 스페이서(도 2d의 152) 상부에 이방성 식각 공정을 수행하여 돌출부(112b) 및 돌출부(112b)의 양측에 위치되는 한 쌍의 리세스(112R1)를 가지는 핀 구조물(112)을 형성할 수 있다.
도 2e에 도시된 것과 같이, 제2 게이트 구조물(140P)의 양 측에 위치한 돌출 패턴(114) 부분의 상면이 소자 분리층(120)의 상면과 실질적으로 동일한 레벨 또는 유사한 레벨 상에 위치할 때까지 식각 공정이 수행될 수 있다. 따라서, 돌출 패턴(114) 중 제2 게이트 구조물(140P) 양측의 일부분이 제거되어 한 쌍의 리세스(112R1)가 형성되며, 한 쌍의 리세스(112R1) 사이에 잔류하는 돌출 패턴(114)의 일부분은 돌출부(112b)가 될 수 있다. 핀 구조물(112)은 돌출부(112b)의 하측 및 리세스(112R1)의 저면 하측의 부분인 베이스부(112a) 및 베이스부(112a)의 상측에 배치되는 돌출부(112b)로 이루어질 수 있다. 상기 이방성 식각 공정에 의해 돌출부(112b)의 측벽 및 베이스부(112a)의 상면이 노출될 수 있다.
제2 게이트 구조물(140P) 측벽 상에 위치한 예비 제1 스페이서(152) 부분은 상기 이방성 식각 공정에서 제거되지 않고 잔류하여 제2 스페이서(152P)가 될 수 있다.
예시적인 실시예들에 있어서, 예비 제1 스페이서(152)는 제2 게이트 마스크(146P)와 식각 선택비를 갖는 물질을 사용하여 형성될 수 있고, 상기 이방성 식각 공정에서 예비 제1 스페이서(152)가 식각될 때 노출된 제2 게이트 마스크(146P) 부분은 제거되지 않을 수 있다. 돌출 패턴(114)의 상측 일부분이 제거되는 동안 제2 게이트 구조물(140P) 측벽 상에 위치한 예비 제1 스페이서(152) 부분의 상측 일부분이 함께 제거될 수 있고, 이러한 경우에 도 2e에 도시된 것과는 달리 제2 게이트 마스크(146P)의 상면보다 제2 스페이서(152P)의 상면이 약간 낮은 레벨 상에 위치하도록 형성될 수 있다.
이후, 제1 블로킹층(210)은 제거될 수 있다.
도 2f를 참조하면, 핀 구조물(112)의 노출된 표면, 즉 리세스(112R1)에 의하여 노출되는 베이스부(112a)의 상면 및 돌출부(112b)의 측면 상에 제1 스트레인 유도층(162) 및 제2 스트레인 유도층(164)을 형성하여 제2 소스/드레인 영역(160P)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 스트레인 유도층(162)은 저머늄(Ge)을 포함하는 반도체 물질을 사용하여 형성될 수 있다. 예를 들어, 제1 스트레인 유도층(162)은 언도프드 실리콘-저머늄(SiGe)일 수 있다. 제1 스트레인 유도층(162)은 예를 들면, Ge 함량이 10% 내지 80%일 수 있다. 제2 스트레인 유도층(164)은 예를 들면, 실리콘(Si)을 포함하는 언도프드 반도체 물질을 사용하여 형성될 수 있다. 제1 스트레인 유도층(162)은 예를 들면, 핀 구조물(112)을 시드로 한 SEG 방법으로 형성할 수 있다. 제2 스트레인 유도층(164)은 예를 들면, 제1 스트레인 유도층(162)을 시드로 한 SEG 방법으로 형성할 수 있다. 제1 스트레인 유도층(162) 및 제2 스트레인 유도층(164)은 인시츄(in-situ)로 함께 형성할 수 있다.
예시적인 실시예들에 있어서, 제2 스트레인 유도층(164)은 패시티드 측벽들을 포함하는 형상으로 형성될 수 있다. 예를 들어, 제2 스트레인 유도층(164)은 베이스부(112a)의 상면 상에 형성된 제1 스트레인 유도층(162) 부분으로부터 기판(110) 상면에 대하여 제2 교각(θ2)으로 기울어진 제2 측벽(FS-1P) 및 제2 측벽(FS-1P)의 최상부에 접하며 기판(110) 상면에 대하여 제3 교각(θ3)으로 기울어진 제3 측벽(FS-2P)을 포함하도록 형성될 수 있다. 제2 교각(θ2) 및 제3 교각(θ3)은 약 51 내지 59도의 범위일 수 있고, 바람직하게는 약 54 내지 57도의 범위일 수 있다.
선택적 에피택시 공정에 의해 제2 스트레인 유도층(164)을 형성할 때 실리콘 결정 구조에 따라 소정의 결정면(crystallographic plane)에 수직한 방향으로의 성장 속도는 다른 결정면에 수직한 방향으로의 성장 속도보다 빠를 수 있다. 즉, 성장 방향에 따라 제2 스트레인 유도층(164)의 성장 속도가 달라질 수 있고, 성장 속도가 느린 결정면에 평행한 방향으로 패시티드 측벽이 생성될 수 있다. 예를 들어, 기판(110)의 주면이 결정학적 {100} 면에 평행하게 배열될 때, 기판(110)의 주면에 대하여 약 55.7도의 각도로 기울어진 결정학적 {111} 면에 평행한 방향으로 패시티드 측벽이 형성될 수 있다.
리세스(112R1)에 의하여 노출되는 베이스부(112a)의 상면 및 돌출부(112b)의 측면 상에 형성된 제1 스트레인 유도층(162)을 시드층을 하여 제2 스트레인 유도층(164)을 형성할 때, 베이스부(112a)의 상면 상의 제1 스트레인 유도층(162) 부분으로부터 제2 교각(θ2)으로 기울어져 연장하는 제2 측벽(FS-1P)이 형성될 수 있다. 또한, 돌출부(112b)의 최상부 에지로부터 제3 교각(θ3)으로 기울어져 연장하는 제3 측벽(FS-2P)이 형성될 수 있다. 또한, 제2 측벽(FS-1P)과 제3 측벽(FS-2P)이 서로 접촉하는 지점에서 제2 스트레인 유도층(164)의 모서리들이 형성되어 제2 스트레인 유도층(164)의 형상이 결정될 수 있다.
예시적인 실시예들에 따르면, 도 2f에 도시된 것과 같이 제2 스트레인 유도층(164)은 Y 방향으로 절단한 단면이 오각형 형상을 가질 수 있고, 상기 오각형 형상은 제1 스트레인 유도층(162)과 접촉하는 바닥면, 두 개의 제2 측벽들(FS-1P), 및 두 개의 제3 측벽들(FS-2P)을 모서리들로 하여 정의될 수 있다. 그러나, 제2 스트레인 유도층(164)의 단면 형상이 오각형에 한정되는 것은 아니다. 예를 들어, 제2 스트레인 유도층(164)은 상기 제1 및 제2 측벽들(FS-1P, FS-2P)과는 다른 각도로 기울어지는 하나 이상의 측벽들을 더 포함하도록 형성될 수도 있다.
한편, 도 2f에 도시된 것과 같이, 제2 스트레인 유도층(164)의 최상부는 두 개의 기울어진 제2 측벽들(FS-2P)의 접촉 지점에 위치하므로, 제2 스트레인 유도층(164)의 최상면은 제1 스트레인 유도층(162)의 최상면보다 높은 레벨 상에 위치하도록 형성될 수 있다. 따라서, 제1 스트레인 유도층(162)의 최상면보다 높은 레벨 상에 위치하는 제2 스트레인 유도층(164)의 상측 일부분은 제2 스페이서(152P)의 측벽과 접촉하도록 형성될 수 있다.
예시적인 실시예들에 있어서, 제2 스트레인 유도층(164)의 모서리들이 두 개의 제2 측벽들(FS-1P), 및 두 개의 제3 측벽들(FS-2P)에 의해 결정되므로, 제2 스트레인 유도층(164)의 최대 폭(WM2), 최대 폭(WM2)을 갖는 지점의 높이(H2), 제2 스트레인 유도층(164)의 높이(H4) 또한 두 개의 제2 측벽들(FS-1P), 및 두 개의 제3 측벽들(FS-2P)에 의해 결정될 수 있다.
제2 소스/드레인 영역(160P) 상에는 소스/드레인 캡핑층(166)을 형성할 수 있다. 소스/드레인 캡핑층(166)은, 제2 스트레인 유도층(164)의 노출되는 표면을 커버할 수 있다. 소스/드레인 캡핑층(166)은 예를 들면, 제1 도전형을 위한 도펀트가 도핑된 실리콘 또는 언도프드 실리콘을 사용하여 형성될 수 있다.
도 2g를 참조하면, 기판(110)의 NMOS 영역(110N) 및 PMOS 영역(110P) 상에 예비 제1 스페이서(152), 제2 게이트 구조물(140P) 및 소스/드레인 캡핑층(166)을 커버하는 예비 제2 스페이서(154)가 형성될 수 있다. 예비 제2 스페이서(154)는 예를 들면, 실리콘 질화막 또는 실리콘 산질화막을 사용하여 형성될 수 있다.
예비 제2 스페이서(154)는 제2 게이트 구조물(140P)의 상면, 즉 제2 게이트 마스크(146P)의 상면을 커버하며, 제2 게이트 구조물(140P) 측벽과 예비 제2 스페이서(154) 사이에는 제2 스페이서(152P)가 개재될 수 있다.
도 2h를 참조하면, 기판(110)의 PMOS 영역(110P)을 커버하는 제2 블로킹층(212)을 형성할 수 있다. 기판(110)의 NMOS 영역(110N) 상에 노출된 예비 제2 스페이서(도 2g의 154) 상부에 이방성 식각 공정을 수행하여 NMOS 영역(110N)에 돌출부(112b) 및 돌출부(112b)의 양측에 위치되는 한 쌍의 리세스(112R2)를 가지는 핀 구조물(112)을 형성할 수 있다.
도 2h에 도시된 것과 같이, 제1 게이트 구조물(140N)의 양 측에 위치한 돌출 패턴(114) 부분의 상면이 소자 분리층(120)의 상면과 실질적으로 동일한 레벨 또는 유사한 레벨 상에 위치할 때까지 식각 공정이 수행될 수 있다.
상기 이방성 식각 공정에 의해 NMOS 영역(110N) 상의 예비 제2 스페이서(154) 부분이 제거되고, 제1 게이트 구조물(140N) 측벽 상에 위치한 예비 제2 스페이서(154) 부분만이 잔류하여 제3 스페이서(154N)가 될 수 있다. 제3 스페이서(154N)와 제1 게이트 구조물(140N) 측벽 사이에는 제1 스페이서(152N)가 개재될 수 있다. 또한, PMOS 영역(110P) 상에 위치한 예비 제2 스페이서(154) 부분은 제4 스페이서(154P)로 지칭될 수 있다.
이후, 제2 블로킹층(212)은 제거될 수 있다.
도 2i를 참조하면, NMOS 영역(110N) 상의 핀 구조물(112)의 노출된 표면, 즉 리세스(112R1)에 의하여 노출되는 베이스부(112a)의 상면 및 돌출부(112b)의 측면 상에 제1 소스/드레인 영역(160N)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)은 실리콘을 포함하는 반도체 물질을 사용하여 형성될 수 있다. 예를 들어, 제1 소스/드레인 영역(160N)은 탄소, 인, 또는 보론을 포함하는 실리콘 물질, 또는 실리콘-저머늄 물질을 사용하여 형성될 수 있다. 제1 소스/드레인 영역(160N)은 예를 들면, 핀 구조물(112)을 시드로 한 SEG 방법으로 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)은 패시티드 측벽들을 포함하는 형상으로 형성될 수 있다. 예를 들어, 제1 소스/드레인 영역(160N)은 베이스부(도 2h의 112a)의 상면으로부터 기판(110) 상면에 대하여 제1 교각(θ1)으로 기울어진 제1 측벽(FS-1N) 및 제1 측벽(FS-1N)의 최상부에 접하며 기판(110) 상면에 대하여 제4 교각(θ4)으로 기울어진 제4 측벽(FS-2N)을 포함하도록 형성될 수 있다. 제1 교각(θ1) 및 제4 교각(θ4)은 약 51 내지 59도의 범위일 수 있고, 바람직하게는 약 54 내지 57도의 범위일 수 있다.
예시적인 실시예들에 있어서, 제1 소스/드레인 영역(160N)의 형성 공정에서, 리세스(112R1)에 의하여 노출되는 베이스부(112a)의 상면 및 돌출부(112b)의 측면을 시드로 하여 제1 소스/드레인 영역(160N)을 과성장시킴에 의해 제2 소스/드레인 영역(160P)의 높이(H4)보다 큰 높이(H5)를 갖는 제1 소스/드레인 영역(160N)이 형성될 수 있다. 도 2i에 도시된 것과 같이 제1 소스/드레인 영역(160N)은 오각형의 수직 방향 단면(즉, Y 방향으로 자른 단면)을 가질 수 있다. 그러나, 제1 소스/드레인 영역(160N)의 단면 형상이 오각형에 한정되는 것은 아니다. 예를 들어, 제1 소스/드레인 영역(160N)은 상기 제1 및 제4 측벽들(FS-1N, FS-2N)과는 다른 각도로 기울어지는 하나 이상의 측벽들을 더 포함하도록 형성될 수도 있다.
제1 소스/드레인 영역(160N)은 제1 측벽(FS-1N) 및 제4 측벽(FS-2N)이 접하는 지점에서 최대 폭(WM1)을 가질 수 있고, 제1 소스/드레인 영역(160N)의 최대 폭(WM1)은 제2 소스/드레인 영역(160P)의 최대 폭(WM2)보다 클 수 있다. 또한, 제1 소스/드레인 영역(160N)의 최대 폭(WM1)을 갖는 지점의 높이(H1)는 제2 소스/드레인 영역(160P)의 최대 폭(WM2)을 갖는 지점의 높이(H2)보다 클 수 있다.
한편, 도 2i에 도시된 것과 같이, 제1 소스/드레인 영역(160N)의 최상면은 돌출부(112b) 측벽의 최상부보다 높은 레벨 상에 위치하도록 형성될 수 있고 돌출부(112b) 측벽의 최상부보다 높은 레벨 상에 위치하는 제1 소스/드레인 영역(160N)의 상측 일부분은 제3 스페이서(154N)의 측벽과 접촉하도록 형성될 수 있다.
한편, NMOS 영역(110N)에서 제1 소스/드레인 영역(160N)에 의하여 한정되는 돌출부(112b) 부분 및 PMOS 영역(110P)에서 제2 소스/드레인 영역(160P), 예를 들어 제1 스트레인 유도층(162)에 의하여 한정되는 돌출부(112b) 부분은 채널 영역(CH)일 수 있다. 제1 소스/드레인 영역(160N) 및 제2 소스/드레인 영역(160P)(예를 들어, 제2 스트레인 유도층(164))은 채널 영역(CH)과 서로 다른 도전성을 가지도록 형성할 수 있다. 예를 들면, NMOS 영역(110N)에서 채널 영역(CH)이 p 타입을 갖는 반도체 물질을 사용하여 형성하는 경우, 제1 소스/드레인 영역(160N)은 n 타입을 갖는 반도체 물질을 사용하여 형성할 수 있다. 또한, PMOS 영역(110P)에서 채널 영역(CH)이 n 타입을 갖는 반도체 물질을 사용하여 형성하는 경우, 제2 소스/드레인 영역(160P)은 p 타입을 갖는 반도체 물질을 사용하여 형성할 수 있다.
도 2j를 참조하면, 제1 소스/드레인 영역(160N) 상부에 에치백 공정을 수행하여 제1 소스/드레인 영역(160N)의 상측 일부분을 제거할 수 있다. 상기 에치백 공정에 의해 제1 소스/드레인 영역(160N)은 상부에 평탄화된 제1 상면(PS1)이 형성될 수 있다. 또한, 제1 소스/드레인 영역(160N)의 제1 상면(PS1)은 제1 측벽(FS-1N)이 접하는 지점으로부터 라운드진 형상을 가질 수 있다.
제1 상면(PS1)의 최상부 및 제1 소스/드레인 영역(160N)의 바닥부 사이의 수직 방향에 따른 높이(H3)는 상기 에치백 공정 이전에 제4 측벽(FS-2N)의 최상부와 제1 소스/드레인 영역(160N)의 바닥부 사이의 높이(도 2i의 H5)보다 작을 수 있다. 한편, 상기 에치백 공정 이후에 제1 소스/드레인 영역(160N)의 높이(H3)는 제2 소스/드레인 영역(160P)의 높이(H4)보다 작거나 실질적으로 같을 수 있다. 예를 들어, 제1 소스/드레인 영역(160N)의 식각 높이는 약 2 내지 30nm의 범위일 수 있으나, 상기 식각 높이가 이에 한정되는 것은 아니다.
예시적인 실시예들에 있어서, 상기 에치백 공정은 건식 식각 방법을 사용한 공정일 수 있다. 다른 실시예들에 있어서, 상기 에치백 공정은 제1 소스/드레인 영역(160N)의 에피택시 성장 공정에서 인시츄로 수행될 수 있다. 예를 들어, 제1 소스/드레인 영역(160N) 형성 이후에 염화수소(hydrochloride) 가스를 사용하여 인시츄로 수행될 수 있다.
한편, 제2 소스/드레인 영역(160P) 상부는 제4 스페이서(154P)로 커버되어 있으므로 상기 에치백 공정에 의해 손상되지 않을 수 있다.
도 2k를 참조하면, 기판(110)의 NMOS 영역(110N) 및 PMOS 영역(110P) 상에 층간 절연막(180)을 형성할 수 있다. 예시적인 실시예들에 있어서, 층간 절연막(180)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 등을 사용하여 형성할 수 있다.
이후, 층간 절연막(180)을 관통하여 제1 및 제2 소스 드레인 영역들(160N, 160P)의 상면들을 노출하는 제1 콘택홀(170NH) 및 제2 콘택홀(170PH)을 형성할 수 있다.
제1 콘택홀(170NH)에 의해 노출되는 제1 소스/드레인 영역(160N)의 상면은 제1 콘택 랜딩 면(160CL-1)으로, 제2 콘택홀(170PH)에 의해 노출되는 제2 소스/드레인 영역(160P)의 상면은 제2 콘택 랜딩 면(160CL-2)으로 지칭할 수 있다. 제1 소스/드레인 영역(160N)의 최상면이 제2 소스/드레인 영역(160P)의 최상면보다 낮거나 실질적으로 같은 레벨 상에 위치하므로(즉, 제1 소스/드레인 영역(160N)의 높이(H3)가 제2 소스/드레인 영역(160P)의 높이(H4)보다 작으므로), 제1 콘택 랜딩 면(160CL-1)은 제2 콘택 랜딩 면(160CL-2)보다 높은 레벨 상에 위치할 수 있다.
도 2l을 참조하면, 층간 절연막(180) 상에 제1 및 제2 콘택홀들(도 2k의 170NH, 170PH)을 채우는 도전층(도시되지 않음)을 형성한 후, 층간 절연막(180) 상면이 노출될 때까지 상기 도전층 상부를 평탄화하여 제1 및 제2 콘택홀들(170NH, 170PH) 내에 제1 및 제2 콘택 플러그들(170N, 170P)을 형성할 수 있다.
도시되지는 않았지만, 제1 및 제2 콘택 플러그들(170N, 170P)은 금속, 금속 질화물, 또는 금속 실리사이드 중 하나 또는 그 이상의 물질들의 조합을 사용하여 형성될 수 있다. 예를 들어, 제1 및 제2 콘택 플러그들(170N, 170P)은 제1 소스/드레인 영역들(160N, 160P) 상에 순차적으로 적층된 금속 실리사이드층 및 금속층의 다층 구조로 형성될 수 있다.
전술한 공정을 수행하여 반도체 장치(100)가 완성된다.
상기 반도체 장치(100)는 제1 소스/드레인 영역(160N)과 제1 콘택 플러그(170N) 사이의 저항과, 제2 소스/드레인 영역(160P)과 제2 콘택 플러그(170P) 사이의 저항이 동시에 감소될 수 있다.
NMOS 트랜지스터(100N)는 제1 소스/드레인 영역(160N)의 부피가 클수록 제1 소스/드레인 영역(160N)의 저항이 감소될 수 있고, PMOS 트랜지스터(100P)는 실리콘-저머늄을 사용한 제2 스트레인 유도층(164)에 의해 제2 소스/드레인 영역(160P)의 저항이 감소될 수 있다. 그러나, 제1 소스/드레인 영역(160N)을 과성장시켜 제1 소스/드레인 영역(160N)의 부피를 증가시키는 경우에, 콘택홀들의 식각 공정에서 제1 및 제2 소스/드레인 영역들(160N, 160P)의 콘택 랜딩 면들(160CL-1, 160CL-2)의 위치 차이가 발생할 수 있다. 특히, 저머늄을 포함한 제2 소스/드레인 영역(160P)의 식각 속도가 빨라 상기 콘택 랜딩 면들(160CL-1, 160CL-2)의 위치 차이는 더욱 심화될 수 있고, 즉, 제2 소스/드레인 영역(160P) 상부가 과도하게 식각될 수 있다. 이러한 경우에 실리콘-저머늄을 사용한 제2 스트레인 유도층(164)에 의해 발생하는 스트레인이 제거될 수 있고, 따라서 제2 소스/드레인 영역(160P)의 저항이 증가할 수 있다.
그러나, 상기 반도체 장치(100)의 제조 방법에 따르면, 제1 소스/드레인 영역(160N) 상부에 에치백 공정을 수행하여, 제1 소스/드레인 영역(160N)의 상면이 제2 소스/드레인 영역(160P)의 상면보다 낮은 레벨 상에 위치할 수 있고, 이에 따라 제1 콘택 랜딩 면(160CL-1)이 제2 콘택 랜딩 면(160CL-2)보다 낮은 레벨 상에 위치할 수 있다. 따라서, 제1 소스/드레인 영역(160N)은 제1 콘택 플러그(170N)와 충분한 접촉 면적을 확보할 수 있어 제1 소스/드레인 영역(160N)은 낮은 저항을 가질 수 있다. 또한, 제2 소스/드레인 영역(160P)은 전술한 과도한 식각을 방지할 수 있고, 제2 소스/드레인 영역(160P)의 부피 감소로 인한 스트레인 제거를 방지할 수 있다. 따라서, 제2 소스/드레인 영역(160P) 또한 낮은 저항을 가질 수 있다.
도 3a는 예시적인 실시예들에 따른 반도체 장치(100a)를 나타내는 사시도이다.
도 3b는 예시적인 실시예들에 따른 반도체 장치(100a)를 나타내는 단면도이다. 도 3b에는 도 3a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들이 도시된다.
상기 반도체 장치(100a)는 캡핑층들(190N, 190P)을 제외하면 도 1a 내지 도 1c를 참조로 설명한 반도체 장치(100)와 유사하므로, 전술한 차이점을 중심으로 설명한다. 이하에서 동일한 참조 부호는 동일한 부재를 의미하는 것으로 이해될 수 있다.
도 3a 및 도 3b를 참조하면, 제1 소스/드레인 영역(160N)의 측벽 상에는 제1 캡핑층(190N)이 형성되고, 제2 소스/드레인 영역(160P)의 측벽 상에는 제2 캡핑층(190P)이 형성될 수 있다. 제1 캡핑층(190N)은 제1 소스/드레인 영역(160N)의 제1 측벽(FS-1N)을 둘러싸도록 형성되며, 제1 캡핑층(190N)은 제1 소스/드레인 영역(160N)의 제1 상면(PS1) 상에는 형성되지 않을 수 있다. 제2 캡핑층(190P)은 제4 스페이서(154P) 상면 전체 상에 형성될 수 있고, 제2 캡핑층(190P)은 제2 콘택 플러그(170P)의 일부분과 접촉할 수 있다.
예시적인 실시예들에 있어서, 제1 및 제2 캡핑층들(190N, 190P)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 등의 절연 물질을 포함할 수 있다.
도 4a 내지 도 4d는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다. 도 4a 내지 도 4d를 참조로 설명하는 상기 제조 방법은 도 3a 및 도 3b를 참조로 설명한 반도체 장치(100a)의 제조 방법일 수 있다. 도 4a 내지 도 4d에서는 공정 단계들을 수행하는 과정에서 도 3a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들을 도시하였다.
우선, 도 2a 내지 도 2i를 참조로 설명한 공정들을 수행하여, 도 2i에 도시된 것과 같은 중간 구조물을 형성한다.
도 4a를 참조하면, 제1 및 제2 게이트 구조물들(140N, 140P) 및 제1 및 제2 소스/드레인 영역들(160N, 160P)을 커버하는 예비 캡핑층(190)을 형성할 수 있다. 예비 캡핑층(190)은 제1 소스/드레인 영역(160N)의 제1 및 제4 측벽들(FS-1N, FS-2N) 상에 소정의 두께로 형성될 수 있다. 예비 캡핑층(190)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 등의 절연 물질을 사용하여 형성될 수 있다.
도 4b를 참조하면, 기판(110)의 PMOS 영역(110P) 상에 제2 게이트 구조물(140P) 및 제2 소스/드레인 영역(160P)을 커버하는 제3 블로킹층(214)을 형성할 수 있다.
이후, 기판(110)의 NMOS 영역(110N) 상에 에치백 공정을 수행하여 제1 소스/드레인 영역(160N)의 상측 일부분을 제거할 수 있다. 이때, 제1 소스/드레인 영역(160N) 상에 위치한 예비 캡핑층(도 4a의 190) 부분도 제거될 수 있다.
상기 에치백 공정 이후에 제1 소스/드레인 영역(160N)의 측벽 상에 잔류하는 예비 캡핑층(190) 부분은 제1 캡핑층(190N)이 될 수 있고, 제2 소스/드레인 영역(160P) 상부의 예비 캡핑층(190) 부분은 제2 캡핑층(190P)이 될 수 있다. 제2 캡핑층(190P)과 제2 소스/드레인 영역(160P) 사이에는 제4 스페이서(154P)이 개재될 수 있다.
도 4c를 참조하면, 제1 소스/드레인 영역(160N) 상부에 이온 주입 공정(S1)을 수행할 수 있다. 상기 이온 주입 공정(S1)은 n형 도펀트 이온들을 주입하기 위한 공정일 수 있다.
이와는 달리, 상기 에치백 공정을 수행하기 전에 이온 주입 공정을 수행할 수도 있다. 이러한 경우에, 제1 소스/드레인 영역(160N) 상부가 예비 캡핑층(도 4a의 190)에 의해 커버된 상태로 상기 이온 주입 공정이 수행될 수 있고, 제1 소스/드레인 영역(160N) 내에 주입되는 도펀트 이온들의 프로파일을 조절할 수 있다.
도 4d를 참조하면, NMOS 영역(110N)의 제1 게이트 구조물(140N), 제1 소스/드레인 영역(160N) 및 제1 캡핑층(190N)의 측벽 상에, 그리고 PMOS 영역(110P)의 제2 캡핑층(190P) 상부에 층간 절연막(180)을 형성할 수 있다.
이후, 도 2k 및 도 2l을 참조로 설명한 공정들을 수행하여 상기 반도체 장치(100a)가 완성된다.
도 5a는 예시적인 실시예들에 따른 반도체 장치(100b)를 나타내는 사시도이다.
도 5b는 예시적인 실시예들에 따른 반도체 장치(100b)를 나타내는 단면도이다. 도 5b 에는 도 5a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들이 도시된다.
상기 반도체 장치(100b)는 게이트 구조물들(140N-a, 140P-a)을 제외하면 도 1a 내지 도 1c를 참조로 설명한 반도체 장치(100)와 유사하므로, 전술한 차이점을 중심으로 설명한다. 이하에서 동일한 참조 부호는 동일한 부재를 의미하는 것으로 이해될 수 있다.
도 5a 및 도 5b를 참조하면, 제1 게이트 구조물(140N-a)은 제1 게이트 전극(144N-a) 및 제1 게이트 전극(144N-a)의 바닥면 및 측벽 상에 배치되는 제1 게이트 절연막(142N-a)을 포함할 수 있다. 제1 스페이서(152N)는 제1 게이트 구조물(140N-a)의 측벽 상에 형성되며, 제3 스페이서(154N)는 제1 게이트 구조물(140N-a)과의 사이에 제1 스페이서(152N)가 개재되도록 제1 게이트 구조물(140N-a) 측벽 상에 배치될 수 있다.
제2 게이트 구조물(140P-a)은 제2 게이트 전극(144P-a) 및 제2 게이트 전극(144P-a)의 바닥면 및 측벽 상에 배치되는 제2 게이트 절연막(142P-a)을 포함할 수 있다. 제2 스페이서(152P)는 제2 게이트 구조물(140P-a)의 측벽 상에 형성되며, 제4 스페이서(154P)는 제2 게이트 구조물(140P-a)과의 사이에 제2 스페이서(152P)가 개재되도록 제2 게이트 구조물(140P-a)의 측벽 일부분 상에 형성될 수 있다.
도 6a 내지 도 6c는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 나타내는 단면도들이다. 도 6a 내지 도 6c를 참조로 설명하는 상기 제조 방법은 도 5a 및 도 5b를 참조로 설명한 반도체 장치(100b)의 제조 방법일 수 있다. 도 6a 내지 도 6c에서는 공정 단계들을 수행하는 과정에서 도 5a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들을 도시하였다.
우선, 도 2a 내지 도 2j를 참조로 설명한 공정들을 수행하여, 도 2j에 도시된 것과 같은 중간 구조물을 형성한다.
도 6a를 참조하면, 기판(110)의 NMOS 영역(110N) 및 PMOS 영역(110P) 상에 제1 및 제2 게이트 구조물들(140N, 140P) 및 제1 및 제2 소스/드레인 영역들(160N, 160P)을 커버하는 절연층(도시되지 않음)을 형성한 후, 제1 및 제2 게이트 구조물들(140N, 140P)의 상면들이 노출될 때까지 상기 절연층 상부를 평탄화하여 제4 블로킹층(218)을 형성할 수 있다. 이때, 기판(110)의 NMOS 영역(110N)에는 제1 게이트 구조물(140N) 상부를 커버하는 제1 스페이서(152N)의 상면이 노출될 수 있고, 기판(110)의 PMOS 영역(110P)에는 제2 게이트 구조물(140P) 상부를 커버하는 제4 스페이서(154P)의 상면이 노출될 수 있다.
도 6b를 참조하면, 제1 게이트 마스크(146N) 및 제2 게이트 마스크(146P) 상면들이 노출될 때까지 제4 블로킹층(218)의 상부를 소정의 높이만큼 평탄화할 수 있다. 이에 따라, 제1 게이트 구조물(140N)과 제4 블로킹층(218) 사이에 제1 및 제3 스페이서들(152N, 154N)이 개재되고, 제2 게이트 구조물(140P)과 제4 블로킹층(218) 사이에 제2 및 제4 스페이서들(152P, 154P)이 개재될 수 있다.
이후, 제1 게이트 구조물(140N) 및 제2 게이트 구조물(140P)을 제거하여, 제1 스페이서(152N) 및 채널 영역(CH)에 의해 한정되는 제1 게이트 홀(140NH) 및 제2 스페이서(152P) 및 채널 영역(CH)에 의해 한정되는 제2 게이트 홀(140PH)을 형성할 수 있다.
도 6c를 참조하면, 제1 게이트 홀(140NH) 및 제2 게이트 홀(140PH) 내벽 상에 컨포말하게 제3 게이트 절연막(142N-a) 및 제4 게이트 절연막(142P-a)을 형성할 수 있다. 제3 게이트 절연막(142N-a) 및 제4 게이트 절연막(142P-a) 상에 제1 게이트 홀(140NH) 및 제2 게이트 홀(140PH) 내벽을 각각 채우는 제3 게이트 전극(144N-a) 및 제4 게이트 전극(144P-a)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제3 및 제4 게이트 절연막들(142N-a, 142P-a)은 실리콘 산화막보다 높은 유전 상수를 가지는 고유전체 물질로 형성할 수 있다. 예를 들면, 제3 및 제4 게이트 절연막들(142N-a, 142P-a)은 HfO2, ZrO2, 또는 Ta2O5를 포함할 수 있다.
예시적인 실시예들에 있어서, 제3 및 제4 게이트 전극들(144N-a, 144P-a)은 적어도 하나의 금속층을 포함할 수 있다. 예를 들어, 제3 및 제4 게이트 전극들(144N-a, 144P-a)은 2개 이상의 금속층의 적층 구조로 이루어질 수 있고, 예를 들어 TiN, TaN, TiC, TaC, W 또는 Al 또는 이들의 적층 구조를 가지도록 형성할 수 있다. 제3 및 제4 게이트 전극들(144N-a, 144P-a)은 예를 들면, 제1 및 제3 스페이서들(152N, 154N)과 제2 및 제4 스페이서들(152P, 154P)을 몰드(mold)로 하는 다마신 공정에 의하여 형성할 수 있다.
제3 및 제4 게이트 구조물들(140N-a, 140P-a)을 먼저 형성한 후에, 소스/드레인 영역들(160N, 160P)을 형성할 수도 있고, 소스/드레인 영역(160N, 160P)을 먼저 형성한 후, 제3 및 제4 게이트 구조물들(140N-a, 140P-a)을 형성할 수도 있다.
도 7a는 예시적인 실시예들에 따른 반도체 장치(100c)를 나타내는 사시도이다.
도 7b는 예시적인 실시예들에 따른 반도체 장치(100c)를 나타내는 단면도이다. 도 7b 에는 도 7a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들이 도시된다.
도 7c는 예시적인 실시예들에 따른 반도체 장치(100c)를 나타내는 단면도이다. 특히, 도 7c는 도 7a의 B-B' 및 C-C'를 따라 절단한 확대 단면도이다.
상기 반도체 장치(100c)는 제1 소스/드레인 영역(160N-a)의 형상을 제외하면 도 1a 내지 도 1c를 참조로 설명한 반도체 장치(100)와 유사하므로, 전술한 차이점을 중심으로 설명한다. 이하에서 동일한 참조 부호는 동일한 부재를 의미하는 것으로 이해될 수 있다.
도 7a 내지 도 7c를 참조하면, 제1 소스/드레인 영역(160N-a)은 베이스부(112a)의 상면으로부터 기판(110) 상면에 대하여 제1 교각(θ1)으로 기울어진 제1 측벽(FS-1N), 제1 측벽(FS-1N)의 최상부에 접하며 기판(110) 상면에 대하여 제4 교각(θ4)으로 기울어진 제4 측벽(FS-2N) 및 제4 측벽(FS-2N)의 최상부에 접하며 기판(110) 상면에 대하여 실질적으로 평행한 방향으로 연장하는 제2 상면(PS2)을 포함하도록 형성될 수 있다.
두 개의 제4 측벽들(FS-2N)은 서로 접하지 않으며, 제2 상면(PS2)이 두 개의 제4 측벽들(FS-2N) 사이에서 두 개의 제4 측벽들(FS-2N)과 접할 수 있다. 제2 상면(PS2)은 실질적으로 전체 면적에서 평탄하게 연장될 수 있다. 제4 측벽(FS-2N)과 제2 상면(PS2)이 접하는 지점에서 제2 상면(PS2) 부분은 라운드진 형상을 가질 수도 있다.
예시적인 실시예들에 있어서, 도 2i에 도시된 것과 같이 서로 접촉하여 에지를 형성하는 두 개의 제4 측벽들(FS-2N)을 포함하는 제1 소스/드레인 영역(도 2i의 160N) 상측 일부분을 이방성 식각함으로써 제1 소스/드레인 영역(160N-a)의 제2 상면(PS2)이 형성될 수 있다. 다른 실시예들에 있어서, 제1 소스/드레인 영역(160N-a)의 성장 공정에서 실리콘 결정면에 따른 성장 속도를 조절하도록 첨가제(additive)를 사용함에 의해 제1 소스/드레인 영역(160N-a)의 제2 상면(PS2)이 형성될 수도 있다. 다른 실시예들에 있어서, 제1 소스/드레인 영역(160N-a)의 성장 공정에서 실리콘 결정면에 따른 성장 속도를 조절하도록 소스 가스의 종류, 소스 가스의 유량, 챔버 온도, 챔버 압력 등을 조절함에 의해 제1 소스/드레인 영역(160N-a)의 제2 상면(PS2)이 형성될 수도 있다.
제1 소스/드레인 영역(160N-a)의 높이(H6), 즉 제1 소스/드레인 영역(160N-a)의 바닥면으로부터 제2 상면(PS2)까지의 수직 거리는 제2 소스/드레인 영역(160P)의 높이(H4)보다 작거나 실질적으로 같을 수 있다.
도 8a는 예시적인 실시예들에 따른 반도체 장치(100d)를 나타내는 사시도이다.
도 8b는 예시적인 실시예들에 따른 반도체 장치(100d)를 나타내는 단면도이다. 도 7b 에는 도 7a의 A-A', B-B', C-C' 및 D-D'를 따라서 절단한 단면들이 도시된다.
상기 반도체 장치(100d)는 복수의 핀 구조물(112)을 갖는 것을 제외하면 도 1a 내지 도 1c를 참조로 설명한 반도체 장치(100)와 유사하므로, 전술한 차이점을 중심으로 설명한다. 이하에서 동일한 참조 부호는 동일한 부재를 의미하는 것으로 이해될 수 있다.
도 8a 및 도 8b를 참조하면, 기판(110)에는 한 쌍의 채널 영역(CH) 및 한 쌍의 채널 영역(CH)의 사이에 위치되는 리세스(112R1)를 가지는 핀 구조물(112)이 형성될 수 있다.
한 쌍의 제1 게이트 구조물(140N)은 한 쌍의 채널 영역(CH) 상에 각각 제공되며, 핀 구조물(112)와 교차하여 연장되는 제1 게이트 전극(144N) 및 채널 영역(CH)과 제1 게이트 전극(144N) 사이에 배치되는 제1 게이트 절연막(142N)을 포함할 수 있다. 제1 소스/드레인 영역(160N)은 한 쌍의 채널 영역(CH)의 서로 마주보는 측면 사이에서 리세스(112R1)의 저면 상에 형성될 수 있다. 한 쌍의 제1 게이트 구조물(140N)의 상면 및 측벽 상에는 한 쌍의 제1 스페이서(152N)가 형성되며, 한 쌍의 제1 스페이서(152N)의 측벽 상에는 한 쌍의 제3 스페이서(154N)가 형성될 수 있다.
한 쌍의 제2 게이트 구조물(140P)은 한 쌍의 채널 영역(CH) 상에 각각 제공되며, 핀 구조물(112)와 교차하여 연장되는 제2 게이트 전극(144P) 및 채널 영역(CH)과 제2 게이트 전극(144P) 사이에 배치되는 제2 게이트 절연막(142P)을 포함할 수 있다. 제2 소스/드레인 영역(160P)은 한 쌍의 채널 영역(CH)의 서로 마주보는 측면 사이에서 리세스(112R1) 저면 상에 형성될 수 있다. 한 쌍의 제2 게이트 구조물(140P)의 상면 및 측벽 상에는 한 쌍의 제2 스페이서(152P)가 형성되며, 한 쌍의 제2 스페이서(152P)의 측벽 상에는 한 쌍의 제4 스페이서(154P)가 형성될 수 있다. 한 쌍의 제4 스페이서(154P)는 한 쌍의 제2 게이트 구조물(140P)의 측벽으로부터, 한 쌍의 제2 게이트 구조물(140P) 사이에 위치한 제2 소스/드레인 영역(160P) 상부로 연장할 수 있고, 제2 소스/드레인 영역(160P) 상면 전체를 커버할 수 있다.
도 9는 예시적인 실시예들에 따른 CMOS 인버터의 회로도이다.
도 9를 참조하면, CMOS 인버터(900)는 CMOS 트랜지스터(910)를 포함한다. CMOS 트랜지스터(910)는 전원 단자(Vdd)와 접지 단자와의 사이에 연결된 PMOS 트랜지스터(920) 및 NMOS 트랜지스터(930)로 이루어진다. CMOS 트랜지스터(910)는 도 1a 내지 도 8b를 참조하여 설명한 반도체 장치(100, 100a, 100b, 100c, 100d) 중 적어도 하나를 포함한다.
도 10은 예시적인 실시예들에 따른 CMOS NAND 회로의 회로도이다.
도 10을 참조하면, CMOS NAND 회로(1000)는 서로 다른 입력 신호가 전달되는 한 쌍의 CMOS 트랜지스터를 포함한다. 한 쌍의 CMOS 트랜지스터를 구성하는 적어도 하나의 트랜지스터는 도 1a 내지 도 8b를 참조하여 설명한 반도체 장치(100, 100a, 100b, 100c, 100d) 중 적어도 하나를 포함한다.
도 11은 예시적인 실시예들에 따른 반도체 장치로 구현한 SoC의 구성을 개략적으로 나타내는 도면이다.
도 11을 참조하면, SoC(System on Chip, 1100)는 중앙 처리 유닛(1110), 메모리(1120), 인터페이스(1130), 그래픽 처리 유닛(1140), 기능 블록들(1150) 및 이를 연결하는 버스(1160)를 포함할 수 있다. 중앙 처리 유닛(1110)은 SoC(1100)의 동작을 제어할 수 있다. 중앙 처리 유닛(1110)은 코어(core) 및 L2 캐시(cache)를 포함할 수 있다. 예를 들어, 중앙 처리 유닛(1110)은 멀티-코어를 포함할 수 있다. 멀티-코어의 각 코어는 성능이 서로 동일하거나 상이할 수 있다. 또한 멀티-코어의 각 코어는 동시에 활성화되거나 서로 활성화되는 시점을 달리할 수 있다. 메모리(1120)는 중앙 처리 유닛(1110)의 제어에 의해 기능 블록들(1150)에서 처리한 결과 등 저장할 수 있다. 예를 들어, 중앙 처리 유닛(1110)의 L2 캐시에 저장된 내용이 플러시(flush)됨에 따라 메모리(1120)에 저장될 수 있다. 인터페이스(1130)는 외부의 장치들과의 인터페이스를 수행할 수 있다. 예를 들어, 인터페이스(1130)는 카메라, LCD 및 스피커 등과의 인터페이스를 수행할 수 있다.
그래픽 처리 유닛(1140)은 시스템 온 칩(SoC)에 요구되는 그래픽 기능들을 수행할 수 있다. 예를 들면, 그래픽 처리 유닛(1140)은 비디오 코덱을 수행하거나, 3D 그래픽을 처리할 수 있다.
기능 블록들(1150)은 SoC에 요구되는 다양한 기능들을 수행할 수 있다. 예를 들어, SoC(1100)가 모바일 장치에 사용되는 AP인 경우, 기능 블록들(1150) 중 일부는 통신 기능을 수행할 수 있다.
SoC(1100)는 도 1a 내지 도 8b를 참조하여 설명한 반도체 장치(100, 100a, 100b, 100c, 100d) 중 적어도 하나를 포함한다.
도 12는 예시적인 실시예들에 따른 반도체 장치로 구현한 SoC를 포함하는 전자 시스템을 나타내는 도면이다.
도 12를 참조하면, 전자 시스템(1200)은 시스템 온 칩(SoC, 1410)이 장착될 수 있다. 전자 시스템(1200)은 예를 들면, 모바일 기기, 데스크 탑 컴퓨터 또는 서버일 수 있다. 또한, 전자 시스템(1200)은 메모리 장치(1220), 입출력 장치(1230), 디스플레이 장치(1240)를 더 포함할 수 있으며, 이들 구성요소들은 각각 버스(1250)에 전기적으로 연결될 수 있다. 시스템 온 칩(1210)은 도 1a 내지 도 8b를 참조하여 설명한 반도체 장치(100, 100a, 100b, 100c, 100d) 중 적어도 하나를 포함한다.
도 13은 예시적인 실시예들에 따른 반도체 장치가 응용된 전자 장치를 개략적으로 보여주는 사시도이다.
도 13은 도 12의 전자 시스템(1200)이 모바일 폰(1300)에 적용되는 예를 보여주고 있다. 모바일 폰(1300)은 시스템 온 칩(1310)을 포함할 수 있다. 시스템 온 칩(1310)은 도 1a 내지 도 8b를 참조하여 설명한 반도체 장치(100, 100a, 100b, 100c, 100d) 중 적어도 하나를 포함한다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.
110: 기판 112: 핀 구조물
114: 돌출 패턴 120: 소자 분리층
140N, 140P: 제1 및 제2 게이트 구조물들
152N, 154N: 제1 및 제3 스페이서들
152P, 154P: 제2 및 제4 스페이서들
160N, 160P: 제1 및 제2 소스/드레인 영역들
162, 164: 제1 및 제2 스트레인 유도층들
166: 소스/드레인 캡핑층
170N, 170P: 제1 및 제2 콘택 플러그들
190N, 190P: 제1 및 제2 캡핑층들

Claims (20)

  1. 제1 돌출 패턴 및 제2 돌출 패턴을 포함하는 기판;
    상기 기판 상에 배치되고, 상기 제1 돌출 패턴과 교차하며 상기 기판의 상면에 평행한 제1 방향을 따라 연장하며, 상기 제1 돌출 패턴의 측벽에 수평하게 인접한 일부분들을 포함하는, 제1 게이트 구조물;
    상기 기판 상에 배치되고, 상기 제2 돌출 패턴과 교차하며 상기 제1 방향을 따라 연장하며, 상기 제2 돌출 패턴의 측벽에 수평하게 인접한 일부분들을 포함하는, 제2 게이트 구조물;
    상기 제1 및 제2 게이트 구조물들의 양측 상에 각각 형성되는 제1 및 제2 소스/드레인 영역들; 및
    상기 제1 및 제2 소스/드레인 영역들 상부에 각각 형성되는 제1 및 제2 콘택 플러그들;을 포함하고,
    상기 제1 콘택 플러그와 상기 제2 콘택 플러그는 비대칭 형상을 갖는 것을 특징으로 하는 반도체 장치.
  2. 제1항에 있어서,
    상기 제1 콘택 플러그의 바닥면이 상기 제2 콘택 플러그의 바닥면보다 낮거나 동일한 레벨 상에 위치하고,
    상기 제1 소스/드레인 영역 아래에 배치되는 상기 제1 돌출 패턴의 상면이 상기 제2 소스/드레인 영역 아래에 배치되는 상기 제2 돌출 패턴의 상면과 동일한 레벨에 배치되는 것을 특징으로 하는 반도체 장치.
  3. 제1항에 있어서,
    상기 제1 소스/드레인 영역은 상기 제1 콘택 플러그와의 제1 접촉 면적을 가지며,
    상기 제2 소스/드레인 영역은 상기 제2 콘택 플러그와의 제2 접촉 면적을 가지며,
    상기 제2 접촉 면적이 상기 제1 접촉 면적보다 작은 것을 특징으로 하는 반도체 장치.
  4. 제1항에 있어서,
    상기 제1 소스/드레인 영역은 상기 제1 콘택 플러그와 접촉하는 제1 콘택 랜딩 면(contact landing surface)을 가지며,
    상기 제2 소스/드레인 영역은 상기 제2 콘택 플러그와 접촉하는 제2 콘택 랜딩 면을 가지며,
    상기 제2 콘택 랜딩 면이 상기 제1 콘택 랜딩 면보다 높거나 동일한 레벨 상에 위치하고,
    상기 제1 소스/드레인 영역 아래에 배치되는 상기 제1 돌출 패턴의 상면이 상기 제2 소스/드레인 영역 아래에 배치되는 상기 제2 돌출 패턴의 상면과 동일한 레벨에 배치되는 것을 특징으로 하는 반도체 장치.
  5. 제1항에 있어서,
    상기 제1 소스/드레인 영역은 상기 제1 방향을 따라 제1 최대 폭을 가지며,
    상기 제2 소스/드레인 영역은 상기 제1 방향을 따라 제2 최대 폭을 가지며,
    상기 제2 최대폭이 상기 제1 최대폭보다 작은 것을 특징으로 하는 반도체 장치.
  6. 제5항에 있어서,
    상기 제1 소스/드레인 영역은 상기 제1 최대 폭을 갖는 제1 높이를 가지며,
    상기 제2 소스/드레인 영역은 상기 제2 최대 폭을 갖는 제2 높이를 가지며,
    상기 제2 높이가 상기 제1 높이보다 작은 것을 특징으로 하는 반도체 장치.
  7. 제1항에 있어서,
    상기 제1 소스/드레인 영역은 상기 기판의 상면에 수직한 제2 방향을 따라 제3 높이를 가지며,
    상기 제2 소스/드레인 영역은 상기 제2 방향을 따라 제4 높이를 가지며,
    상기 제4 높이가 상기 제3 높이보다 큰 것을 특징으로 하는 반도체 장치.
  8. 제1항에 있어서,
    상기 제1 소스/드레인 영역의 상부(top portion)는 상기 기판의 상면에 평행한 방향으로 평탄하게 연장하는 것을 특징으로 하는 반도체 장치.
  9. 제1항에 있어서,
    상기 제2 소스/드레인 영역의 상부(top portion)는 패시티드 측벽(faceted sidewall)을 갖는 것을 특징으로 하는 반도체 장치.
  10. 제9항에 있어서,
    상기 패시티드 측벽은 상기 기판의 상면에 대하여 51도 내지 59도의 교각을 갖는 것을 특징으로 하는 반도체 장치.
  11. 제1항에 있어서,
    상기 제1 게이트 구조물의 측벽 및 상면 상에 형성되는 제1 스페이서; 및
    상기 제2 게이트 구조물의 측벽 상에 형성되는 제2 스페이서;를 더 포함하는 반도체 장치.
  12. 제11항에 있어서,
    상기 제1 게이트 구조물과의 사이에 상기 제1 스페이서가 개재되도록 상기 제1 게이트 구조물의 측벽 상에 형성되는 제3 스페이서; 및
    상기 제2 게이트 구조물과의 사이에 상기 제2 스페이서가 개재되도록 상기 제1 게이트 구조물의 상면과 측벽 상에 형성되는 제4 스페이서;를 더 포함하는 반도체 장치.
  13. 제12항에 있어서,
    상기 제2 소스/드레인 영역의 상면의 적어도 일부분은 상기 제4 스페이서에 의해 커버되는 것을 특징으로 하는 반도체 장치.
  14. 제12항에 있어서,
    상기 제1 소스/드레인 영역의 상면이 상기 제3 스페이서에 의해 커버되지 않는 것을 특징으로 하는 반도체 장치.
  15. 제12항에 있어서,
    상기 제1 게이트 구조물과 상기 제1 소스/드레인 영역 사이에 상기 제1 스페이서 및 상기 제3 스페이서가 개재되며,
    상기 제2 게이트 구조물과 상기 제2 소스/드레인 영역 사이에 상기 제2 스페이서가 개재되고 상기 제2 게이트 구조물과 상기 제2 소스/드레인 영역 사이에 상기 제4 스페이서가 개재되지 않는 것을 특징으로 하는 반도체 장치.
  16. 제1 돌출 패턴 및 제2 돌출 패턴을 포함하는 기판;
    상기 기판 상에 배치되고, 상기 제1 돌출 패턴과 교차하며 상기 기판의 상면에 평행한 제1 방향을 따라 연장하며, 상기 제1 돌출 패턴의 측벽에 수평하게 인접한 일부분들을 포함하는, 제1 게이트 구조물;
    상기 기판 상에 배치되고, 상기 제2 돌출 패턴과 교차하며 상기 제1 방향을 따라 연장하며, 상기 제2 돌출 패턴의 측벽에 수평하게 인접한 일부분들을 포함하는, 제2 게이트 구조물;
    상기 제1 게이트 구조물의 양측 상에 형성되는 제1 소스/드레인 영역; 및
    상기 제2 게이트 구조물의 양측 상에 형성되는 제2 소스/드레인 영역으로서,
    상기 제2 돌출 패턴과 접촉하는 제1 스트레인 유도층과,
    상기 제1 스트레인 유도층 상에 배치되는 제2 스트레인 유도층을 포함하는, 제2 소스/드레인 영역;
    상기 제2 소스/드레인 영역 상에 배치되는 제2 소스/드레인 캡층;
    상기 제1 소스/드레인 영역 상부에 형성되는 제1 콘택 플러그; 및
    상기 제2 소스/드레인 영역 상부에 형성되고, 상기 제2 스트레인 유도층과 접촉하며, 상기 제1 콘택 플러그와 비대칭 형상을 갖는 제2 콘택 플러그를 포함하는 반도체 장치.
  17. 제16항에 있어서,
    상기 제1 스트레인 유도층은 제1 저머늄 함량을 갖는 실리콘 저머늄을 포함하고,
    상기 제2 스트레인 유도층은 상기 제1 저머늄 함량과 다른 제2 저머늄 함량을 갖는 실리콘 저머늄을 포함하고,
    상기 제2 소스/드레인 캡층은 도핑된 실리콘 또는 도핑되지 않은 실리콘을 포함하는 것을 특징으로 하는 반도체 장치.
  18. 제17항에 있어서,
    상기 제2 저머늄 함량이 상기 제1 저머늄 함량보다 더 큰 것을 특징으로 하는 반도체 장치.
  19. 제16항에 있어서,
    상기 제2 스트레인 유도층은 패시티드 측벽들을 가지며,
    상기 측벽들은 상기 기판의 상기 상면에 대하여 제1 경사각으로 기울어진 하부 측벽과, 상기 기판의 상기 상면에 대하여 제2 경사각으로 기울어진 상부 측벽을 포함하고,
    상기 상부 측벽과 상기 하부 측벽이 만나는(meet) 것을 특징으로 하는 반도체 장치.
  20. 제19항에 있어서,
    상기 제1 경사각은 51도 내지 59도이고,
    상기 제2 경사각은 51도 내지 59도인 것을 특징으로 하는 반도체 장치.
KR1020140127189A 2014-09-23 2014-09-23 반도체 소자 및 이의 제조 방법 KR102230198B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020140127189A KR102230198B1 (ko) 2014-09-23 2014-09-23 반도체 소자 및 이의 제조 방법
US14/861,794 US9679977B2 (en) 2014-09-23 2015-09-22 Semiconductor device and method of fabricating the same
US15/604,687 US10164030B2 (en) 2014-09-23 2017-05-25 Semiconductor device and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140127189A KR102230198B1 (ko) 2014-09-23 2014-09-23 반도체 소자 및 이의 제조 방법

Publications (2)

Publication Number Publication Date
KR20160035504A KR20160035504A (ko) 2016-03-31
KR102230198B1 true KR102230198B1 (ko) 2021-03-19

Family

ID=55526507

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140127189A KR102230198B1 (ko) 2014-09-23 2014-09-23 반도체 소자 및 이의 제조 방법

Country Status (2)

Country Link
US (2) US9679977B2 (ko)
KR (1) KR102230198B1 (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490459B2 (en) 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
US10090304B2 (en) 2013-09-25 2018-10-02 Intel Corporation Isolation well doping with solid-state diffusion sources for FinFET architectures
US9793358B2 (en) * 2014-05-01 2017-10-17 Globalfoundries Inc. Non-planar semiconductor device with multiple-head epitaxial structure on fin
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
KR102316247B1 (ko) * 2015-04-14 2021-10-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9674963B1 (en) * 2015-06-03 2017-06-06 Eric Sari Multilevel machine to process coatings
WO2017052562A1 (en) * 2015-09-24 2017-03-30 Intel Corporation Methods of forming backside self-aligned vias and structures formed thereby
WO2017052612A1 (en) 2015-09-25 2017-03-30 Intel Corporation Methods of doping fin structures of non-planar transistor devices
US9871042B2 (en) * 2015-12-03 2018-01-16 Samsung Electronics Co., Ltd. Semiconductor device having fin-type patterns
CN108292674B (zh) * 2015-12-24 2022-05-13 英特尔公司 形成掺杂源极/漏极触点的方法及由其形成的结构
US9627514B1 (en) * 2015-12-28 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9882047B2 (en) 2016-02-01 2018-01-30 International Business Machines Corporation Self-aligned replacement metal gate spacerless vertical field effect transistor
KR102620597B1 (ko) 2016-09-23 2024-01-03 삼성전자주식회사 반도체 장치
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
KR102557123B1 (ko) * 2017-01-02 2023-07-19 삼성전자주식회사 반도체 소자 및 그 제조 방법.
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
WO2018208285A1 (en) * 2017-05-09 2018-11-15 Intel Corporation Transistor arrangements with uneven gate-drain surfaces
US10727226B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
CN109273528B (zh) * 2017-07-18 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10522680B2 (en) * 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN109545747B (zh) 2017-09-22 2021-11-02 联华电子股份有限公司 半导体元件及其制作方法
US10468530B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US11037924B2 (en) * 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10361279B2 (en) * 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
US10374040B1 (en) * 2018-06-12 2019-08-06 Globalfoundries Inc. Method to form low resistance contact
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US11616144B2 (en) * 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
WO2020163104A1 (en) * 2019-02-08 2020-08-13 Applied Materials, Inc. Semiconductor device, method of making a semiconductor device, and processing system
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11289541B2 (en) * 2019-11-14 2022-03-29 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11888064B2 (en) * 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100197092A1 (en) * 2009-02-02 2010-08-05 Jin-Bum Kim Method of Manufacturing Semiconductor Device Having Stress Creating Layer
US20130049126A1 (en) * 2011-08-24 2013-02-28 Globalfoundries Inc. Methods of Forming a Semiconductor Device with Recessed Source/Drain Regions, and a Semiconductor Device Comprising Same

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3863330B2 (ja) 1999-09-28 2006-12-27 株式会社東芝 不揮発性半導体メモリ
JP2001338988A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
KR20050065109A (ko) 2003-12-24 2005-06-29 엘지.필립스 엘시디 주식회사 액정표시소자 및 그 제조방법
KR100552808B1 (ko) 2003-12-24 2006-02-20 동부아남반도체 주식회사 확산 소스/드레인 구조를 갖는 반도체 소자 및 그 제조 방법
JP2006269975A (ja) 2005-03-25 2006-10-05 Toshiba Corp 半導体装置及びその製造方法
KR100668340B1 (ko) 2005-06-28 2007-01-12 삼성전자주식회사 핀 펫 cmos와 그 제조 방법 및 이를 구비하는 메모리소자
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4534164B2 (ja) * 2006-07-25 2010-09-01 エルピーダメモリ株式会社 半導体装置の製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
JP5309454B2 (ja) 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4328797B2 (ja) 2006-11-09 2009-09-09 エルピーダメモリ株式会社 半導体装置
US7750338B2 (en) 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
US7719062B2 (en) * 2006-12-29 2010-05-18 Intel Corporation Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
KR100853653B1 (ko) 2007-01-22 2008-08-25 경북대학교 산학협력단 핀 전계 효과 트랜지스터 및 그 제조 방법
JP5141029B2 (ja) 2007-02-07 2013-02-13 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7612414B2 (en) * 2007-03-29 2009-11-03 International Business Machines Corporation Overlapped stressed liners for improved contacts
JP4473889B2 (ja) 2007-04-26 2010-06-02 株式会社東芝 半導体装置
US7989901B2 (en) 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US7692254B2 (en) 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
JP2009032955A (ja) 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP2009043938A (ja) 2007-08-09 2009-02-26 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7910994B2 (en) 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
US7939889B2 (en) 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US7781799B2 (en) 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US20090152590A1 (en) 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US7723192B2 (en) 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
US7892932B2 (en) 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
KR101408875B1 (ko) 2008-04-18 2014-06-17 삼성전자주식회사 게르마늄 응축을 이용한 cmos 트랜지스터 및 그제조방법
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
KR101085884B1 (ko) 2009-08-24 2011-11-22 연세대학교 산학협력단 얕은 접합층을 갖는 트랜지스터 제조 방법
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8946028B2 (en) 2009-10-06 2015-02-03 International Business Machines Corporation Merged FinFETs and method of manufacturing the same
US8716797B2 (en) 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
KR101695902B1 (ko) * 2010-04-20 2017-01-13 삼성전자주식회사 반도체 소자 제조 방법
US8362573B2 (en) * 2010-05-26 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and manufacturing methods thereof
US8362574B2 (en) 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
KR101734207B1 (ko) * 2010-10-13 2017-05-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20120100686A1 (en) 2010-10-20 2012-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming ultra-shallow junctions in semiconductor devices
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
KR101757748B1 (ko) * 2011-01-05 2017-07-17 삼성전자 주식회사 반도체 장치의 제조 방법
KR20120081832A (ko) * 2011-01-12 2012-07-20 삼성전자주식회사 금속 실리사이드층의 제조 방법 및 이를 이용하는 반도체 장치의 제조 방법
US20130069172A1 (en) 2011-09-16 2013-03-21 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US9142642B2 (en) 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US9263342B2 (en) 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
KR101952119B1 (ko) * 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US9171762B2 (en) 2012-11-01 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
KR20140101218A (ko) * 2013-02-08 2014-08-19 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9159834B2 (en) 2013-03-14 2015-10-13 International Business Machines Corporation Faceted semiconductor nanowire
US8796093B1 (en) 2013-03-14 2014-08-05 International Business Machines Corporation Doping of FinFET structures
KR102065973B1 (ko) 2013-07-12 2020-01-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9337337B2 (en) * 2013-08-16 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device having source and drain regions with embedded germanium-containing diffusion barrier
US9893183B2 (en) 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102171023B1 (ko) * 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
KR102259080B1 (ko) 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100197092A1 (en) * 2009-02-02 2010-08-05 Jin-Bum Kim Method of Manufacturing Semiconductor Device Having Stress Creating Layer
US20130049126A1 (en) * 2011-08-24 2013-02-28 Globalfoundries Inc. Methods of Forming a Semiconductor Device with Recessed Source/Drain Regions, and a Semiconductor Device Comprising Same

Also Published As

Publication number Publication date
US10164030B2 (en) 2018-12-25
US20160087053A1 (en) 2016-03-24
US20170271462A1 (en) 2017-09-21
KR20160035504A (ko) 2016-03-31
US9679977B2 (en) 2017-06-13

Similar Documents

Publication Publication Date Title
KR102230198B1 (ko) 반도체 소자 및 이의 제조 방법
US11842931B2 (en) Semiconductor arrangement and method for manufacturing the same
CN106611792B (zh) 半导体器件及其制造方法
KR102427326B1 (ko) 반도체 소자 및 이의 제조 방법
KR102216511B1 (ko) 반도체 소자
KR101441747B1 (ko) FinFET 디바이스를 위한 구조 및 방법
KR102193493B1 (ko) 반도체 장치 및 그 제조 방법
KR102168963B1 (ko) 반도체 장치 및 그 제조 방법
US8575624B2 (en) Semiconductor device
US11600698B2 (en) Semiconductor devices
KR102326112B1 (ko) 반도체 소자
US9966377B2 (en) Semiconductor devices including fin-shaped active patterns in different conductivity type regions
US10804403B2 (en) Method of fabricating semiconductor devices
US20200321338A1 (en) Semiconductor device
KR102631912B1 (ko) 반도체 장치의 레이아웃 설계 방법 및 반도체 장치
TWI749363B (zh) 在閘極與源極/汲極接觸之間具有絕緣層的finfet
KR102619874B1 (ko) 불순물 영역을 갖는 반도체 소자
CN105679826B (zh) 半导体器件
KR102350485B1 (ko) 반도체 소자
KR20160054943A (ko) 게이트 전극을 갖는 반도체 소자 형성 방법
KR102610265B1 (ko) 반도체 소자 및 이의 제조 방법
KR20090040989A (ko) 반도체 소자 및 이의 제조 방법
US11670719B2 (en) Semiconductor device having upper channel and lower channel and method of manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant