CN101231951B - 利用nh3-nf3化学物质的氧化蚀刻 - Google Patents
利用nh3-nf3化学物质的氧化蚀刻 Download PDFInfo
- Publication number
- CN101231951B CN101231951B CN2008100007537A CN200810000753A CN101231951B CN 101231951 B CN101231951 B CN 101231951B CN 2008100007537 A CN2008100007537 A CN 2008100007537A CN 200810000753 A CN200810000753 A CN 200810000753A CN 101231951 B CN101231951 B CN 101231951B
- Authority
- CN
- China
- Prior art keywords
- oxide
- substrate
- gas mixture
- ammonia
- etchant gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000000126 substance Substances 0.000 title description 3
- 238000000034 method Methods 0.000 claims abstract description 98
- 239000000758 substrate Substances 0.000 claims abstract description 96
- 239000000203 mixture Substances 0.000 claims abstract description 46
- 239000007789 gas Substances 0.000 claims description 123
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 54
- 230000008569 process Effects 0.000 claims description 43
- 238000005516 engineering process Methods 0.000 claims description 40
- 229910021529 ammonia Inorganic materials 0.000 claims description 27
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 25
- 238000000151 deposition Methods 0.000 claims description 22
- 230000008021 deposition Effects 0.000 claims description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 19
- 241000894007 species Species 0.000 claims description 14
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 13
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 12
- 238000005229 chemical vapour deposition Methods 0.000 claims description 12
- 238000010438 heat treatment Methods 0.000 claims description 12
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- 238000001039 wet etching Methods 0.000 claims description 6
- 238000001704 evaporation Methods 0.000 claims description 5
- 230000008020 evaporation Effects 0.000 claims description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims 5
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 4
- 239000011737 fluorine Substances 0.000 claims 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims 4
- 125000001153 fluoro group Chemical group F* 0.000 claims 1
- 230000001105 regulatory effect Effects 0.000 claims 1
- 238000005530 etching Methods 0.000 abstract description 32
- 239000004065 semiconductor Substances 0.000 abstract description 18
- 229910052751 metal Inorganic materials 0.000 description 23
- 239000002184 metal Substances 0.000 description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 20
- 238000002955 isolation Methods 0.000 description 20
- 229910052710 silicon Inorganic materials 0.000 description 20
- 239000010703 silicon Substances 0.000 description 20
- 239000000463 material Substances 0.000 description 16
- 150000004767 nitrides Chemical class 0.000 description 16
- 238000012546 transfer Methods 0.000 description 16
- 239000010408 film Substances 0.000 description 15
- 238000002360 preparation method Methods 0.000 description 15
- 238000006243 chemical reaction Methods 0.000 description 12
- 229910021332 silicide Inorganic materials 0.000 description 12
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 12
- 238000000429 assembly Methods 0.000 description 11
- 230000000712 assembly Effects 0.000 description 11
- 238000009826 distribution Methods 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 9
- 238000000137 annealing Methods 0.000 description 9
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 9
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 229920005591 polysilicon Polymers 0.000 description 8
- 238000012545 processing Methods 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 7
- 230000005540 biological transmission Effects 0.000 description 7
- 238000002347 injection Methods 0.000 description 7
- 239000007924 injection Substances 0.000 description 7
- 238000000926 separation method Methods 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 238000011049 filling Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000005498 polishing Methods 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 230000004913 activation Effects 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- -1 device isolation Substances 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 238000005086 pumping Methods 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000002826 coolant Substances 0.000 description 4
- 230000005611 electricity Effects 0.000 description 4
- 239000013529 heat transfer fluid Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 239000004411 aluminium Substances 0.000 description 3
- 239000012298 atmosphere Substances 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 229910017052 cobalt Inorganic materials 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 238000004821 distillation Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 239000012459 cleaning agent Substances 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000003750 conditioning effect Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000003028 elevating effect Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 238000012856 packing Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 238000000746 purification Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 239000011435 rock Substances 0.000 description 2
- 238000000992 sputter etching Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- 206010010144 Completed suicide Diseases 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 235000008331 Pinus X rigitaeda Nutrition 0.000 description 1
- 235000011613 Pinus brutia Nutrition 0.000 description 1
- 241000018646 Pinus brutia Species 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000011149 active material Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 238000005275 alloying Methods 0.000 description 1
- RBFDCQDDCJFGIK-UHFFFAOYSA-N arsenic germanium Chemical compound [Ge].[As] RBFDCQDDCJFGIK-UHFFFAOYSA-N 0.000 description 1
- 238000005422 blasting Methods 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000000428 dust Substances 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910000480 nickel oxide Inorganic materials 0.000 description 1
- NICDRCVJGXLKSF-UHFFFAOYSA-N nitric acid;trihydrochloride Chemical compound Cl.Cl.Cl.O[N+]([O-])=O NICDRCVJGXLKSF-UHFFFAOYSA-N 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- LXPCOISGJFXEJE-UHFFFAOYSA-N oxifentorex Chemical compound C=1C=CC=CC=1C[N+](C)([O-])C(C)CC1=CC=CC=C1 LXPCOISGJFXEJE-UHFFFAOYSA-N 0.000 description 1
- GNRSAWUEBMWBQH-UHFFFAOYSA-N oxonickel Chemical compound [Ni]=O GNRSAWUEBMWBQH-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 238000009489 vacuum treatment Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Element Separation (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
本发明一般提供用于选择性去除半导体基片上的多种氧化物的装置和方法。本发明的一个实施例提供一种用于使用蚀刻气体混合物以预期去除速率选择性去除基片上氧化物的方法。蚀刻气体混合物包括第一气体和第二气体,并且第一气体和第二气体的比值由预期去除速率确定。
Description
技术领域
本发明实施例一般涉及用于加工半导体基片的方法和装置。更加明确地,本发明实施例涉及用于在半导体制备中选择性氧化蚀刻的方法和装置。
背景技术
在半导体制备中,氧化物制备是决定性的,特别是对于作为MOS(金属氧化物半导体)技术栅极结构的基本部分的薄氧化物。通过适当制备控制,氧化物层具有高的质量、稳定性和优良的介电性能。为了获得用于不同功能的不同性能氧化物,在整合组件制造(IDM)中使用多种氧化物制备工艺。热氧化物和沉积氧化物主要用于半导体器件中。另外,在加工期间可能产生本征氧化物。不同氧化物还可能区别地响应后续加工并且可能需要用于相同目的的不同处理。
在氧气氛中通过高温退火热生长热氧化物。热氧化物可能用于多硅表面的介电材料、器件隔离、注入屏蔽、应力消除(衬垫氧化物)、再氧化氮化物、以及光致抗蚀剂粘附和应力降低。
通过在加工室中使硅源与氧反应制备沉积氧化硅。还可以通过诸如臭氧/硅酸乙酯(TEOS)化学或碳基化学的组合沉积氧化物。沉积氧化物的示例可能是通过单一工艺产生的HARP(高纵深比工艺)氧化物。HARP,也称为亚常压化学气相沉积(SACVD),是用于在诸如浅槽隔离(STI)和前金属介电质(PMD)的高纵深比间隙中沉积氧化物的使用臭氧/TEOS化学的非等离子体基化学气相沉积(CVD)方法。
当将基片表面暴露于氧时通常形成本征氧化物。当在常压条件的加工室之间移动基片时,或当少量的氧残余在真空室中时,发生氧暴露。还可能由蚀刻期间的污染导致本征氧化物。本征氧化物通常是不需要的,在后续工艺之前需要去除。
在半导体制备期间,可能以过量材料形成结构,并且随后将其蚀刻和/或抛光到预期尺寸。对于氧化物特征,为了达到预期尺寸,一般在其形成之后使用抛光和蚀刻。某些氧化物特征可能具有区别响应相同工艺的两种或多种氧化物,因此在加工中引起困难,特别是当特征尺寸较小时。
STI(浅槽隔离)是具有几种形式氧化物的氧化物结构中的一种。STI是用于亚0.25微米制备的器件隔离技术的初始形式。氧化物填充沟槽用于隔离在半导体基片上形成的器件。首先在半导体基片上蚀刻沟槽,随后热生长氧化物层。该高温氧化物层的目的是将适当转角变圆,以便初期避免栅极介电质断裂并且在CVD氧化物沉积之后消除应力。热氧化物层还钝化硅表面,并且作为硅和沉积氧化物层之间的阻挡层。随后以高密度等离子体(HDP)或HARP氧化物填充沟槽,抛光并逆蚀刻。为了将基片上的沟槽和其它结构准备用于诸如多种阱注入、栅极氧化以及最终的多硅沉积和定形的后续工艺,在沉积之后可能对氧化物填充沟槽执行化学机械抛光(CMP)工艺,以及随后的蚀刻工艺。
溅射蚀刻工艺和湿法蚀刻工艺是用于STI蚀刻的常规氧化物蚀刻工艺。然而,溅射蚀刻工艺一般不能完全去除氧化物,并且可能通过物理轰击损伤精密硅层。湿法蚀刻使用用于去除氧化物的化学溶液,例如氢氟酸(HF)和去离子水。然而,稀HF具有氧化物蚀刻速率不定的缺点。与非氮化氧化物相比,氮化氧化物蚀刻非常慢。热氧化物以与沉积氧化物不同的速率蚀刻。另外,退火氧化物具有与沉积氧化物不同的蚀刻速率。这在工艺流程中导致明显的可变性和集成度问题。
在浅槽隔离中,例如,使用三种不同氧化物填充沟槽。为了在抛光和多种清洗之后保持氧化物的可平面性,需要以相同速率蚀刻全部氧化物的蚀刻化学。事实上,多种工艺可变性导致明显的多余泄漏,其促成从源极到漏极的主要电流。这种多余泄漏的一个示例是围绕在SRI转角附近的多硅罩层。通常在STI蚀刻/清洗之后,在用于填充沟槽的氧化物沉积之前生长高温STI氧化物衬垫。在不同HF逆蚀刻期间,沟槽中的不同氧化物以不同速率蚀刻。随后,沉积多硅挤入到氧化物中的过蚀刻空洞内部。围绕在沟槽内部的多硅罩层导致多余泄漏和收得率损失。
因此,需要用于以相同速率蚀刻全部氧化物的装置和方法。
发明内容
本发明一般提供用于选择性去除半导体基片上的多种氧化物的装置和方法。
本发明的一个实施例提供用于以预期去除速率选择性去除基片上氧化物的方法,包括:将基片放置在真空室内,其中基片表面具有包括氧化物的结构,将基片冷却到第一温度,在真空室内产生蚀刻气体混合物的活性物种,其中蚀刻气体混合物包括第一气体和第二气体,并且第一气体和第二气体的比值由预期去除速率确定,为了在结构上形成薄膜将基片表面上的结构暴露于活性物种,加热基片以蒸发在结构上形成的薄膜以及从真空室去除蒸发的薄膜。
本发明的另一个实施例提供用于处理具有包括第一氧化物和第二氧化物的氧化物结构的基片的方法,包括:将基片放置在真空室中,将基片冷却到第一温度,将蚀刻气体混合物引入到真空室中,其中为了以第一速率减少第一氧化物并且以第二速率减少第二氧化物而调整蚀刻气体混合物,在真空室中产生蚀刻气体混合物的等离子体,将氧化物结构暴露于等离子体以在结构上形成薄膜,加热基片以蒸发在氧化物结构上形成的薄膜,以及从真空室去除蒸发的薄膜。
本发明的另一个实施例提供用于处理基片的方法,包括:将基片放置在真空室中,其中基片具有包括第一氧化物和第二氧化物的表面特征,将蚀刻气体混合物引入到真空室中,从蚀刻气体混合物产生活性物质,通过将表面特征暴露于蚀刻气体混合物的等离子体而至少部分地去除第一氧化物,以及通过水法蚀刻工艺减少第二氧化物。
附图说明
出于可能详细理解本发明的上述特征的方式,可能通过参考实施例给出上面概述的本发明的更加特定的描述,在附图中示出了某些实施例。然而,应该指出的是,附图仅示出了本发明的典型实施例,由于本发明可能允许其它等效实施例,因此不能认为附图限制了本发明范围。
图1示意地示出了具有在其中形成的浅槽隔离的基片块的部分透视图;
图2示意地示出了浅槽隔离的部分视图;
图3示意地示出了根据本发明一个实施例的处理室的截面图;
图4A-4I是根据本发明一个实施例的用于形成浅槽隔离的制备工序的示意图;
图5A-5H是用于形成隔离在STI中的电子器件的制备工序的示意图。
具体实施方式
本发明涉及用于在半导体制备中选择性氧化蚀刻的方法和装置。更加明确地,本发明提供用于使用蚀刻气体混合物从基片表面选择性去除和/或均匀去除一种或多种氧化物的方法和装置。
图1示意地示出了具有在其中形成的浅槽隔离的基片块10的部分透视图。所示基片块10仅是部分制备的并且具有在硅基底1中形成的浅槽2。浅槽2以氧化物填充并且设置成隔离在其中构建的电子器件,在该情况中为晶体管。源极3和漏极4通过注入步骤在浅槽2中形成。多晶硅结构(通常称为多晶硅)5在源极3和漏极4之间形成。栅极氧化物层6在硅基底1和多晶硅5之间形成。参考图4和5讨论详细制备工序。
图2示意地示出基片块10沿截线2-2的部分截面图。图2示出多晶硅5与浅槽2相遇的位置。浅槽2由热氧化物层7和沉积氧化物层8形成。通过使用HF的技术湿法蚀刻执行前多晶硅蚀刻/清洗。由于HF以比沉积氧化物层8快的速率蚀刻热氧化物层7,所以在浅槽2中形成间隙9。后续的多晶硅沉积导致多晶硅5填充间隙9并且包裹源极3或漏极4,引起寄生结或泄漏。
图3示意地示出根据本发明一个实施例的处理室100的截面图。在该实施例中,处理室100包括设置在室体112上端的盖组件200和至少部分地设置在室体112中的支架组件300。处理室还包括具有U型截面远程电极的远程等离子体产生器140。室100和相关硬件优选由一种或多种工艺兼容材料形成,例如,铝、阳极化铝、镀镍铝、镀镍铝6061-T6、不锈钢,以及它们的组合和合金。
支架组件300部分地设置在室体112中。通过由风箱(bellow)333封装的轴314提升和降低支架组件300。室体112包括在其侧壁中形成的用于提供进入室100内部的入口的流量阀开口160。选择性开启和关闭流量阀开口160,以便允许晶片传输机器人(未示出)进入室体112内部。晶片传输机器人对于本领域技术人员是众所周知的,并且可以使用任何合适的机器人。在一个实施例中,可以通过流量阀开口160将晶片传送进出处理室100到临近的传输室和/或真空交换室(未示出),或集成装置中的其它室。示范集成装置包括,但不限制在,可从加州的Santa Clara的应用材料有限公司购得的PRODUCERTM、CENTURATM、ENDURATM和ENDURASLTM台。
室体112还包括在其中形成的用于在其中流通热传输流体的通道113。热传输流体可以是加热流体或冷却液,并且用于在处理和晶片传输期间控制室体112的温度。室体112的温度对于防止气体或副产品有害浓缩于室壁上是重要的。示范热传输流体包括水、乙二醇或它们的混合物。示范热传输流体可能还包括氮气。
室体112还包括衬垫133,其围绕支架组件300并且为了维护和清洗是可移动的。衬垫133优选由诸如铝的金属或陶瓷材料制成。然而,可能使用任何工艺兼容材料。为了增加沉积在其上的任何材料的粘附,可能喷砂处理衬垫133,由此防止导致室100的污染的材料剥落。衬垫133通常包括一个或多个孔隙135和在其中形成的与真空系统进行流体交换的泵送通道129。孔隙135提供用于气体进入泵送通道129的流动路径,而泵送通道提供通过衬垫133的流动路径,以便气体可以脱离室100。
真空系统可能包括真空泵125和节流阀127以用于调节室100内气体流动。真空泵125连接到设置在室体112上的真空舱门131,并且与在衬垫133中形成的泵送通道129进行流体交换。为了调节室100内的气体流动,通过节流阀127选择性隔离真空泵125和室体112。可交替地使用术语“气体”和“多种气体”,除非另外指出,并且是指一种或多种前驱气体、反应物、催化剂、载体、净化剂、清洗剂、它们的组合,以及引入到室体112中的任何其它流体。
盖组件200包括堆叠在一起的多个组件。例如,盖组件200包括盖边缘210、气体传送组件220和顶板250。盖边缘210设计成支撑构成盖组件200的组件重量,并且耦接到室体112的上表面,以便提供对内部室组件的通路。气体传送组件220连接到盖边缘210的上表面,并且布置成具有与其的最小热接触。盖组件200的组件优选由具有高热导率和低热阻的材料制成,诸如具有高光滑度表面的铝合金。优选地,组件的热阻小于大约5x10-4m2K/W。
气体传送组件220可包括气体分布板225或喷头。气体供应面板(未示出)通常用于向室100提供一种或多种气体。所用特定气体或多种气体取决于将要在室100内执行的工艺。例如,典型气体包括一种或多种前驱气体、反应物、催化剂、载体、净化剂、清洗剂、或它们的任意混合物或组合。通常,使将引入到室100的一种或多种气体进入到盖组件200并随后通过气体传送组件220进入室体112。电控阀门和/或流动控制机构(未示出)可用于控制从气体源到室100中的气体流动。
在一个方案中,在气体线路分成如上所述地将气体馈送到室体112的两个分离气体线路的位置,将气体从气体供应仪表盘传送到室100。取决于工艺,可以以该方式传送多种气体,并且可在室100中或在将它们传送到室100之前混合它们。
仍然参考图3,盖组件200可能还包括用于在盖组件200内产生反应物种的等离子体的电极240。在该实施例中,将电极240支撑在顶板250上并与其电隔离。将隔离体填料环设置在电极240的底部附近,将电极240从顶板250分开。将环形隔离体(未示出)设置在隔离体填料环的上部附近并保持在顶板250上表面上,如图3所示。由此将环形隔离体(未示出)设置在电极240的上部附近,以便使电极240与盖组件200的其它组件电隔离。这些环中的每一个,隔离体填料和环形隔离体可以由氧化铝或任何其它绝缘的工艺兼容材料制成。
电极240耦接到电源340,同时气体传送组件220接地。因此,在电极240和气体传送组件220之间形成的空间内引燃一种或多种工艺气体的等离子体。等离子体还可包含在由区隔板形成的空间中。在缺少区隔板组件的情况中,将等离子体引燃并包含在电极240和气体传送组件220之间。在每个实施例中,将等离子体充分限制或包含在在盖组件200内。
可使用能够将气体活化成反应物种并保持反应物种的等离子体的任何电源。例如,可使用射频(RF)、直流电流(DC)、交流电流(AC)或微波(MW)基功率放电技术。还可由热基技术、气体击穿技术、高强度光源(例如UV能量)、或暴露于x-射线源产生活化。替代地,可使用诸如远程等离子体产生器的远程活化源,以产生随后传送到室100内的反应物种的等离子体。示范远程等离子体产生器可由诸如MKS Instruments公司和Advanced EnergyIndustries公司的制造商提供。优选地,RF电源耦接到电极240。
取决于工艺气体和将要在室100内执行的操作,可加热气体传送组件220。在一个实施例中,诸如例如电阻加热器的加热元件270耦接到气体传送组件220。在一个实施例中,加热元件270是管状构件并且将其压入到气体传送组件220的上表面。气体传送组件220上表面包括具有略小于加热元件270的外部直径的宽度的沟槽或隐埋通道,以便使用干涉配合将加热元件270保持在沟槽中。
由于包括气体传送组件220和区隔组件230的传送组件220的每个组件是彼此导电耦接的,加热元件270调节气体传送增加220的温度。可以在2005年2月22日提交的美国专利申请No.11/063,645中获得处理室的额外细节,这里将其作为参考文献。
对于执行需要不破坏真空而加热和冷却基片表面的等离子体辅助干法蚀刻工艺,处理室100是特别有用的。在一个实施例中,处理室100可用于选择性去除基片上的一种或多种氧化物。
为了描述的简化和方便,现在将描述在处理室100中执行的使用氨气(NH3)和三氟化氮(NF3)气体混合物的用于去除一种或多种硅氧化物的示范干法蚀刻工艺。发明人相信,对于从除全部在单一处理气氛内的基片加热和冷却之外的等离子体处理受益的任何干法蚀刻工艺,包括退火工艺,处理室100是有利的。
参考图3,干法蚀刻工艺开始于将诸如例如半导体基片的基片110放入到处理室100中。通常通过流量阀开口160将基片放入到室体112中并布设置在支架构件310的上表面上。可将基片110夹持到支架构件310的上表面。优选地,通过抽取真空将基片110夹持到支架构件310的上表面。随后,如果其还没有处于处理位置,将支架构件310升降至室体112内的加工位置。优选将室体112保持在50℃和80℃之间的温度,更加优选地,在大约65℃。通过使热传送介质流过通道113而保持室体112的该温度。
通过将热传送介质或冷却剂流过在支架组件300中形成的通道,将基片110冷却到65℃之下,诸如在15℃和50℃之间。在一个实施例中,将基片保持在室温以下。在另一实施例中,将基片保持在22℃和40℃之间的温度。通常,为了达到上述指定的预期基片温度,将支架构件310保持在大约22℃之下。为了冷却支架构件310,使冷却剂流过在支架组件300中形成的流体通道。为了更好地控制支架构件310的温度,优选使用冷却剂的连续流。冷却剂优选是50%体积分数的乙二醇和50%体积分数的水。当然,只要保持基片的预期温度,可以使用水和乙二醇的任何比值。
为了选择性去除基片110表面上的多种氧化物,将蚀刻气体混合物引入到室100。在一个实施例中,为了形成蚀刻气体混合物,随后将氨气和三氟化氮气体引入到室100中。引入到室中的每种气体的量是可变的并且可能进行调整,以便适应,例如,将要去除的氧化物层厚度、将要清洗的基片几何形状、等离子体的体积容量、室体112的体积容量、以及耦接到室体112的真空系统的能力。
为了选择性去除基片表面上的多种氧化物,可预先确定蚀刻气体混合物的比值。在一个实施例中,为了均匀去除诸如热氧化物、沉积氧化物和/或本征氧化物的多种氧化物,可调节蚀刻气体混合物中的组分比值。在一个实施例中,为了均匀去除多种氧化物,可调节蚀刻气体混合物中的氨气与三氟化氮的摩尔比值。在一个方案中,为了提供具有氨气与三氟化氮的至少1∶1摩尔比值,添加气体。在另一个方案中,气体混合物的摩尔比值至少是大约3∶1(氨气比三氟化氮)。优选地,将在从5∶1(氨气比三氟化氮)到30∶1之间的摩尔比值下的气体引入到室100中。更优选地,气体混合物的摩尔比值是大约5∶1(氨气比三氟化氮)到大约10∶1。气体混合物的摩尔比值还可在大约10∶1(氨气比三氟化氮)和大约20∶1之间。
还可将净化气体或载气添加到蚀刻气体混合物。可能使用任何合适净化/载体气体,诸如例如,氩气、氦气、氢气或它们的混合物。通常,全部蚀刻气体混合物是从大约0.05%到大约20%体积分数的氨气和三氟化氮。其余是载体气体。在一个实施例中,为了稳定室体112内的压力,在反应气体之前首先将净化或载体气体引入到室体112中。
室体112中的操作压力可以是可变的。通常,将压力保持在大约500mTorr和大约30Torr之间。优选地,将压力保持在大约1Torr和大约10Torr之间。更加优选地,将室体112中的操作压力保持在大约3Torr和大约6Torr之间。
为了在气体传送组件220中包含的空间261、262和263内引燃气体混合物的等离子体,将从大约5到大约600瓦的RF功率施加到电极240。优选地,RF功率小于100瓦。更加优选地,施加功率的频率是非常低的,诸如小于100kHz。优选地,频率范围在从大约50kHz到大约90kHz的范围中。
等离子体能量将氨气和三氟化氮分解为将联合形成气相的高反应性氟化铵(NH4F)化合物和/或氢氟化铵(NH4F·HF)化合物的反应物种。这些分子随后通过气体分布板225的开口225A流过气体传送组件220,以便与将要处理的基片表面反应。在一个实施例中,首先将载体气体引入到室100中,产生载体气体的等离子体,并且随后将反应气体,即氨气和三氟化氮添加到等离子体。
不希望受理论限制,发明人相信,蚀刻气体,NH4F和/或NH4F·HF与氧化硅表面反应,以便形成六氟硅酸铵(NH4)2SiF6、NH3和H2O产物。在处理条件下NH3和H2O是蒸汽,通过真空泵125将它们从室100去除。特别地,在气体通过真空舱门131脱离室100进入真空泵125之前,挥发性气体流过在衬垫133中形成的孔隙135进入泵送通道129。在基片表面留下(NH4)2SiF6薄膜。可以如下概述该反应机制:
NF3+3NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2→(NH4)2SiF6+2H2O+4NH3
(NH4)2SiF6+热量→2NH3+2HF+SiF4
在基片表面上形成薄膜之后,可将支架构件310提升到紧靠加热的气体分布板225的退火位置。从气体分布板225辐射的热量可使(NH4)2SiF6薄膜分裂或升华为挥发性SiF4、NH3和HF产物。如上所述,可随后通过真空泵125将这些挥发性产物从室100去除。通常,将75℃或更高的温度用于从基片110有效升华和去除薄膜。优选地,使用100℃或更高的温度,诸如在大约115℃和大约200℃之间。
通过气体分布板225对流传送或辐射用于将(NH4)2SiF6薄膜分裂成其挥发性组分的热能。如上所述,将加热元件270直接耦接到分布板225,并且使其工作,以便将分布板225及与其热接触的组件加热到在大约75℃和250℃之间的温度。在一个方案中,将分布板225加热到在100℃和150℃之间的温度,诸如大约120℃。
可以多种方式实现该提升改变。例如,升降机构330可以朝向分布板225的下表面提升支架构件310。在该提升步骤期间,将基片110固定到支架构件310,诸如通过如上所述的真空夹持或电夹持。替代地,可以通过经由升降环320升高升降杆325,将基片110升降脱离支架构件310并且放置在紧靠加热的分布板225的位置。
在其上具有的薄膜的基片110上表面和分布板225之间的距离不是决定性的,而是例行实验的结果。本领域技术人员可以容易地确定不损伤底层基片而快速且有效地蒸发薄膜所需的间隔。然而,发明人相信,在大约0.254mm(10微英寸)和5.08mm(200微英寸)之间的间隔是有效的。
一旦已经将薄膜从基片上去除,清洗并排空处理室100。随后通过将基片支架300降低到传输位置、松持基片、以及通过流量阀开口160传输基片,将处理的基片移出室体112。
本发明的一个实施例可用于在浅槽隔离制备期间均匀去除多种氧化物。STI是用于亚0.25微米制备的器件隔离技术的初始形式。STI制备一般包括沟槽掩模和蚀刻、侧壁氧化、沟槽填充和平整化。图4A-4I是根据本发明实施例的用于形成浅槽隔离的制备工序的截面示意图。
图4A示出在形成阻挡氧化物层402和沉积氮化物层403之后的半导体基片401。基片401可能是具有<100>晶体学取向且直径为150mm(6英寸)、200mm(8英寸)、或300mm(12英寸)的硅基片。可在高温氧化炉中在基片401上生长阻挡氧化物层402。阻挡氧化物层402可具有大约的厚度。在后续的氮化物剥离步骤期间,阻挡氧化物层402保护基片401免受污染。可在高温低压化学气相沉积(LPCVD)炉中形成氮化物层403。氮化物层403一般是由氨气和二氯甲硅烷气体反应形成的氮化硅(Si3N4)的薄膜。氮化物层403是耐用的掩模材料,其在氧化物沉积期间保护基片401并且在后续化学机械抛光(CMP)期间作为抛光截止材料。
图4B示出在氮化物层403之上形成、曝光和显影的光致抗蚀剂层404。可在光致抗蚀剂层404上形成沟槽图案。后续氮化物蚀刻和氧化物蚀刻步骤在氮化物层403和阻挡层402中形成暴露基片401中指定为隔离区域的位置的沟槽图案405。
图4C示出使用诸如干法等离子体蚀刻的蚀刻工艺在基片401中形成浅槽406。随浅槽406后将以介电材料填充并且其作为在基片401上构建的诸如金在基片场效应晶体管(MOSFET)上的金属的电子器件之间的隔离材料。
图4D示出在浅槽406内部形成的衬垫氧化物层407。通常在高温氧化炉中生长衬垫氧化物层407。衬垫氧化物层407的目的是改进基片401和将要填充的沟槽氧化物之间的界面。
图4E示出在浅槽406内部的衬垫氧化物层407之上形成的氮化物衬垫408。可通过等离子体增强化学气相沉积(PECVD)工艺从在诸如氮气或氩气的载体气体中的硅烷和氨气形成氮化物衬垫408。氮化物衬垫408的目的是在浅槽406中导致应力并防止由受力氧化物引起的机械失效。
图4F示出填充在浅槽406和沟槽图案405内部的沟槽氧化物409。通常通过CVD工艺以相对高的沉积速率形成沟槽氧化物409。沟槽氧化物409是过填充的,以便沟槽氧化物409在基片401顶表面之上。
为了获得如图4G所示的平坦表面,可应用CMP工艺。CMP工艺从沟槽氧化物409去除过量的氧化物。
为了去除氮化物层402及暴露多种氧化物、阻挡层402的热氧化物、沟槽氧化物409的沉积氧化物、衬垫氧化物层407的热氧化物和氮化物衬垫408的氮化氧化物,可执行氮化物剥离步骤,如图4H所示。
通常,将执行氧化物蚀刻步骤,以便使浅槽结构准备用于后续处理步骤,例如多个阱注入。图4I示出在干法蚀刻工艺之后的STI。本发明的干法蚀刻工艺可用于蚀刻图4H中暴露的多种氧化物,以便在浅槽409之上获得基本平坦的顶表面并防止不期望的结或泄漏。在一个实施例中,可在与本发明的处理室100相似的处理室中执行干法蚀刻工艺。可将基片400放置在真空处理室内并将其保持在50℃和80℃之间的温度,更加优选的是大约65℃。随后将基片冷却到65℃以下,诸如在15℃和50℃之间。为了去除基片400表面上的多种氧化物,将蚀刻气体混合物引入到处理室100。在一个实施例中,将包含氨气和三氟化氮气体的蚀刻气体混合物引入到处理室中。为了适应,例如,将要去除的氧化物层的厚度、基片400的几何结构、等离子体的体积容量、室的体积容量、真空系统的能力、以及基片400上不同氧化物的性能,调整氨气和三氟化氮的量和比值。还可将净化气体或载体气体添加到蚀刻气体混合物。随后引燃蚀刻气体混合物的等离子体。等离子体与氧化物反应,在基片400上留下一层薄膜。随后将基片400加热到高于75℃的温度,特别是在大约115℃和大约200℃之间的温度,以便升华薄膜。可以随后净化和排空处理室。由此使基片400准备用于后续步骤。
上述蚀刻工艺可用于半导体制备期间的多种蚀刻步骤,特别是在将要至少部分去除一种或多种氧化物的步骤中。例如,注入和沉积之前的多种回蚀可能使用上述蚀刻工艺。
图5A-5H是用于形成诸如MOSFET结构500的电子器件的制备工序的截面示意图,包括这里描述的干法蚀刻工艺和处理室100。
参考图5A-5H,可在例如硅或砷化锗基片525的半导体材料上形成示范MOSFET。优选地,基片525是具有<100>晶体学取向且直径为150mm(6英寸)、200mm(8英寸)、或300mm(12英寸)的硅晶片。通常,MOSFET结构包括以下方面的组合:(i)介电层,诸如二氧化硅、有机硅、碳掺杂氧化硅、磷硅酸玻璃(PSG)、硼磷硅酸玻璃(BPSG)、氮化硅、或它们的组合;(ii)半导体层,诸如掺杂多晶硅、n-型或p-型掺杂单晶硅;以及(iii)从诸如钨、硅化钨、钛、硅化钛、硅化钴、硅化镍、或它们的组合的金属层或金属硅化物层形成的电触点和互联线路。
参考图5A,有源电子器件的制备开始于形成使有源电器件与其它器件电隔离的电隔离结构。存在几种类型的电隔离结构,诸如场氧化物阻挡、或浅槽隔离。在该情况中,浅槽隔离545A和545B是围绕在其中形成并准备器件的电有源元件的暴露区域的。STI可包括如图4A-I所述的两种或多种氧化物。为了形成具有从大约50到300埃的厚度的薄栅极氧化物层550,热氧化暴露区域。随后沉积、构图并蚀刻多硅层,以便形成栅极电极555。为了形成绝缘介电层560,可以再氧化多晶硅栅极电极555的表面,以提供图5A所示结构。
参考图5B,接下来通过以适当掺杂剂原子掺杂合适区域形成源极570A和漏极570B。例如,对于p-型基片525,使用包含砷或磷的n-型掺杂剂物种。通常,掺杂通过离子注入器执行,并且可包括,例如,浓度为大约1013原子/cm2且能级从大约30到80Kev的磷(31P)、或剂量从大约1015到1017原子/cm2且能级从大约10到100Kev的砷(75As)。在注入工艺之后,通过加热基片,例如,在快速热处理(RTP)装置中,促使掺杂剂进入基片525。此后,通过如上所述的干法蚀刻工艺剥离覆盖源极570A和漏极570B区域的薄栅极氧化物层550,以便去除由注入工艺导致的薄栅极氧化物层550中捕获的任何杂质。还可蚀刻浅槽隔离545A和545B中的两种或多种氧化物。为了适应不同氧化物所需的多种蚀刻速率,可调节蚀刻气体混合物。
参考图5C和图5D,通过低压化学气相沉积(LPCVD)使用SiH2、Cl2和NH3的气体混合物在栅极电极555上和基片525表面上沉积氮化硅层575。如图5D所示,为了在栅极电极侧壁上形成氮化物间隔垫580,随后使用反应离子蚀刻(RIE)技术蚀刻氮化硅层575。间隔垫580将栅极电极555顶表面上形成的硅化物层与源极570A和漏极570B之上沉积的其它硅化物层电隔离。应该注意的是,可以由诸如氧化硅的其它材料制备电隔离侧壁间隔垫580。通常通过CVD或PECVD从硅酸乙酯(TEOS)的原料气在从大约600℃到大约1,000℃的范围内的温度下沉积用于形成侧壁间隔垫580的氧化硅层。虽然,示出的是在注入和RTP活化之后形成间隔垫580,但是可在源极/漏极注入和RTP活化之前形成间隔垫580。
参考图5E,通常通过在工艺之前和之后暴露于气氛,在暴露的硅表面形成本征氧化硅层585。为了改进所形成的金属硅化物的合金化反应和电导率,必须在形成栅极电极555、源极570A和漏极570B上的导电金属硅化物触点之前去除本征氧化硅层585。本征氧化硅层585可以增加半导体材料的电阻,并且恶化相继沉积的硅和金属层的硅化反应。因此,必须在形成用于互联有源电子器件的金属硅化物触点或导体之前使用所述干法蚀刻工艺去除该本征氧化硅层585。上述干法蚀刻工艺可用于去除本征氧化硅层585,以便暴露源极570A、漏极570B和栅极电极555的顶表面,如图5F所示。浅槽隔离545A和545B中的氧化物同样暴露于干法蚀刻工艺。为了在不同表面获得均匀去除速率,可对干法蚀刻工艺进行适当调整,诸如反应气体比值。
此后,如图5G所示,为了沉积金属层590,使用物理气相沉积(PVD)或溅射工艺。随后,为了在金属层590与硅接触的区域中形成金属硅化物,使用传统炉内退火来退火金属和硅层。通常在分离处理系统中执行退火。因此,可能在金属590之上沉积保护盖层(未示出)。盖层通常是氮化物材料并且可能包括从由氮化钛、氮化钨、氮化钽、氮化镍和氮化硅组成的组中选择的一种或多种材料。盖层可能由任何沉积工艺沉积,优选由PVD沉积。
退火通常包括在氮气气氛中将MOSFET结构500加热到在600℃和800℃之间的温度保持大约30分钟。替代地,可以使用将MOSFET结构500快速加热到大约1000℃保持大约30秒的快速热退火工艺形成金属硅化物595。合适的导电金属包括钴、钛、镍、钨、铂和具有低接触电阻且可以在多硅和单晶硅上形成可靠金属硅化物触点的任何其它金属。
可以通过使用不侵袭金属硅化物595、隔板580或场氧化物545A、B而去除金属的王水(HCl和HNO3)的湿法蚀刻去除金属层590的未反应部分,由此在栅极电极555、源极570A和漏极570B上留下自准直金属硅化物595,如图5H所示。此后,可以在电极结构上沉积包括例如氧化硅、BPSG或PSG的绝缘罩层。可以通过在CVD室中的化学气相沉积沉积绝缘罩层,在该CVD室中从低压或常压的原料气浓缩材料,例如,如1996年3月19日授权的共同受让美国专利No.5,500,249所描述的,这里将其作为参考文献。此后,为了形成光滑平坦表面,在玻璃转变温度退火MOSFET结构500。
虽然已经针对MOSFET器件的形成描述了上述处理工序,这里描述的干法蚀刻工艺还可以用于形成需要去除多种氧化物的其它半导体结构和器件。还可以在沉积包括例如铝、铜、钴、镍、硅、钛、钯、铪、硼、钨、钽或它们的组合的不同金属层的沉积之间使用干法蚀刻工艺。
在一个实施例中,本发明的干法蚀刻工艺可能与湿法蚀刻工艺相结合。例如,对于具有至少两种氧化物的氧化物结构,干法蚀刻工艺可能用于选择性去除第一氧化物、相对第二氧化物完全地或部分地减少第一氧化物特征。湿法HF蚀刻工艺可能随后用于去除第二氧化物。
为了提供前述描述的更好理解,给出下列非限制性示例。虽然示例可能导向特定实施例,不能认为示例在任何特定方面限制了本发明。
示例:
在蚀刻期间,将2sccm的NF3、10sccm的NH3、和2,500sccm的氩气的气体混合物引入到诸如加工室100的真空室内。使用100瓦的功率引燃气体混合物的等离子体。底部净化剂是1,500sccm的氩气而边部净化剂是50sccm的氩气。室压保持在大约6Torr,基片温度为大约22℃。将基片蚀刻120秒。
除非另外指出,在说明书和权利要求中使用的表示成分质量、性能、反应条件等等的全部数字应该理解为近似值。这些近似值是基于力图由本发明获得的预期性能和测量误差的,并且至少应该考虑报道的有效数字位数并应用常规舍入技术来分析。另外,可以进一步优化这里表示的任何量,包括温度、压力、物种、摩尔比值、流速等等,以便获得预期的蚀刻选择性和颗粒性能。
虽然前面描述定向于本发明的实施例,在不偏离其基本范围条件下可能设计出本发明的其它和额外实施例,因此其范围由权利要求所确定。
Claims (21)
1.一种用于处理基片的方法,包括:
将所述基片放置在真空室中,其中所述基片表面具有包括第一硅氧化物的结构;
将所述基片冷却到第一温度;
在所述真空室内引燃蚀刻气体混合物的等离子体以产生活性物种,其中所述蚀刻气体混合物包括氨气和三氟化氮,并且所述氨气和三氟化氮的摩尔比值至少是3∶1;
将所述基片表面上的第一硅氧化物与所述活性物种反应以在所述结构上形成薄膜;
加热所述基片以蒸发在所述结构上形成的所述薄膜;以及
从所述真空室去除所述蒸发的薄膜。
2.如权利要求1所述的方法,其特征在于,所述活性物种包括氮和氟原子。
3.如权利要求1所述的方法,其特征在于,所述结构包括第二硅氧化物,并且所述第二硅氧化物是由不同于第一硅氧化物的方法形成的。
4.如权利要求3所述的方法,其特征在于,所述蚀刻气体混合物以第一去除速率去除第一硅氧化物和以第二去除速率去除第二硅氧化物。
5.如权利要求1所述的方法,其特征在于,氨气与三氟化氮的比值在10∶1到20∶1之间。
6.如权利要求1所述的方法,其特征在于,所述蚀刻气体混合物还包括载体气体,和所述蚀刻气体混合物包括从0.05%到20%体积分数的氨气和三氟化氮。
7.如权利要求1所述的方法,其特征在于,还包括在产生所述活性物种之前将载体气体引入到所述真空室。
8.如权利要求1所述的方法,其特征在于,所述第一温度介于15℃到65℃之间。
9.如权利要求1所述的方法,其特征在于,加热所述基片以蒸发所述薄膜包括加热所述基片至从75℃到200℃的第二温度。
10.如权利要求1所述的方法,其特征在于,所述氨气和三氟化氮的摩尔比值介于3∶1和10∶1之间。
11.如权利要求1所述的方法,其特征在于,所述氨气和三氟化氮的摩尔比值介于5∶1和30∶1之间。
12.一种用于处理基片的方法,包括:
将所述基片放置在真空室中,其中所述基片包括第一硅氧化物层和第二硅氧化物层,所述第一硅氧化物层内衬一浅槽,所述第二硅氧化物层填充所述浅槽;以及
通过一工艺选择性地去除所述第一硅氧化物和第二硅氧化物以得到一覆在所述浅槽上的平坦表面,所述工艺包括:
将所述基片冷却到第一温度;
将蚀刻气体混合物引入到所述真空室中,其中为了以第一速率减少第一硅氧化物以及以第二速率减少第二硅氧化物,调节所述蚀刻气体混合物,所述蚀刻气体混合物包括氨气和三氟化氮,并且所述氨气和三氟化氮的摩尔比值至少是3∶1;
在所述真空室内产生所述蚀刻气体混合物的等离子体;
将所述第一硅氧化物和第二硅氧化物暴露于所述等离子体以形成一含氮和氟的薄膜;
加热基片以蒸发所述含氮和氟的薄膜;以及
从所述真空室去除所述蒸发的含氮和氟的薄膜。
13.如权利要求12所述的方法,其特征在于,所述第一硅氧化物是热生长氧化物,以及所述第二硅氧化物是通过化学气相沉积的沉积氧化物。
14.如权利要求12所述的方法,其特征在于,所述基片还包括本征氧化物。
15.如权利要求12所述的方法,其特征在于,所述第一速率等于所述第二速率。
16.如权利要求12所述的方法,其特征在于,所述第一温度介于15℃到65℃之间。
17.如权利要求12所述的方法,其特征在于,加热所述基片以蒸发所述含氮和氟的薄膜包括加热所述基片至从75℃到200℃的第二温度。
18.如权利要求12所述的方法,其特征在于,所述引入蚀刻气体混合物包括根据第一硅氧化物和第二硅氧化物的性质调整氨气和三氟化氮的比值。
19.一种用于处理基片的方法,包括:
将所述基片放置在真空室中,其中所述基片具有包括第一硅氧化物和第二硅氧化物的表面特征;
将蚀刻气体混合物引入到所述真空室中,其中所述蚀刻气体混合物包括氨气和三氟化氮,并且所述氨气和三氟化氮的摩尔比值至少是3∶1;
从所述蚀刻气体混合物产生活性物种;
通过将所述表面特征暴露于所述蚀刻气体混合物的等离子体而至少部分地减少所述第一硅氧化物;以及
通过湿法蚀刻工艺而减少所述第二硅氧化物。
20.如权利要求19所述的方法,其特征在于,还包括调节氨气和三氟化氮的摩尔比值以选择性去除所述第一硅氧化物。
21.如权利要求19所述的方法,其特征在于,减少所述第二硅氧化物包括提供稀释氢氟酸溶液。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/622,437 | 2007-01-11 | ||
US11/622,437 US20070123051A1 (en) | 2004-02-26 | 2007-01-11 | Oxide etch with nh4-nf3 chemistry |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101231951A CN101231951A (zh) | 2008-07-30 |
CN101231951B true CN101231951B (zh) | 2010-09-08 |
Family
ID=39357957
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008100007537A Active CN101231951B (zh) | 2007-01-11 | 2008-01-11 | 利用nh3-nf3化学物质的氧化蚀刻 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20070123051A1 (zh) |
EP (1) | EP1944796A3 (zh) |
JP (1) | JP4995102B2 (zh) |
KR (1) | KR100931765B1 (zh) |
CN (1) | CN101231951B (zh) |
TW (2) | TWI402914B (zh) |
Families Citing this family (205)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20100151677A1 (en) * | 2007-04-12 | 2010-06-17 | Freescale Semiconductor, Inc. | Etch method in the manufacture of a semiconductor device |
US7867900B2 (en) * | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
US7989329B2 (en) * | 2007-12-21 | 2011-08-02 | Applied Materials, Inc. | Removal of surface dopants from a substrate |
US20090191703A1 (en) * | 2008-01-29 | 2009-07-30 | Applied Materials, Inc. | Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process |
US20100099263A1 (en) * | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US7994002B2 (en) | 2008-11-24 | 2011-08-09 | Applied Materials, Inc. | Method and apparatus for trench and via profile modification |
CN101740338B (zh) * | 2008-11-24 | 2012-07-18 | 中芯国际集成电路制造(北京)有限公司 | 薄膜去除方法 |
US9159808B2 (en) | 2009-01-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective etch-back process for semiconductor devices |
US8975753B2 (en) | 2009-04-03 | 2015-03-10 | Research Triangle Institute | Three dimensional interconnect structure and method thereof |
US7972966B2 (en) * | 2009-05-19 | 2011-07-05 | International Business Machines Corporation | Etching of tungsten selective to titanium nitride |
KR101040941B1 (ko) * | 2009-08-17 | 2011-06-16 | 주성엔지니어링(주) | 기판처리장치 및 방법 |
CN101996901B (zh) * | 2009-08-26 | 2013-01-30 | 中芯国际集成电路制造(上海)有限公司 | 铝垫的制作方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
IT1401385B1 (it) * | 2010-08-06 | 2013-07-18 | Toncelli | Pressa per la vibrocompressione sottovuoto di lastre o blocchi o manufatti di materiale agglomerato o ceramico. |
US8741778B2 (en) * | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8912096B2 (en) * | 2011-04-28 | 2014-12-16 | Applied Materials, Inc. | Methods for precleaning a substrate prior to metal silicide fabrication process |
JP2013048127A (ja) * | 2011-07-26 | 2013-03-07 | Applied Materials Inc | アッシュ後の側壁の回復 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
CN102931130A (zh) * | 2011-08-11 | 2013-02-13 | 应用材料公司 | 灰化后侧壁修复 |
FR2979166A1 (fr) * | 2011-08-16 | 2013-02-22 | St Microelectronics Crolles 2 | Procede de fabrication d'un transistor mos |
TWI492298B (zh) * | 2011-08-26 | 2015-07-11 | Applied Materials Inc | 雙重圖案化蝕刻製程 |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
CN103137467A (zh) * | 2011-11-24 | 2013-06-05 | 联华电子股份有限公司 | 移除氧化层的半导体制作工艺 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
TWI604528B (zh) | 2012-10-02 | 2017-11-01 | 應用材料股份有限公司 | 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 |
US9177780B2 (en) | 2012-10-02 | 2015-11-03 | Applied Materials, Inc. | Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition |
US8980761B2 (en) | 2012-10-03 | 2015-03-17 | Applied Materials, Inc. | Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment |
TWI591712B (zh) * | 2012-10-03 | 2017-07-11 | 應用材料股份有限公司 | 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9093389B2 (en) * | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9543163B2 (en) | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9472416B2 (en) | 2013-10-21 | 2016-10-18 | Applied Materials, Inc. | Methods of surface interface engineering |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
CN103646874A (zh) * | 2013-11-29 | 2014-03-19 | 上海华力微电子有限公司 | 二氧化硅sab的去除方法 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US11164753B2 (en) | 2014-01-13 | 2021-11-02 | Applied Materials, Inc. | Self-aligned double patterning with spatial atomic layer deposition |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9299577B2 (en) * | 2014-01-24 | 2016-03-29 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer in a dual damascene structure |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9508561B2 (en) | 2014-03-11 | 2016-11-29 | Applied Materials, Inc. | Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications |
US9472453B2 (en) * | 2014-03-13 | 2016-10-18 | Qualcomm Incorporated | Systems and methods of forming a reduced capacitance device |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN103928319A (zh) * | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | 锗硅外延生长方法 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP6435667B2 (ja) * | 2014-07-01 | 2018-12-12 | 東京エレクトロン株式会社 | エッチング方法、エッチング装置及び記憶媒体 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9240315B1 (en) | 2014-10-10 | 2016-01-19 | Applied Materials, Inc. | CVD oxide surface pre-conditioning by inductively coupled O2 plasma |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9793104B2 (en) | 2015-01-29 | 2017-10-17 | Aixtron Se | Preparing a semiconductor surface for epitaxial deposition |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9929305B2 (en) | 2015-04-28 | 2018-03-27 | International Business Machines Corporation | Surface treatment for photovoltaic device |
US9595452B2 (en) | 2015-05-27 | 2017-03-14 | Lam Research Corporation | Residue free oxide etch |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10008366B2 (en) | 2015-09-08 | 2018-06-26 | Applied Materials, Inc. | Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
CN107799591B (zh) | 2016-08-31 | 2020-06-09 | 中芯国际集成电路制造(上海)有限公司 | Ldmos及其形成方法 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
CN107919298B (zh) | 2016-10-08 | 2021-01-29 | 北京北方华创微电子装备有限公司 | 气相刻蚀装置及设备 |
US11107699B2 (en) | 2016-10-08 | 2021-08-31 | Beijing Naura Microelectronics Equipment Co., Ltd. | Semiconductor manufacturing process |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10141189B2 (en) * | 2016-12-29 | 2018-11-27 | Asm Ip Holding B.V. | Methods for forming semiconductors by diffusion |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) * | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN107611007A (zh) * | 2017-08-24 | 2018-01-19 | 长江存储科技有限责任公司 | 一种深沟槽的预清洗方法及3d nand制备工艺 |
US10269936B2 (en) * | 2017-08-31 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP6700571B1 (ja) * | 2018-09-13 | 2020-05-27 | セントラル硝子株式会社 | シリコン酸化物のエッチング方法及びエッチング装置 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210035449A (ko) * | 2019-09-24 | 2021-04-01 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
WO2021150625A1 (en) * | 2020-01-23 | 2021-07-29 | Applied Materials, Inc. | Method of cleaning a structure and method of depositiing a capping layer in a structure |
KR20220028445A (ko) * | 2020-08-28 | 2022-03-08 | 삼성전자주식회사 | 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 |
US11232947B1 (en) * | 2020-09-01 | 2022-01-25 | Taiwan Semiconductor Manufacturing Company Limited | Ammonium fluoride pre-clean protection |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6372657B1 (en) * | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
CN1822328A (zh) * | 2005-02-18 | 2006-08-23 | 东京毅力科创株式会社 | 立式分批处理装置 |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4807016A (en) * | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
JPS6396937A (ja) * | 1986-10-13 | 1988-04-27 | Matsushita Electric Ind Co Ltd | 半導体装置およびその製造方法 |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
DE3884653T2 (de) * | 1987-04-03 | 1994-02-03 | Fujitsu Ltd | Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant. |
US5030319A (en) * | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
US5578130A (en) * | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
JP3018517B2 (ja) * | 1991-01-25 | 2000-03-13 | ソニー株式会社 | ドライエッチング方法 |
JPH05160085A (ja) * | 1991-12-11 | 1993-06-25 | Fujitsu Ltd | 半導体装置の製造方法 |
US5282925A (en) * | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5505816A (en) * | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
US6979632B1 (en) * | 1995-07-13 | 2005-12-27 | Semiconductor Energy Laboratory Co., Ltd. | Fabrication method for thin-film semiconductor |
US5719085A (en) * | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5712185A (en) * | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6048798A (en) * | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US6197116B1 (en) * | 1996-08-29 | 2001-03-06 | Fujitsu Limited | Plasma processing system |
US5846375A (en) * | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
TW304293B (en) * | 1996-11-18 | 1997-05-01 | United Microelectronics Corp | Manufacturing method for shallow trench isolation |
US5766971A (en) * | 1996-12-13 | 1998-06-16 | International Business Machines Corporation | Oxide strip that improves planarity |
US6706334B1 (en) * | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US6063712A (en) * | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US6635185B2 (en) * | 1997-12-31 | 2003-10-21 | Alliedsignal Inc. | Method of etching and cleaning using fluorinated carbonyl compounds |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
JP3178412B2 (ja) * | 1998-04-27 | 2001-06-18 | 日本電気株式会社 | トレンチ・アイソレーション構造の形成方法 |
US6004863A (en) * | 1998-05-06 | 1999-12-21 | Taiwan Semiconductor Manufacturing Company | Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer |
US6153523A (en) * | 1998-12-09 | 2000-11-28 | Advanced Micro Devices, Inc. | Method of forming high density capping layers for copper interconnects with improved adhesion |
US6399506B2 (en) * | 1999-04-07 | 2002-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for planarizing an oxide layer |
US6559026B1 (en) * | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
US6271147B1 (en) * | 2000-08-18 | 2001-08-07 | Vanguard International Semiconductor Corporation | Methods of forming trench isolation regions using spin-on material |
US6448537B1 (en) * | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US6670278B2 (en) * | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
US6506291B2 (en) * | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
US7138649B2 (en) * | 2001-08-09 | 2006-11-21 | Amberwave Systems Corporation | Dual-channel CMOS transistors with differentially strained channels |
US7256370B2 (en) * | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
JP2003282530A (ja) * | 2002-03-26 | 2003-10-03 | Hitachi Kokusai Electric Inc | 基板処理装置、及び半導体装置の製造方法 |
US6500728B1 (en) * | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US20040072446A1 (en) * | 2002-07-02 | 2004-04-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) * | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
CN101457338B (zh) | 2003-02-14 | 2011-04-27 | 应用材料股份有限公司 | 利用含氢自由基清洁自生氧化物的方法和设备 |
KR100728173B1 (ko) * | 2003-03-07 | 2007-06-13 | 앰버웨이브 시스템즈 코포레이션 | 쉘로우 트렌치 분리법 |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7030034B2 (en) * | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US7049200B2 (en) * | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
KR20070087196A (ko) * | 2004-12-21 | 2007-08-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법 |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
WO2006102318A2 (en) * | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Electroless deposition process on a contact containing silicon or silicide |
US20070087573A1 (en) * | 2005-10-19 | 2007-04-19 | Yi-Yiing Chiang | Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer |
US7416989B1 (en) * | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
-
2007
- 2007-01-11 US US11/622,437 patent/US20070123051A1/en not_active Abandoned
-
2008
- 2008-01-09 EP EP08150111A patent/EP1944796A3/en not_active Withdrawn
- 2008-01-10 TW TW097101059A patent/TWI402914B/zh active
- 2008-01-10 TW TW102123037A patent/TWI520216B/zh active
- 2008-01-10 JP JP2008003607A patent/JP4995102B2/ja active Active
- 2008-01-11 KR KR1020080003509A patent/KR100931765B1/ko active IP Right Grant
- 2008-01-11 CN CN2008100007537A patent/CN101231951B/zh active Active
-
2009
- 2009-12-18 US US12/642,268 patent/US7955510B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6372657B1 (en) * | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
CN1822328A (zh) * | 2005-02-18 | 2006-08-23 | 东京毅力科创株式会社 | 立式分批处理装置 |
Non-Patent Citations (1)
Title |
---|
Hiroki Ogawa et al..Dry Cleaning Technology for Removal of Silicon NativeOxide Employing Hot NH3/NF3 Exposure.Japanese Journal of Applied Physics41 8.2002,41(8),5349-5358. * |
Also Published As
Publication number | Publication date |
---|---|
KR100931765B1 (ko) | 2009-12-14 |
EP1944796A3 (en) | 2008-12-17 |
TW200845211A (en) | 2008-11-16 |
EP1944796A2 (en) | 2008-07-16 |
TW201342475A (zh) | 2013-10-16 |
US20100093151A1 (en) | 2010-04-15 |
TWI520216B (zh) | 2016-02-01 |
CN101231951A (zh) | 2008-07-30 |
US7955510B2 (en) | 2011-06-07 |
JP4995102B2 (ja) | 2012-08-08 |
KR20080066614A (ko) | 2008-07-16 |
JP2008205440A (ja) | 2008-09-04 |
US20070123051A1 (en) | 2007-05-31 |
TWI402914B (zh) | 2013-07-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101231951B (zh) | 利用nh3-nf3化学物质的氧化蚀刻 | |
CN101903984B (zh) | 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法 | |
US10199215B2 (en) | Apparatus and method for selective deposition | |
TWI389251B (zh) | 處理薄膜之方法 | |
CN102224573B (zh) | 用于沟槽与介层洞轮廓修饰的方法与设备 | |
US8043933B2 (en) | Integration sequences with top surface profile modification | |
CN102376564B (zh) | 用于提高氮化硅批间均匀度的非晶硅陈化作用 | |
US20060130971A1 (en) | Apparatus for generating plasma by RF power | |
TW202333281A (zh) | 用於深溝槽內的低溫選擇性磊晶之方法及設備 | |
CN105745740A (zh) | 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法 | |
US20210175075A1 (en) | Oxygen radical assisted dielectric film densification | |
US20090191703A1 (en) | Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process | |
CN103930992A (zh) | 间层多晶硅电介质帽和形成该间层多晶硅电介质帽的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C56 | Change in the name or address of the patentee | ||
CP01 | Change in the name or title of a patent holder |
Address after: American California Patentee after: Applied Materials Inc. Address before: American California Patentee before: Applied Materials Inc. |