CN1822328A - 立式分批处理装置 - Google Patents

立式分批处理装置 Download PDF

Info

Publication number
CN1822328A
CN1822328A CNA2006100077526A CN200610007752A CN1822328A CN 1822328 A CN1822328 A CN 1822328A CN A2006100077526 A CNA2006100077526 A CN A2006100077526A CN 200610007752 A CN200610007752 A CN 200610007752A CN 1822328 A CN1822328 A CN 1822328A
Authority
CN
China
Prior art keywords
gas
supply
processing region
vertical
handled object
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100077526A
Other languages
English (en)
Other versions
CN100550319C (zh
Inventor
松浦广行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1822328A publication Critical patent/CN1822328A/zh
Application granted granted Critical
Publication of CN100550319C publication Critical patent/CN100550319C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

本发明提供一种立式分批处理装置,为了去除多个被处理体上的半导体氧化膜,将上述半导体氧化膜变换为比上述半导体氧化膜易分散或升华的中间体膜。该装置包括:从配置于处理区域外侧的第1供给口向上述处理区域供给第1处理气体的第1处理气体供给系统;从配置于上述第1供给口与上述处理区域之间的第2供给口向上述处理区域供给第2处理气体的第2处理气体供给系统。在上述第1供给口与上述第2供给口之间,配置通过激发上述第1处理气体从而生成第1活性种的等离子体生成区域。利用上述第1活性种与上述第2处理气体的反应,生成为了形成上述中间体膜而与上述半导体氧化膜反应的反应物质。

Description

立式分批处理装置
与相关申请的交叉参照
本申请基于2005年2月18提交的在先日本专利申请第2005-043245号,并要求其优先权,对其全部内容在此结合以作参照。
技术领域
本发明涉及一种用于去除半导体晶片等被处理体上的半导体氧化膜之立式分批处理装置、和包含该装置的半导体处理系统等。这里,所谓半导体处理是指如下各种处理,即通过在晶片或LCD(LiquidCrystal Display)或FPD(Flat Panel Display)用玻璃基板等被处理体上以规定的图案形成半导体层、绝缘层、导电层等,在该被处理体上制造半导体器件、或包含连接于半导体器件上的布线、电极等构造物所实施的各种处理。
背景技术
就构成半导体集成电路的半导体器件的制造而言,对被处理体、例如半导体晶片实施成膜、氧化、扩散、改性、退火、蚀刻等各种处理。这些处理可在立式的(所谓分批式的)处理装置中进行。此时,首先将半导体晶片从晶片盒移载至立式的晶舟上,进行多段支撑。例如,在晶片盒中可容纳25个晶片,在晶舟上可载置30~150个晶片。之后,将晶舟从处理容器的下方装填到其内部,同时,气密性地封闭处理容器。之后,在控制处理气体的流量、处理压力、处理温度等各种处理条件的状态下,进行规定处理。
近年来,强烈要求半导体集成电路的动作速度的进一步高速化、高集成化、高微细化和薄膜化。例如,在栅极绝缘膜等薄膜的成膜处理的情况下,有时在处理前,在半导体晶片表面存在自然氧化膜(在晶片为Si的情况下是SiO2)。自然氧化膜是恶化半导体器件的电气特性、或半导体器件成为次品的原因。因此,期望在进行各处理之前,去除附着于半导体晶片表面上的自然氧化膜,维持晶片表面的活性状态不变,对该表面进行成膜。
作为去除自然氧化膜的方法,已知通过使用HF蒸气或稀释HF液之湿式法来直接去除自然氧化膜的方法。但是,在此情况下,由于在晶片表面残留氟元素,所以不好。因此,特开2003-133284号公报(专利文献1)公开了基于干式法的自然氧化膜的去除技术。在该技术中,首先,使由等离子体活性化的活性种(自由基)与氟系的蚀刻气体、例如NF3反应,形成中间物质(NHxFy:x、y为正数)。之后,使该中间物质与自然氧化膜反应,形成由硅氟化铵[(NH4)2SiF6]构成的中间体膜。之后,通过加热该中间体膜,使之分解或升华后,作为气体从而去除。
在专利文献1公开的技术中,上下可连通地配置处理腔室与加热腔室。但是,形成硅氟化铵的装置构造或材质不明确。另外,从晶片搬入后、经过处理、至搬出前的搬送路径在系统方面不明确。
特开2001-284307号公报(专利文献2)中也公开了相关的技术。在专利文献2公开的技术中,在立式反应室的一侧,配置与反应室连通的立式附加腔室。在向附加腔室供给H2气体或N2气体的同时,从其上端部照射微波,将上述气体等离子体化。此时,有可能不能充分活化上述气体。
在特开2002-100574号公报(专利文献3)中也公开了相关的技术。在专利文献3公开的技术中,从立式处理室的侧面侧向内部供给活性种与蚀刻气体。此时,由于从处理室的下方向进行该处理室内的排气,故处理室内的气体流产生偏移。
发明内容
本发明的目的在于提供一种能够高可靠性地用来去除半导体晶片等被处理体上的半导体氧化膜之立式分批处理装置、和包含该装置的半导体处理系统。
本发明的第1方面是一种立式分批处理装置,其为了去除多个被处理体上的半导体氧化膜,而以将上述半导体氧化膜变换为比上述半导体氧化膜易分解或升华的中间体膜的方式构成,其中,包括:
用于形成容纳上述被处理体的气密的处理区域的处理容器;
在上述处理区域内以彼此相互间隔、叠置的状态来保持上述被处理体的支架;
从配置于上述处理区域的外侧的第1供给口向上述处理区域供给第1处理气体的第1处理气体供给系统;
从配置于上述第1供给口与上述处理区域之间的第2供给口向上述处理区域供给第2处理气体的第2处理气体供给系统;
配置在上述第1供给口与上述第2供给口之间、通过激发上述第1处理气体从而生成第1活性种的等离子体生成区域,利用上述第1活性种与上述第2处理气体的反应,生成为了形成上述中间体膜而与上述半导体氧化膜反应的反应物质;和
从隔着上述处理区域配置于与上述第2供给口相对向位置上的排气口将上述处理区域内进行真空排气的排气系统。
本发明的第2方面是一种半导体处理系统,其中,
该半导体处理系统包括:
用于形成密闭状态的操作区域的框体;
以配置可容纳多个被处理体的搬送容器的方式在上述框体上配置的搬送口单元,上述搬送口单元以可以使上述搬送容器对上述操作区域开口而不破坏上述操作区域内的密闭状态的方式构成;
用于对上述被处理体实施半导体处理、连接于上述框体的立式分批主处理装置;
用于对上述被处理体实施前处理、连接于上述框体的立式分批前处理装置,上述立式分批前处理装置,为了去除上述被处理体上的半导体氧化膜,而以将上述半导体氧化膜变换为比上述半导体氧化膜易分解或升华的中间体膜的方式构成;和
搬送机构,它配置在上述操作区域内,在上述搬送容器、上述立式分批主处理装置和上述立式分批前处理装置之间直接或间接地搬送上述被处理体,
上述立式分批前处理装置包括:
用于形成容纳上述被处理体的气密的处理区域的处理容器;
在上述处理区域内以彼此相互间隔、叠置的状态来保持上述被处理体的支架;
从配置于上述处理区域的外侧的第1供给口向上述处理区域供给第1处理气体的第1处理气体供给系统;
从配置于上述第1供给口与上述处理区域之间的第2供给口向上述处理区域供给第2处理气体的第2处理气体供给系统;
配置在上述第1供给口与上述第2供给口之间、通过激发上述第1处理气体从而生成第1活性种的等离子体生成区域,利用上述第1活性种与上述第2处理气体的反应,生成为了形成上述中间体膜而与上述半导体氧化膜反应的反应物质;和
从隔着上述处理区域配置于与上述第2供给口相对向位置上的排气口将上述处理区域内进行真空排气的排气系统。
本发明的其他目的和优点将在以下说明中阐明,通过以下说明部分地变得明显、或可通过对本发明的实施而获知。本发明的目的和优点可通过以下具体指出的手段及组合而实现和获得。
附图说明
结合在本说明书中且构成其一部分的附图,图解显示了本发明目前的优选实施例,与以上给出的总体说明和以下给出的优选实施例的详细说明一起,用于解释本发明的本质。
图1是表示本发明第1实施方式的半导体处理系统的立体示意图。
图2是图1所示的处理系统的横截平面示意图。
图3是表示图1所示的处理系统的立式分批主处理装置的纵截侧面示意图。
图4是表示图1所示的处理系统的前处理区域侧的纵截侧面图。
图5是表示图1所示的处理系统的膜变换装置(立式分批前处理装置)的纵截侧面图。
图6是图5所示的膜变换装置的横截平面图。
图7是表示图5所示的膜变换装置之整流板的平面图。
图8是表示图1所示的处理系统的热处理装置的纵截侧面图。
图9是表示对氧化硅膜与硅膜的蚀刻量之温度依赖性的曲线图。
图10是表示硅氟化铵的蒸气压曲线之曲线图。
图11是表示图1所示的处理系统中可使用的第1实施方式变更例之膜变换装置(立式分批前处理装置)的纵截侧面图。
图12A、B是放大表示图11所示的膜变换装置之等离子体生成区域的2个不同方式的横截平面图。
图13是表示本发明第2实施方式的半导体处理系统的立体示意图。
图14是图13所示的处理系统之横截平面示意图。
图15是表示图13所示的处理系统的立式分批前处理装置的纵截侧面图。
图16是表示图13所示的处理系统中可使用的第2实施方式变更例之立式分批前处理装置的纵截侧面图。
图17是表示本发明第3实施方式的半导体处理系统的立体示意图。
图18是图17所示的处理系统之横截平面示意图。
图19是表示图17所示的处理系统的立式分批前处理装置的纵截侧面图。
图20是表示图17所示的处理系统中可使用的第3实施方式变更例之立式分批前处理装置的纵截侧面图。
具体实施方式
下面,参照附图来说明本发明的实施方式。另外,在以下的说明中,对于具有大致相同功能和构成的构成要素,标注相同符号,仅在需要的情况下进行重复说明。
<第1实施方式>
[半导体处理系统]
图1是表示本发明第1实施方式的半导体处理系统的立体示意图。图2是图1所示的处理系统的横截平面示意图。如图1和图2所示,该处理系统2具有形成为整体为立方体或长方体等箱状的气密性框体4。在框体4的内部,形成用于处理作为被处理体的半导体(典型地为硅)晶片的密闭状态的操作区域5。框体4例如由铝等金属材料成型,其内表面由例如耐腐蚀性的皮膜、例如防蚀铝皮膜(氧化铝)覆盖。另外,也可由例如不锈钢等其它金属来形成框体4。
如图2所示,操作区域5被分离壁6气密性地区分分离成主处理区域8和前处理区域10等2个室。分离壁6由例如铝等金属材料成型,其表面被耐蚀铝等耐腐蚀性的皮膜覆盖。在分离壁6中形成开口12,该开口12的横向宽度为可使作为被处理体的半导体晶片通过的大小。开口12由连结于驱动部(未图示)的滑动门14开闭。在滑动门14的周边部,装有由O型圈等构成的密封部件14A,确保关闭滑动门14时的气密性。
在区分主处理区域8的框体4的壁外侧、具体而言是前侧,配置构成搁板状的“I/O台”16。I/O台16构成为可载置能容纳多个、例如10个~25个左右的作为被处理体的半导体晶片W的盒等搬送容器18。在图1和图2中,在I/O台16上载置两个搬送容器18。
在I/O台16与主处理区域8之间的框体4的壁中,配置搬送口单元20。搬送口单元20构成为搬送容器18可不破坏操作区域5内的气密状态地相对于操作区域5开口。具体而言,搬送口单元20具有贯通框体4的壁而配置的负载锁定盒22。在负载锁定盒22的两侧,分别形成由闸阀24、26气密性地封闭的开口。将负载锁定盒22设定为在内部容纳搬送容器18的大小。通过将一个大气侧的闸阀24变为开状态,在I/O台16与负载锁定盒22之间进行搬送容器18的搬出搬入。通过将另一主处理区域8侧的闸阀26变为开状态,在设置于负载锁定盒22内的搬送容器18内与主处理区域8内之间,进行晶片W的搬出搬入。
在负载锁定盒22上,连接有向内部供给例如N2气体来作为不活泼性气体的不活泼性气体管线28、和将内部进行真空排气的真空排气管线30。在主处理区域8上,连接有向内部供给例如N2气体来作为不活泼性气体的不活泼性气体管线32、和将内部进行真空排气的真空排气管线36。在前处理区域10上,连接有向内部供给例如N2气体来作为不活泼性气体的不活泼性气体管线34、和将内部进行真空排气的真空排气管线38。
在主处理区域8进深侧的顶棚部上,连接有用于对晶片W实施主半导体处理的主处理装置(立式分批主处理装置)40。主半导体处理由在例如晶片W的表面形成“栅极绝缘膜用氧化硅膜、电极用多晶硅膜、选择表层”等的成膜处理构成。
在前处理区域10的顶棚部上,并列地连接有膜变换装置(立式分批前处理装置)42和热处理装置(立式分批热处理装置)44。在本实施方式中,将膜变换装置42配置在前侧,将热处理装置44配置在后侧。膜变换装置42进行将存在于晶片表面上的自然氧化膜(SiO2)变换为更易分解或升华的中间体膜的处理(中间体膜形成工序)。热处理装置44进行通过加热中间体膜、使之分解或升华后而去除的处理(中间体膜去除工序)。膜变换装置42与热处理装置44分别存在多种构成例,其细节如后所述。
中间体膜形成工序与中间体膜去除工序构成所谓的前处理。通过进行该前处理,完全去除形成于晶片表面的自然氧化膜,晶片表面变为活性化的状态。在该表面变为活性化状态的晶片上,由主处理装置40堆积规定的薄膜、例如栅极绝缘膜等。
为了在搬送容器18、主处理区域8和前处理区域10之间搬送晶片W,在主处理区域8内,面对负载锁定盒22配置移动臂单元46。移动臂单元46具备例如可屈伸和旋转(回旋)的多关节臂。多关节臂被装配在例如由滚珠丝杠等构成的垂直驱动部48上,可上下移动。移动臂单元46利用设置在前端的拾取器46A保持晶片W,向后述的主处理装置40的晶舟或膜变换装置42的晶舟移载晶片W。
图4是表示图1所示的处理系统2的前处理区域10侧的纵截侧面图。膜变换装置42与热处理装置44共用关闭处理容器下端的装填口的盖体92和晶舟(支架)90。为了一次对多个晶片实施处理,构成为在晶舟90上多段地保持多个、例如20~100个左右的晶片。在前处理区域10的底部,配置用于移动盖体92和晶舟90的舟移动装置50(参照图2)。具体而言,舟移动装置50包括由使晶舟90等向上下方向升降的例如垂直滚珠丝杠等构成的垂直驱动部50A、和使垂直驱动部50A整体向水平方向移动的例如水平滚珠丝杠等构成的水平驱动部50B。因此,舟移动装置50可使晶舟90等在膜变换装置42与热处理装置44之间移动,同时,可向各装置42、44内分别装填或卸载晶舟90。
处理系统2的整体动作由例如计算机构成的系统控制部52(参照图2)来控制。系统控制部52具有包含存储控制处理系统2的动作整体用的程序之存储媒体的存储部54。存储媒体例如是磁盘(软盘、硬盘(作为一例是包含于存储部54中的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制处理系统2的动作之计算机读入存储在存储媒体中的程序指令,在处理器上执行该指令,由此执行各种处理。
[主处理装置]
图3是表示图1所示的处理系统2的主处理装置(立式分批主处理装置)40的纵截侧面示意图。主处理装置40的整体例如由主处理区域8的天井板4A(参照图1)支撑。主处理装置40为了形成容纳晶片W的气密的处理区域,具有例如由下端开放的石英制圆筒体构成的立式处理容器60。在处理容器60的顶棚部中形成排气口,在排气口上连接设置有例如向横向弯曲成直角的排气管线86。在排气管线86上,连接着中途设有压力控制阀和真空泵等的真空排气系统(未图示)。利用该排气系统,可将处理容器60内进行真空排气。
处理容器60的下端由例如不锈钢制的筒体状连通器(manifold)62支撑。在处理容器60的下端与连通器62的上端之间,夹入O型圈等密封部件82,维持该部分的气密性。在连通器62的下端,形成由盖体72开闭的装填口,通过该装填口,装填和卸载晶舟(支架)66。晶舟66由石英制造,例如可以以大致等间距来多段支撑20~100个左右的晶片W。
晶舟66经石英制的保温筒70载置于旋转台68上。将旋转台68支撑在贯穿开闭连通器62下端装填口的盖体72之旋转轴74的上端部上。在旋转轴74贯穿的盖体72的部分,插入设置例如磁性流体密封垫76,在气密地密封并可旋转地支撑旋转轴74。在盖体72的周边部与连通器62的下端部之间,插入设置由例如O型圈等构成的密封部件80,保持处理容器60内的密封性。将旋转轴74装配在旋转驱动部77上,该旋转驱动部77配置于支撑在例如螺杆升降机等升降机构78上的臂78A之前端上。利用升降机构78,使晶舟66和盖体72等一体地升降。
在处理容器60的侧部,包围该处理容器60地配置圆筒状的加热器64。由加热器64来加热处理容器60内的处理区域之气氛气,由此加热处理区域内的半导体晶片W。在加热器64的外周配置隔热材料,确保热稳定性。在连通器62上,连接有用于向处理容器60内供给各种气体(成膜用处理气体或N2气体等不活泼性气体)的各种气体供给系统84。
主处理装置40具备控制装置整体动作的计算机等构成的控制部88。控制部88在系统控制部52的支配下进行工作,控制主处理装置40的动作,以便对去除了自然氧化膜的晶片表面上实施规定的成膜处理。控制部88对应于事先存储在附带于其上的存储部90中的成膜处理之处理项目、例如所形成的膜之膜厚或组成,进行成膜处理。还在该存储部中事先存储处理气体流量与膜的膜厚或组成的关系等,作为控制数据。因此,控制部88根据这些所存储的处理项目或控制数据,可控制气体供给系统、排气系统、升降机构、加热器等。
[膜变换装置]
图5是表示图1所示的处理系统2的膜变换装置(立式分批前处理装置)42的纵截侧面图。图6是图5所示的膜变换装置42的横截平面图。膜变换装置42整体由例如前处理区域10的天井板4B(参照图1)来支撑。膜变换装置42,为了形成容纳晶片W的气密的处理区域95,具有例如由下端开放的圆筒体构成的立式处理容器94。在处理容器94的下端,形成有由盖体92开闭的装填口94A(参照图4),通过该装填口94A,装填及卸载晶舟90(支架)。
处理容器94和盖体92分别由例如铝等金属材料构成,各自的内面由对于后述的第2处理气体(包含卤元素的气体)耐腐蚀性大的膜、例如防蚀铝皮膜(Al2O3)覆盖。另外,将处理容器94接地。晶舟90由例如铝等金属材料构成,其表面由对于第2处理气体耐腐蚀性大的膜、例如防蚀铝皮膜覆盖。晶舟90可以以大致等间距多段地支撑例如20~100个左右的晶片W。
将晶舟90载置于旋转台102上,将旋转台102支撑于贯穿盖体92的旋转轴100的上端部上。在旋转轴100贯穿的盖体92的部分中,例如插入设置磁性流体密封垫98,在气密性地密封的同时、可旋转地支撑旋转轴100。在盖体92的周边部与处理容器94的下端部之间,插入设置由例如O型圈等构成的密封部件96,保持处理容器94内的密封性。将旋转轴100装配在旋转驱动部99上,该旋转驱动部99配置于例如舟移动装置50的垂直驱动部50A之臂104的前端。利用垂直驱动部50A,使晶舟90和盖体92等一体地升降。
在处理容器94的一侧壁上,利用一体地装配于处理容器94上的壁部分,形成向外侧突出的纵长的供给头区域106。供给头区域106的长度被设定为可覆盖晶舟90的高度方向的大致整体长度的长度。在供给头区域106上,连接有向处理容器94内供给第1处理气体(包含氮原子和氢原子的气体)之第1气体供给系统122、和向处理容器94内供给第2处理气体(包含卤素元素的气体)之第2气体供给系统108。将第1处理气体以利用等离子体化进行活性化的状态供给至供给头区域106,另一方面,将第2处理气体以未等离子体化的状态供给至供给头区域106。
构成第2气体供给系统108的目的是,在进行流量控制的同时,供给氟化气体、例如NF3,作为未等离子体化的第2处理气体。具体而言,第2气体供给系统108具有沿供给头区域106的长度方向设置的分散喷嘴110。在分散喷嘴110中,形成有实质上相对于在晶舟上叠置的晶片W全体的沿垂直方向排列的多个气体孔110A。从各气体孔110A沿水平方向喷射第2处理气体,朝向处理容器94的中心方向进行供给。分散喷嘴110由对第1和第2处理气体之耐腐蚀性大的材料、例如表面被防蚀铝皮膜覆盖的铝构成。
另一方面,将第1气体供给系统122组装在用于向供给头区域106供给活性种的活性种供给部112中。具体而言,活性种供给部112具有连结于形成在供给头区域106高度方向的大致中央部之开口114上的供给管116。经波导管118将微波发生器120连接于供给管116中途的等离子体生成区域121上。在供给管114的端部,连接有第1气体供给系统122,以便在流量控制的同时、供给第1处理气体。第1处理气体在等离子体生成区域121中,被来自微波发生器120的微波等离子体化,同时,被活性化。由此产生的活性种从开口114供给并扩散到供给头区域106内。
作为微波发生器120的微波频率而言,例如使用2.45GHz,但也可代之而使用其它频率、例如400MHz。作为被等离子体化的处理气体(第1处理气体)而言,例如可使用N2气体、H2气体与NH3气体的组合,但也可代之而使用N2气体与H2气体的组合、或仅单独使用NH3气体。
源于如此生成的第1处理气体的N2、H2、NH3气体等活性种与作为第2处理气体的NF3在供给头区域106内合流。由此,产生气体的反应,生成中间物质(蚀刻剂:NhxFy:x、y为正数)。之后,若该中间物质与晶片W上的自然氧化膜(SiO2)反应,则形成比自然氧化膜更易分解或升华的硅氟化铵[(NH4)2SiF6]构成的中间体膜。
在供给头区域106的纵长开口部分中,配置用于将活性种和第2处理气体流整流成层流状态的整流板124。图7是表示图5所示的膜变换装置42之整流板124的平面图。具体而言,整流板124通过在例如厚度为10mm左右的铝板中以规定间隔穿设多个气体流通孔(缝隙)126来形成。整流板124通过嵌入供给头区域106的纵长开口部分中来安装。整流板124的整个表面被例如防蚀铝皮膜覆盖,以提高耐腐蚀性。整流板124整体接地。另外,分散喷嘴110可不配置在供给头区域106内,而配置在整流板124内侧的处理容器94内。
在处理容器94中的供给头区域106之相反侧,由一体地装配于处理容器94上的壁部分来形成向外侧突出的纵长排气缓冲区域128。排气缓冲区域128具有可充分罩住晶舟90高度的长度。排气缓冲区域128经形成于中央的排气口129,连接于插入设置有压力控制阀132和真空泵(未图示)的真空排气系统130上。真空排气系统130经排气缓冲区域128,将处理容器94内进行真空排气,同时,将容器内维持在规定的真空压力。在处理容器94的顶棚部,配置有压力计134,根据压力计134的检测值,控制压力控制阀132。
在处理容器94中,配置用于冷却容器壁的冷却机构136。具体而言,冷却机构136具有形成于处理容器94壁内的热媒体通路138。通过使冷却媒体从冷却媒体源140流至热媒体通路138,冷却处理容器94,维持在规定的温度。接近处理容器94的下端装填口94A,配置有遮挡部件142(参照图4),利用滑动机构(未图示)来驱动。遮挡部件142在卸载晶舟90并向下方降下盖体92时,覆盖装填口94A。
膜变换装置42具备控制装置整体动作的由计算机等构成的控制部144。控制部144在系统控制部52的支配下进行动作,控制膜变换装置42的动作,以实施将晶片表面上的自然氧化膜变换为中间体膜的处理。控制部144对应于事先存储在附带于其中的存储部146中之处理项目,进行规定的变换处理。在该存储部中,还事先存储处理气体流量与处理的进行之关系等,作为控制数据。因此,控制部144根据这些所存储的处理项目或控制数据,可控制气体供给系统、排气系统、等离子体生成系统、升降机构等。
[热处理装置]
图8是表示图1所示的处理系统2的热处理装置(立式分批热处理装置)44的纵截侧面图。热处理装置44的整体例如由前处理区域10的天井板4B(参照图1)来支撑。就热处理装置44来说,为了形成容纳晶片W的气密的热处理区域151,其具有例如下端开放的圆筒体构成的立式处理容器150。在处理容器150的下端,形成有由盖体92开闭的装填口150A(参照图4),通过该装填口150A,装填和卸载晶舟90(支架)。
处理容器150例如由铝等金属材料构成,其内表面由耐腐蚀性大的膜、例如防蚀铝皮膜(Al2O3)覆盖。另外,由于这里不使用腐蚀石英的第2处理气体,所以也可使用石英(SiO2)或其它金属材料、例如不锈钢等,作为处理容器150的构成材料。盖体92、旋转台102、晶舟90等,如上所述,与膜变换装置42共用。在盖体92的周边部与处理容器150的下端部之间,插入设置由例如O型圈等构成的密封部件96,保持处理容器150内的密封性。
在处理容器150的外侧,沿热处理区域151延伸地配置圆筒体状的外侧加热器152。外侧加热器152主要从外侧直接加热处理容器150。在处理容器150的内部,沿热处理区域151延伸地、即包围装填到其中的晶舟90地配置内侧加热器154。内侧加热器154直接加热保持在晶舟90上的晶片W。内侧加热器154由具有耐热性、且对晶片W的污染之担心少的例如碳线加热器154A构成。碳线加热器154A沿处理容器150的高度方向延伸地弯曲成形为U字形,并被支撑于顶棚部,在晶舟90的周围,均匀配置多条、例如4条。另外,在图4中,仅示出两条碳线加热器154A。这样,通过直接加热晶片W,晶片W迅速升温,可使形成于晶片表面的由硅氟化铵构成的中间体膜分解或升华后而去除。
在处理容器150的顶棚部中,形成有排气口156,在排气口156上,连接设置有例如向横向弯曲成直角的排气管线157。在排气管线157上,连接有插入设置压力控制阀158和真空泵等(未图示)的真空排气系统160。利用该排气系统160,在将处理容器150内进行真空排气的同时,将容器内维持为规定的真空压力。在处理容器150的侧壁中,配置压力计162,根据压力计162的检测值,控制压力控制阀158。
在处理容器150中,配置用于向内部供给不活泼性气体的不活泼性气体供给系统164。在图示实例中,不活泼性气体供给系统164具有从处理容器150的顶棚部向容器底部插通的例如表面被防蚀铝处理过的铝制气体喷嘴164A。不活泼性气体供给系统164在流量控制的同时、向处理容器150内的底部侧供给例如N2气体,作为不活泼性气体。
从处理容器150的顶棚部,插通有石英管166,在其内部,以规定间隔容纳多个例如由热电偶构成的温度测定元件168。温度测定元件168对沿高度方向区分的每个区检测晶片W的温度。将该检测值输入例如由微机等构成的控制部170中,控制晶片温度。
接近处理容器150下端的装填口150A,配置遮挡部件172(参照图4),由滑动机构(未图示)来驱动。遮挡部件172在卸载晶舟90并向下方降下盖体92时,覆盖装填口150A。
热处理装置44具备控制装置整体动作的由计算机等构成的控制部170。控制部170在系统控制部52的支配下进行动作,控制热处理装置44的动作,以实施加热晶片表面上的中间体膜后而去除的热处理。控制部170对应于事先存储在附带于其中的存储部173中之处理项目,进行规定的处理。在该存储部中,还事先存储温度、处理气体流量与处理的进行之关系等,作为控制数据。因此,控制部170根据这些所存储的处理项目和控制数据,可控制气体供给系统、排气系统、加热器、升降机构等。
[半导体处理系统的动作]
首先,说明半导体晶片W的整体流程。另外,这里设晶片W由硅基板构成。另外,假设将框体4内的整体设定成作为不活泼性气体例如N2气体环境。
如图1和图2所示,在处理系统2的I/O台16上,载置其内部容纳晶片W的搬送容器18。之后,经打开的闸阀24,将搬送容器18之中的一个容纳于负载锁定盒22内。之后,关闭闸阀24,将负载锁定盒22的内部环境气体置换为N2气体。然后,打开内侧的闸阀26。
接着,开放设置在区分主处理区域8与前处理区域10的分离壁6上的滑动门14。之后,通过使设置在主处理区域8内的移动臂单元46屈伸、旋转和上下移动,将搬送容器18内的晶片W移载至下降到膜变换装置42下方的晶舟90(还参照图4)。进行上述移载操作,直到在晶舟90上,晶片W例如变为满载状态。此时,由于在待机中曝露于清洗空气等中,所以在晶片W的表面上存在有自然氧化膜(SiO2)。
在结束移载晶片W之后,关闭滑动门14。之后,驱动舟移动装置50的垂直驱动部50A(参照图2),将晶舟90装填到膜变换装置42的处理容器94内(参照图5)。接着,在处理容器94内进行变换处理,将晶片表面的自然氧化膜变换为由硅氟化铵构成的中间体膜。另外,这里的变换处理、即中间体膜形成的过程如后所述。
在中间体膜形成工序结束之后,利用舟移动装置50,使载置晶片W的晶舟90下降,从处理容器94卸载。之后,利用舟移动装置50,将晶舟90水平移动至热处理装置44的下方。接着,使晶舟90上升,将其从下方装填到热处理装置44的处理容器150内。之后,利用外侧加热器152和内侧加热器154,升温处理容器150内的晶片W至规定温度并进行维持。由此,进行使晶片表面的中间体膜分解或升华后而去除的热处理。将此时产生的气体与供给到容器内的N2气体一起或单独进行真空排气。由此,晶片W的表面变为不附着自然氧化膜的活性状态。
在中间体膜去除工序结束之后,利用舟移动装置50,使载置晶片W的晶舟90下降,从处理容器150卸载。之后,利用舟移动装置50,使晶舟90水平移动,如图4所示,配置在膜变换装置42下方的原位置。
在该一连串操作中,关闭设置在分离壁6中的滑动门14,防止对晶片W造成坏影响的中间体膜的飞沫等流入主处理区域8。另外,在使晶舟90向下方降下时,膜变换装置42与热处理装置44的各处理容器94、150下端的装填口94A、150A被各自的遮挡部件142、172覆盖。
之后,通过打开分离壁6的滑动门14,使主处理区域8与前处理区域10连通。之后,通过驱动主处理区域8内的移动臂单元46,将晶舟90内的晶片W全部移载到向主处理装置40下方降下的晶舟66(参照图3)上。在向晶舟66的移载结束之后,将晶舟66装填到主处理装置40的处理容器60内,对晶片W的活性状态表面进行主处理、例如成膜处理、形成例如栅极绝缘膜的处理。栅极绝缘膜例如可由SiO2、或HfSiO、HfO2等所谓的high-k(高相对介电常数)材料构成。
在主处理结束之后,使晶舟66下降,从处理容器60卸载。之后,使用移动臂单元46,将处理完的晶片W移载到负载锁定盒22内的空的搬送容器18中。在移载结束之后,将搬送容器18取出到外侧的I/O台16侧,由此完成一连串动作。这样,根据该处理系统2,可连续高效地进行被处理体表面的氧化膜去除处理(前处理)、和成膜等主处理。另外,表面通过前处理而变为活性化状态的晶片W在被搬送到框体4内的作为不活泼性气体之N2气体环境气氛中,马上装填到主处理装置40内。因此,在晶片表面上不会再次附着自然氧化膜。
[膜变换装置的动作]
如图5所示,在由盖体92封闭处理容器94内的状态下,使晶舟90旋转。另外,在将处理容器94内抽真空的同时,从第2气体供给系统108供给NF3气体,作为第2处理气体。另外,由第1气体供给系统122分别供给N2气体、H2气体与NH3气体,作为第1处理气体。另外,NF3气体也可作为载气,与不活泼性气体、例如N2气体一起流过。该第1处理气体在等离子体生成区域121中,被从活性种供给部112的微波发生器120传来的例如2.45GHz微波等离子体化。由此,将第1处理气体活性化,形成活性种。
该活性种从开口114流入沿上下方向延伸的供给头区域106内,在区域106内向上下方向扩散。该活性种与从分散喷嘴110的各喷嘴孔110A喷射的NF3气体混合。该混合气体由整流板124整流至水平方向,同时,变为层流状态,流入保持在晶舟90上的各晶片W之间。此时,混合气体与晶片W表面的自然氧化膜如后所述那样反应,形成由硅氟化铵构成的中间体膜。穿过晶片W间的残留气体经相反侧的细长排气缓冲区域128,从真空排气系统130排出到系统外。
此时的反应原理如下。即,若第1处理气体的活性种、例如N*、H*、NH*、NH2 *、NH3 *(以下,“*”表示活性种)与NF3反应,则生成中间物质(蚀刻剂:NHxFy:x、y为正数)。之后,该中间物质与晶片表面的自然氧化膜(SiO2)反应,生成作为中间体膜的硅氟化铵[(NH4)2SiF6]与水(H2O)。硅氟化铵比自然氧化膜更易分解或升华。
膜变换处理时的处理容器94内的压力,例如为100~400Pa左右。在膜变换处理时,混合气体具有高温度,因此,倾向于晶片W被混合气体加热。但是,通过将例如冷却水作为冷却媒体流到设置于处理容器94中的冷却机构136的热媒体通路138,可将晶片温度冷却维持在室温左右、例如20~30℃,并选择性好地高效生成中间体膜。
膜变换处理时,将晶片W的温度维持在室温左右的理由如下。图9是表示对氧化硅膜(自然氧化膜)与硅膜(多晶硅)的蚀刻量之温度依赖性的曲线。另外,这里所谓蚀刻量表示与“上述的蚀刻剂”反应后形成的中间体膜的膜厚。在图9中,线L1表示自然氧化膜,线L2表示硅膜。
如图9所示,针对硅膜的蚀刻量与温度无关,大致恒定,与此相对,温度越下降,自然氧化膜的蚀刻量越增加。即,温度越下降,相对于硅膜的选择性越大。但是,若过度冷却晶片W,则在膜变换装置42的处理容器94的外壁上产生由大气中的水分导致的结露,所以不可取。因此,为了不对基底的硅膜造成损害而相对于该硅膜选择性好地蚀刻自然氧化膜,优选如上所述,将晶片温度设定在20~30℃左右的范围内。
如上所述,根据膜变换装置42,可有效地将晶片W表面的自然氧化膜变换为中间体膜。从供给头区域106流出到处理容器94中心的第2处理气体与活性种的混合气体被整流板124整流后,变为层流状态。因此,可防止其中产生紊流,使混合气体均匀曝露接触晶片表面。处理容器94、盖体92、晶舟90和整流板124等曝露于容器内环境气氛中的部件,由被具有耐腐蚀性的例如防蚀铝皮膜所覆盖的金属材料(铝)形成。因此,可防止这些各部件被腐蚀。另外,在向下方卸载处理完的晶片W之后,利用遮挡部件142(参照图4)关闭处理容器94下端的装填口94A,防止中间体膜的飞散。
[热处理装置的动作]
如图8所示,在由盖体92封闭处理容器150内的状态下,使晶舟90旋转,将晶片W升温维持在规定温度,同时,将处理容器150内进行抽真空。在热处理装置44空转时,外侧加热器152也变为工作状态,将处理容器150设定为规定温度以上。若将在膜变换装置42中结束处理的室温的晶片W装填到处理容器150内,则使内侧加热器154工作,将晶片W升温。此时,由于事先加热容器自身,所以可将晶片W的温度迅速升温到规定温度。
这样,通过高温加热晶片W,形成于晶片表面的硅氟化铵[(NH4)2SiF6]所构成的中间体膜被分解成SiF4、NH3、HF、H2O等气体,或直接升华后变为气体被去除。由此,晶片表面变为以氢为末端的清净的活性状态之硅表面露出的状态。在该热处理中,为了促进分解气体或升华气体的排出,也可在进行流量控制的同时、从不活泼性气体供给系统164供给不活泼性气体、例如N2气体。
热处理时的处理容器150内的处理压力,最好尽可能低,例如维持在1~1000Pa左右。另外,晶片温度优选在150~250℃的范围内。图10是表示硅氟化铵的蒸气压曲线之曲线图。如图10所示,温度越高,硅氟化铵的分解或升华越快。若晶片温度高于250℃,则对在前工序中形成于晶片上的各种膜造成热损害,所以不好。另外,若晶片温度低于150℃,则分散或升华大幅度下降,不好。
在处理容器150内配置由碳线加热器154A构成的内侧加热器154。由此,不仅迅速升温晶片W并有效去除中间体膜,而且还可防止对晶片W产生污染。处理容器150与在先的膜变换装置42的处理容器94同样,由内侧表面被防蚀铝皮膜等耐腐蚀性膜覆盖的金属材料(铝)形成。因此,对于伴随中间体膜的分解或升华而产生的腐蚀性气体可具有耐久性。另外,在向下方卸载处理完的晶片W之后,利用遮挡部件172(参照图4)关闭处理容器150下端的装填口150A,防止向前处理区域10内的放热或粒子的飞散。
[第1实施方式之变更例的膜变换装置]
图11是表示在图1所示的处理系统2中可使用的第1实施方式的变更例之膜变换装置(立式分批前处理装置)200的纵截侧面图。图12A、B是放大表示图11所示的膜变换装置200之等离子体生成区域的2个不同方式的横截平面图。该变更例的装置200除了处理气体供给系统和生成等离子体的机构以外,还具有与图5所示的装置42基本相同的构成。在图5所示的装置42中,为了生成等离子体,使用例如2.45GHz的微波,而在图11所示的装置200中,使用例如13.56MHz的高频电力。
即,在该膜变换装置200中,将N2、H2、NH3气体等第1处理气体直接从开口114供给到纵长的供给头区域106内。另外,构成供给头区域106的目的是,使其起到还可作为生成等离子体并形成活性种用的等离子体生成区域202的功能。具体而言,等离子体生成区域202具有沿着供给头区域106的长度方向设置的例如表面进行了防蚀铝处理的铝制电极204。经供电线208将施加例如13.56MHz高频电力的高频电源206连接到电极204上。在供电线208中途设置为了提高由高频所生成的等离子体的生成效率而使阻抗匹配的匹配电路210。
在其中一个方式中,如图12A所示,在区分供给头区域106的壁的对向面中,彼此相对向地配置一对电极204。为了实现电极204与供给头区域106的壁的电绝缘,例如在它们之间插入设置由例如氧化铝等构成的绝缘部件212。而且,在绝缘部件212的两侧插入设置例如O型圈等密封部件214,以确保密封性。在电极204中形成运转时冷却其的冷却水路216,防止高频电力加热电极204。经供电线208在一对电极204之间连接高频电源206。因此,如图12A中的箭头218所示,可在一对电极204之间产生电场。
在另一个方式中,如图12B所示,在图12A所示的一对电极204之中的任一方,在此,仅配置下侧的电极204。在电极204与接地的处理容器94之间,经供电线208连接高频电源206。因此,如图12B中的箭头220所示,可在电极204与处理容器94一侧的被接地的部分(包含供给头区域106的壁或整流板(离子屏蔽板)124)之间产生电场。
在供给头区域106的立式开口部分中,配置被接地的离子屏蔽板224。离子屏蔽板224防止在供给头区域106内产生的等离子体漏入处理容器94的内侧。具体而言,离子屏蔽板224与第1实施方式的整流板124同样地构成。如图7所示,离子屏蔽板224通过在例如厚度为10mm左右的铝板中以规定间隔穿设多个气体流通孔126来形成。离子屏蔽板224通过嵌入于供给头区域106的立式开口部分中来装配。为了提高耐腐蚀性,离子屏蔽板224的表面整体被例如防蚀铝皮膜覆盖。离子屏蔽板224整体接地。
通过配置离子屏蔽板224,等离子体不会从供给头区域106漏出。由此,可防止处理容器94内的晶片W受到等离子体损害。另外,包含活性种的气体被离子屏蔽板224整流后,变为层流状态。第2气体供给系统108的分散喷嘴110也可不在供给头区域106内,而配置在比离子屏蔽板224更靠容器内侧的位置。由此,不会将作为第2处理气体的NF3气体等离子体化。
图11所示的装置200还示出与图5所示的装置42相同的作用。即,由第1气体供给系统122将N2气体、H2气体和NH3气体作为第1处理气体经供给管110供给到供给头区域106内,在区域106内向上下方向扩散。第1处理气体利用从高频电源206施加到电极204上的高频电力,被等离子体化,同时,被活性化,形成活性种。该活性种被离子屏蔽板224的整流功能进行整流,变为层流状态,沿水平方向流动。该活性种与从分散喷嘴110的各喷嘴孔110A喷射的NF3气体混合,以层流状态流入保持在晶舟90上的各晶片W之间。此时,混合气体如上所述与晶片W表面的自然氧化膜反应,形成由硅氟化铵构成的中间体膜。然后,穿过晶片W间的残留气体经供给头区域106相反侧的细长的排气缓冲区域128,从真空排气系统130排出到系统外。
在图11所示的装置200的情况下,由于在供给头区域106的开口部中配置离子屏蔽板224,所以等离子体不会从供给头区域106漏出。因此,可防止处理容器94内的晶片W受到等离子体损害。另外,高频电力的频率不限于13.56MHz,也可使用其它频率、例如27MHz、40MHz等。
<第2实施方式>
[半导体处理系统]
图13是表示本发明第2实施方式的半导体处理系统的立体示意图。图14是图13所示的处理系统之横截平面示意图。第2实施方式的处理系统230中,具备使热处理功能组合到第1实施方式的膜变换装置42中的前处理装置(立式分批前处理装置)232。
具体而言,处理系统230具有从图1所示的框体4中摘除对应于热处理装置44(参照图1)的部分之形状的气密性框体4X。如图14所示,在框体4X的内部,形成用于处理作为被处理体的半导体(典型的是硅)晶片的密闭状态之操作区域5X。操作区域5X具备与图2同样的主处理区域8、和具有图2所示的前处理区域10的约一半底面积的前处理区域10X。前处理装置232在对应于膜变换装置42(参照图1)的位置处,连接于前处理区域10X的顶棚部。在前处理区域10X中,由于不需要使晶舟90向横向移动,所以舟移动装置50不需要水平驱动部50B(参照图2),仅由垂直驱动部50A构成。
就其它方面而言,该处理系统230与第1实施方式的处理系统2基本同样地构成。因此,根据处理系统230,除了与第1实施方式的处理系统2同样的效果外,还可削减设备成本或占有面积。
[前处理装置]
图15是表示图13所示的处理系统230的立式分批前处理装置232的纵截侧面图。前处理装置232具有与图5所示的膜变换装置42基本上相同的构成,但还具备加热不活泼性气体供给系统233。即,前处理装置232通过在图5所示的膜变换装置42中追加加热不活泼性气体供给系统233来构成。
加热不活泼性气体供给系统233具有比整流板124还靠容器内侧配置的分散喷嘴234。在分散喷嘴234中,形成有实质上相对于在晶舟上叠置的晶片W全体的沿垂直方向排列的多个气体孔234A。从各气体孔234A沿水平方向喷射加热不活泼性气体,向处理容器94的中心方向进行供给。分散喷嘴234由对于第1和第2处理气体的耐腐蚀性大的材料、例如表面被防蚀铝皮膜覆盖的铝构成。
在分散喷嘴234上连接有插入设置了气体加热器236的气体通路238,加热作为不活泼性气体的例如N2气体。气体加热器236具有可将N2气体加热到例如800~1000℃左右的能力。
在处理容器94中,配置用于有选择地冷却和加热容器壁的调温机构242。具体而言,调温机构242具有形成于处理容器94壁内的热媒体通路138。通过使冷却媒体和加热媒体择一地从热媒体源240流至热媒体通路138,将处理容器94维持在规定温度。例如,调温机构242在中间体膜形成时供给冷却媒体,在加热去除中间体膜时,供给加热媒体。这里,作为冷却媒体,可使用例如冷却器。
在进行中间体膜形成工序的情况下,前处理装置232,在使加热不活泼性气体供给系统233的动作完全停止的状态下,与图5所示的膜变换装置42同样地进行动作。即,在从第1气体供给系统122供给第1处理气体的同时,利用由来自微波发生器120的微波所生成的等离子体将该第1处理气体活性化,形成活性种。使该活性种与从第2处理气体供给系统108供给的作为第2处理气体的NF3气体混合,同时,从供给头区域106扩散到晶片W上。由此,使之与晶片表面的自然氧化膜(SiO2)反应,形成由硅氟化铵构成的中间体膜。此时,调温机构242的热媒体源240,使冷却媒体流至热媒体通路138中,冷却处理容器94。由此,将晶片W的温度保持在例如10~20℃左右的室温。
这样,若以规定时间进行中间体膜形成工序,则接着移至中间体膜去除工序。即,在停止第1和第2处理气体的供给的同时,还停止供给微波。之后,开始加热不活泼性气体供给系统233的动作,从分散喷嘴234的各气体孔234A喷射由气体加热器236加热的N2气体。由此,加热晶片W,使中间体膜分解或升华后而被去除。
此时,通过在气体加热器236中将N2气体加热到例如800~1000℃左右,将晶片W加热到150~250℃左右。另外,设定成此时的处理容器94内的处理压力在100~80kPa左右的范围内,中间体膜的分解速度或升华速度足够大。此时,热媒体源240,替换掉冷却媒体,在热媒体通路138中流过加热媒体,将处理容器94加热到例如60~80℃左右。由此,促进中间体膜的分解或升华。这样,通过以规定时间进行中间体膜去除工序,可完成前处理。之后,进行主处理装置40的处理。
如上所述,在前处理装置232中,在一台装置内连续进行中间体膜形成工序和中间体膜去除工序。因此,不仅可削减设备成本,还可提高生产率,而且可削减处理系统230的占有面积。
[第2实施方式之变更例的前处理装置]
图16是表示图13所示的处理系统230中可使用的第2实施方式之变更例的立式分批前处理装置250的纵截侧面图。该变更例的前处理装置250,通过在图11所示的膜变换装置200中追加参照图15所说明的加热不活泼性气体供给系统233和调温机构242来构成。
加热不活泼性气体供给系统233具有在比整流板124更靠容器内侧且与第2气体供给系统108的分散喷嘴110并列地配置的分散喷嘴234。如上所述,在中间体膜去除工序时,从分散喷嘴234的各气体孔234A喷射加热过的不活泼性气体、例如N2气体。
在进行中间体膜形成工序时,在使加热不活泼性气体供给系统233的动作完全停止的状态下,与图11所示的膜变换装置42同样地进行动作。即,在从第1气体供给系统122向供给头区域106供给第1处理气体的同时,利用由来自高频电源206的高频电力所生成的等离子体将该第1处理气体活性化,形成活性种。经离子屏蔽板224将该活性种供给到处理容器94的内侧,使之与从第2气体供给系统108供给的NF3气体混合,同时,扩散到晶片W上。由此,与晶片表面的自然氧化膜(SiO2)反应,形成由硅氟化铵构成的中间体膜。此时,调温机构242的热媒体源240,使冷却媒体流至热媒体通路138中,冷却处理容器94。由此,将晶片W的温度保持在例如10~20℃左右的室温。
这样,若以规定时间进行中间体膜形成工序,则接着移至中间体膜去除工序。即,在停止第1和第2处理气体的供给的同时,还停止供给高频电力。之后,开始加热不活泼性气体供给系统233的动作,从分散喷嘴234的各气体孔234A喷射由气体加热器236加热的N2气体。由此,加热晶片W,使中间体膜分解或升华后而被去除。
此时,通过在气体加热器236中将N2气体加热到例如800~1000℃左右,将晶片W加热到150~250℃左右。另外,设定成此时的处理容器94内的处理压力在100~80kPa左右的范围内,中间体膜的分解速度或升华速度足够大。此时,热媒体源240,替换掉冷却媒体,使加热媒体流到热媒体通路138中,将处理容器94加热到例如60~80℃左右。由此,促进中间体膜的分解或升华。这样,通过以元宝时间进行中间体膜去除工序,可完成前处理。之后,进行主处理装置40的处理。
如上所述,在前处理装置250中,在一台装置内连续进行中间体膜形成工序和中间体膜去除工序。因此,不仅可削减设备成本,还可提高生产率,并且可削减处理系统230的占有面积。
<第3实施方式>
[半导体处理系统]
图17是表示本发明第3实施方式的半导体处理系统的立体示意图。图18是图17所示的处理系统之横截平面示意图。在第3实施方式的处理系统260中,具备与第2实施方式的前处理装置232同样功能的前处理装置262,但该装置配置在框体4Y的侧部。
具体而言,处理系统260具有从图1所示的框体4摘除对应于前处理区域10的部分之形状的气密性框体4Y。如图18所示,在框体4Y的内部,形成用于处理作为被处理体的半导体(典型的是硅)晶片之气密状态的操作区域5Y,它仅具备与图2相同的主处理区域8。将前处理装置262连接于框体4Y的侧部,使其位于图2的前处理区域10的滑动门14的外侧。在前处理装置262中,由于不需要使晶舟向垂直和水平方向移动,所以不配置舟移动装置50。
就其它方面而言,该处理系统260与第1实施方式的处理系统2大致同样地构成。因此,根据处理系统260,除了具有与第1实施方式的处理系统2同样的效果以外,还可削减设备成本或占有面积。
[前处理装置]
图19是表示图17所示的处理系统260的立式分批前处理装置262的纵截侧面图。前处理装置262具有将截面成形为大致半椭圆形状的处理容器94。在处理容器94的一侧壁中,形成搬出搬入晶片W的纵长的装填口264(参照图18)。将处理容器94直接装配固定在框体4Y的侧面上,使装填口264面临设置在框体4Y中的滑动门14。构成滑动门14的目的是可气密地开闭处理容器94的装填口264。滑动门14还成为区分处理容器94的一部分,故曝露于清洗气体等中的滑动门14的表面,在例如实施防蚀铝处理等之后,被耐腐蚀性膜覆盖。
在使滑动门14例如沿横向滑动、打开装填口264的状态下,进行处理容器94内的晶片W相对于晶舟90的移动。因此,在前处理装置262中,不需要进行晶舟90相对于处理容器94的装填及卸载。因此,处理容器94的底部变为固定状态,借助磁性流体密封垫98,旋转轴100自由旋转地支撑在该底部上。因此,这里不需要在先前的各实施方式中为必需的舟移动装置50(还包含图2所示的垂直驱动部50A和水平驱动部50B)。前处理装置262的整体动作,与图15所示的前处理装置232相同,所以这里省略其说明。
[第3实施方式之变更例的前处理装置]
图20是表示图17所示的处理系统260中可使用的第3实施方式之变更例的立式分批前处理装置270的纵截侧面图。该变更例的前处理装置250,通过在图16所示的膜变换装置250中追加参照图19所说明的变更点来构成。
前处理装置270具有截面成形为大致半椭圆形状的处理容器94。在处理容器94的一侧壁中,形成搬出搬入晶片W的纵长的装填口264(参照图18)。将处理容器94直接装配固定在框体4Y的侧面,使装填口264面临设置于框体4Y中的滑动门14。构成滑动门14的目的是可气密地开闭处理容器94的装填口264。滑动门14还成为区分处理容器94的一部分,故曝露于清洗气体等中的滑动门14的表面,在例如实施防蚀铝处理等之后,被耐腐蚀性膜覆盖。
在使滑动门14例如沿横向滑动、打开装填口264的状态下,进行处理容器94内的晶片W相对于晶舟90的移动。因此,在前处理装置270中,不需要进行晶舟90相对于处理容器94的装填及卸载。因此,处理容器94的底部变为固定状态,借助磁性流体密封垫98,旋转轴100自由旋转地支撑在该底部上。前处理装置270的整体动作与图16所示的前处理装置250相同,所以这里省略其说明。
另外,在第3实施方式的情况下,处理容器94的截面形状不限于大致半椭圆形状(参照图17和图18),也可以是其它形状,例如形成为截面四方形状。
<第1~第3实施方式的共同事项>
在以上的实施方式中,例示了使用负载锁定盒22来作为搬送口单元20的情况。代之,例如可以使用スミフボツクス(商标)或FOOP(商标)等这样的填充密封N2气体的容器来作为搬送容器18。此时,可将搬送口单元20设成这些特殊的容器专用的构造。典型地讲,在可气密性地封闭搬送口的门中,配置开闭スミフボツクス等的盖体的驱动部。将スミフボツクス等气密性地按压于搬送口上,由门的驱动部来取下该盖体。之后,通过门与盖体一起从搬送口移动,在气密状态下相对于操作区域开放スミフボツクス等。
在以上的实施方式中,例示了在操作区域内的全体中填充不活泼性气体(N2)的情况。代之,也可以将操作区域内维持为几乎不含O2气体成分的真空状态。就本发明而言,除了自然氧化膜以外,还可以适用于去除由热CVD或等离子体CVD等处理所形成的SiO2膜的情况。作为第2处理气体而言,不限于NF3气体,也可使用包含其它卤素元素的气体、例如N2F4(四氟肼)等。另外,作为不活泼性气体而言,不限于N2气体,也可使用Ar气体、He气体等其它不活泼性气体。在主处理装置40中进行的主处理,不限于成膜处理,本发明也可适用于需要对晶片的活性面进行处理的各种处理中。
其他优点和改型对于本领域技术人员将是显而易见的。因此,本发明的更广泛的实施方式不局限于在此显示和说明的具体细节和代表性的实施例。因此,可进行不同的改型,而不脱离由所附权利要求及其等效物所确定的总体发明构思的实质和范围。

Claims (40)

1.一种立式分批处理装置,其为了去除多个被处理体上的半导体氧化膜,而以将所述半导体氧化膜变换为比所述半导体氧化膜易分解或升华的中间体膜的方式构成,其特征在于,包括:
用于形成容纳所述被处理体的气密的处理区域的处理容器;
在所述处理区域内以彼此相互间隔、叠置的状态来保持所述被处理体的支架;
从配置于所述处理区域的外侧的第1供给口向所述处理区域供给第1处理气体的第1处理气体供给系统;
从配置于所述第1供给口与所述处理区域之间的第2供给口向所述处理区域供给第2处理气体的第2处理气体供给系统;
配置在所述第1供给口与所述第2供给口之间、通过激发所述第1处理气体从而生成第1活性种的等离子体生成区域,利用所述第1活性种与所述第2处理气体的反应,生成为了形成所述中间体膜而与所述半导体氧化膜反应的反应物质;和
从隔着所述处理区域配置于与所述第2供给口相对向位置上的排气口将所述处理区域内进行真空排气的排气系统。
2.根据权利要求1所述的装置,其特征在于:
利用一体地安装于所述处理容器上的第1壁部分,在所述处理区域的侧方形成供给头区域,从所述供给头区域向所述处理区域供给所述第1活性种。
3.根据权利要求2所述的装置,其特征在于:
在所述供给头区域与所述处理区域之间,配置有将气体流整流成层流状的整流板,所述整流板具备实质上相对于所叠置的所述被处理体全体的沿垂直方向排列的多个缝隙。
4.根据权利要求2所述的装置,其特征在于:
在所述供给头区域与所述处理区域之间,配置有防止等离子体通过的离子屏蔽板。
5.根据权利要求4所述的装置,其特征在于:
所述离子屏蔽板具备实质上相对于所叠置的所述被处理体全体的沿垂直方向排列的多个缝隙,将气体流整流成层流状。
6.根据权利要求2所述的装置,其特征在于:
将所述第1供给口配置在所述供给头区域外,将所述等离子体生成区域配置在所述第1供给口与所述供给头区域之间,所述第2供给口开口至所述供给头区域内。
7.根据权利要求2所述的装置,其特征在于:
所述第1供给口开口至所述供给头区域内,将所述等离子体生成区域配置在所述供给头区域内,将所述第2供给口配置在所述供给头区域与所述处理区域之间。
8.根据权利要求2所述的装置,其特征在于:
利用在所述第1壁部分的相反侧一体地安装于所述处理容器上的第2壁部分,在所述处理区域的侧方形成排气缓冲区域,所述排气口连接于所述排气缓冲区域。
9.根据权利要求1所述的装置,其特征在于:
所述等离子体生成区域以利用从微波发生器供给的微波来激发所述第1处理气体的方式构成。
10.根据权利要求9所述的装置,其特征在于:
所述微波具有2.45GHz或400MHz的频率。
11.根据权利要求1所述的装置,其特征在于:
所述等离子体生成区域以利用从高频电源供给的高频电力来激发所述第1处理气体的方式构成。
12.根据权利要求11所述的装置,其特征在于:
所述高频电力具有13.56MHz的频率。
13.根据权利要求1所述的装置,其特征在于:
所述第2供给口具备实质上相对于所叠置的所述被处理体全体的沿垂直方向排列的多个供给口部分。
14.根据权利要求1所述的装置,其特征在于:
所述处理容器和所述支架由被耐腐蚀性膜覆盖的金属材料构成。
15.根据权利要求1所述的装置,其特征在于:
还具备冷却所述处理容器的冷却机构。
16.根据权利要求1所述的装置,其特征在于:
所述处理容器包括:用于使所述被处理体与所述支架一起对所述处理容器进行装填或卸载而在下端形成的装填口、和气密性地封闭所述装填口的盖体。
17.根据权利要求16所述的装置,其特征在于:
还具备在取下所述盖体时覆盖所述装填口的遮挡部件。
18.根据权利要求1所述的装置,其特征在于:
所述处理容器包括:用于对所述处理容器装填或卸载所述被处理体而在侧壁形成的纵长的装填口、和气密性地封闭所述装填口的滑动门。
19.根据权利要求1所述的装置,其特征在于:
所述第1处理气体具备包含氮原子和氢原子的气体,所述第2处理气体具备包含卤素元素的气体。
20.根据权利要求19所述的装置,其特征在于:
所述半导体氧化膜具备氧化硅膜。
21.根据权利要求1所述的装置,其特征在于:
还具备在所述处理区域内加热所述被处理体的加热机构,使得所述中间体膜分解或升华。
22.根据权利要求21所述的装置,其特征在于:
所述加热机构具备向所述处理区域供给加热后的不活泼性气体的不活泼性气体供给系统。
23.根据权利要求22所述的装置,其特征在于:
所述不活泼性气体供给系统以从实质上相对于所叠置的所述被处理体全体的沿垂直方向排列的多个供给口部分供给所述不活泼性气体的方式构成。
24.根据权利要求21所述的装置,其特征在于:
还具备有选择地冷却和加热所述处理容器的调温机构。
25.根据权利要求24所述的装置,其特征在于:
所述调温机构包括:在所述处理容器的壁内所形成的流路、和向所述流路中择一地供给冷却媒体和加热媒体的部件。
26.一种半导体处理系统,其特征在于,
该半导体处理系统包括:
用于形成密闭状态的操作区域的框体;
以配置可容纳多个被处理体的搬送容器的方式在所述框体上配置的搬送口单元,所述搬送口单元以可以使所述搬送容器对所述操作区域开口而不破坏所述操作区域内的密闭状态的方式构成;
用于对所述被处理体实施半导体处理、连接于所述框体的立式分批主处理装置;
用于对所述被处理体实施前处理、连接于所述框体的立式分批前处理装置,所述立式分批前处理装置,为了去除所述被处理体上的半导体氧化膜,而以将所述半导体氧化膜变换为比所述半导体氧化膜易分解或升华的中间体膜的方式构成;和
搬送机构,它配置在所述操作区域内,在所述搬送容器、所述立式分批主处理装置和所述立式分批前处理装置之间直接或间接地搬送所述被处理体,
所述立式分批前处理装置包括:
用于形成容纳所述被处理体的气密的处理区域的处理容器;
在所述处理区域内以彼此相互间隔、叠置的状态来保持所述被处理体的支架;
从配置于所述处理区域的外侧的第1供给口向所述处理区域供给第1处理气体的第1处理气体供给系统;
从配置于所述第1供给口与所述处理区域之间的第2供给口向所述处理区域供给第2处理气体的第2处理气体供给系统;
配置在所述第1供给口与所述第2供给口之间、通过激发所述第1处理气体从而生成第1活性种的等离子体生成区域,利用所述第1活性种与所述第2处理气体的反应,生成为了形成所述中间体膜而与所述半导体氧化膜反应的反应物质;和
从隔着所述处理区域配置于与所述第2供给口相对向位置上的排气口将所述处理区域内进行真空排气的排气系统。
27.根据权利要求26所述的系统,其特征在于:
所述立式分批主处理装置以进行作为所述半导体处理的成膜处理的方式构成。
28.根据权利要求26所述的系统,其特征在于:
所述立式分批前处理装置还具备在所述处理区域内加热所述被处理体的加热机构,使得所述中间体膜分解或升华。
29.根据权利要求26所述的系统,其特征在于:
所述搬送机构包括:直接搬送所述被处理体的被处理体搬送部件、和搬送保持所述被处理体的支架的支架搬送部件。
30.根据权利要求26所述的系统,其特征在于:
所述搬送口单元包括两侧具有配置闸阀的开口且内部压力可调整的负载锁定盒。
31.根据权利要求26所述的系统,其特征在于:
将对所述操作区域内进行真空排气的管线和向所述操作区域内供给不活泼性气体的管线连接于所述框体。
32.根据权利要求26所述的系统,其特征在于:
所述操作区域包括借助由区分门开闭的连接口所连接的主处理区域和前处理区域,所述主处理区域和所述前处理区域彼此可独立地调整压力,将所述立式分批主处理装置连接于所述主处理区域,将所述立式分批前处理装置连接于所述前处理区域。
33.根据权利要求26所述的系统,其特征在于:
将所述立式分批前处理装置连接于所述框体的顶棚部。
34.根据权利要求26所述的系统,其特征在于:
将所述立式分批前处理装置连接于所述框体的侧壁。
35.根据权利要求26所述的系统,其特征在于:
将所述立式分批主处理装置连接于所述框体的顶棚部。
36.根据权利要求26所述的系统,其特征在于:
还具备用于加热所述被处理体、连接于所述框体的立式分批热处理装置,使得所述中间体膜分解或升华,
所述立式分批热处理装置包括:
用于形成以彼此间隔、叠置的状态容纳所述被处理体的气密的热处理区域的热处理容器;
将所述热处理区域内进行真空排气的排气系统;
以沿着所述热处理区域延伸的方式在所述热处理容器内配置的内侧加热器;和
以沿着所述热处理区域延伸的方式在所述热处理容器外配置的外侧加热器。
37.根据权利要求36所述的系统,其特征在于:
所述立式分批热处理装置还具备向所述热处理容器内供给不活泼性气体的不活泼性气体供给系统。
38.根据权利要求36所述的系统,其特征在于:
所述内侧加热器具备沿着所述热处理容器的长度方向插入的多个U字形的碳线加热器。
39.根据权利要求36所述的系统,其特征在于:
所述热处理容器包括用于对所述热处理容器装填和卸载所述支架而在下端形成的装填口、和气密性地封闭所述装填口的盖体,
所述立式分批热处理装置还包括在取下所述盖体时覆盖所述装填口的遮挡部件。
40.根据权利要求36所述的系统,其特征在于:
所述立式分批热处理装置的所述热处理区域以容纳所述立式分批前处理装置的所述支架的方式构成,
所述搬送机构包括支架搬送部件,该支架搬送部件从所述立式分批前处理装置向所述立式分批热处理装置搬送保持所述被处理体的所述支架。
CNB2006100077526A 2005-02-18 2006-02-20 立式分批处理装置 Expired - Fee Related CN100550319C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005043245 2005-02-18
JP2005043245A JP4475136B2 (ja) 2005-02-18 2005-02-18 処理システム、前処理装置及び記憶媒体

Publications (2)

Publication Number Publication Date
CN1822328A true CN1822328A (zh) 2006-08-23
CN100550319C CN100550319C (zh) 2009-10-14

Family

ID=36911280

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100077526A Expired - Fee Related CN100550319C (zh) 2005-02-18 2006-02-20 立式分批处理装置

Country Status (5)

Country Link
US (1) US7815739B2 (zh)
JP (1) JP4475136B2 (zh)
KR (1) KR100908777B1 (zh)
CN (1) CN100550319C (zh)
TW (1) TWI353020B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
CN101231951B (zh) * 2007-01-11 2010-09-08 应用材料股份有限公司 利用nh3-nf3化学物质的氧化蚀刻
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
CN102560421A (zh) * 2010-12-15 2012-07-11 Ncd有限公司 用于薄膜沉积的方法和系统
CN102646617A (zh) * 2011-02-18 2012-08-22 株式会社日立国际电气 衬底处理装置和方法以及半导体器件制造方法
CN101882566B (zh) * 2006-08-24 2013-04-17 东京毅力科创株式会社 金属附着物的除去方法
CN104103485A (zh) * 2013-04-15 2014-10-15 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN107946290A (zh) * 2016-10-13 2018-04-20 格芯公司 用于高带宽内存应用的中介层加热器
CN108885993A (zh) * 2016-03-24 2018-11-23 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
CN109841552A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 模块加压工作站及利用其处理半导体的方法
CN110408914A (zh) * 2019-08-28 2019-11-05 理想晶延半导体设备(上海)有限公司 管式沉积系统

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
KR20140069354A (ko) 2006-08-18 2014-06-09 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP2008088529A (ja) * 2006-10-04 2008-04-17 Ulvac Japan Ltd 膜形成方法および膜形成装置
US20080173238A1 (en) * 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
KR100932964B1 (ko) * 2006-12-12 2009-12-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 반응 용기
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
JP2009094165A (ja) * 2007-10-04 2009-04-30 Ulvac Japan Ltd 熱処理方法、及び熱処理装置
JP5084525B2 (ja) * 2008-01-22 2012-11-28 株式会社アルバック 基板処理装置、及び基板処理方法
JP5140608B2 (ja) * 2009-01-16 2013-02-06 株式会社アルバック 真空処理装置及び真空処理方法
KR101309359B1 (ko) * 2009-08-27 2013-09-17 가부시키가이샤 알박 진공 처리 장치 및 진공 처리 방법
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012002393A1 (ja) * 2010-06-28 2012-01-05 株式会社アルバック 酸化膜の除去方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JP2012248779A (ja) * 2011-05-31 2012-12-13 Spp Technologies Co Ltd 酸化シリコンのエッチング装置、そのエッチング方法、及びそのエッチングプログラム
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP2013038109A (ja) * 2011-08-03 2013-02-21 Ulvac Japan Ltd 酸化膜の除去方法及びバッチ式半導体デバイス製造装置
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
WO2013147481A1 (ko) * 2012-03-28 2013-10-03 국제엘렉트릭코리아 주식회사 선택적 에피택셜 성장을 위한 장치 및 클러스터 설비
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9446467B2 (en) * 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101720620B1 (ko) * 2015-04-21 2017-03-28 주식회사 유진테크 기판처리장치 및 챔버 세정방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11339477B2 (en) * 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
CN106756888B (zh) * 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
WO2019099125A1 (en) * 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP7105751B2 (ja) * 2019-01-10 2022-07-25 東京エレクトロン株式会社 処理装置
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102516340B1 (ko) * 2020-09-08 2023-03-31 주식회사 유진테크 기판 처리 장치 및 기판 처리 장치의 운용 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3479020B2 (ja) * 2000-01-28 2003-12-15 東京エレクトロン株式会社 熱処理装置
JP2001284307A (ja) 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP3929261B2 (ja) 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
JP2002100574A (ja) 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理装置
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP2003059899A (ja) 2001-08-09 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP2003188149A (ja) 2001-12-17 2003-07-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP3912208B2 (ja) 2002-02-28 2007-05-09 東京エレクトロン株式会社 熱処理装置
JP2004128380A (ja) 2002-10-07 2004-04-22 Tokyo Electron Ltd 処理装置
JP2004128382A (ja) 2002-10-07 2004-04-22 Tokyo Electron Ltd 処理方法及び処理装置
JP3910151B2 (ja) 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
CN101882566B (zh) * 2006-08-24 2013-04-17 东京毅力科创株式会社 金属附着物的除去方法
CN101231951B (zh) * 2007-01-11 2010-09-08 应用材料股份有限公司 利用nh3-nf3化学物质的氧化蚀刻
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
TWI413179B (zh) * 2008-11-24 2013-10-21 Applied Materials Inc 用於溝槽與介層洞輪廓修飾之方法
US8268684B2 (en) 2008-11-24 2012-09-18 Applied Materials, Inc. Method and apparatus for trench and via profile modification
CN102560421A (zh) * 2010-12-15 2012-07-11 Ncd有限公司 用于薄膜沉积的方法和系统
CN102646617A (zh) * 2011-02-18 2012-08-22 株式会社日立国际电气 衬底处理装置和方法以及半导体器件制造方法
CN104103485A (zh) * 2013-04-15 2014-10-15 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN108885993A (zh) * 2016-03-24 2018-11-23 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
CN108885993B (zh) * 2016-03-24 2019-12-10 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
CN107946290A (zh) * 2016-10-13 2018-04-20 格芯公司 用于高带宽内存应用的中介层加热器
CN109841552A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 模块加压工作站及利用其处理半导体的方法
CN110408914A (zh) * 2019-08-28 2019-11-05 理想晶延半导体设备(上海)有限公司 管式沉积系统
CN110408914B (zh) * 2019-08-28 2021-07-20 理想晶延半导体设备(上海)股份有限公司 管式沉积系统

Also Published As

Publication number Publication date
US20060185592A1 (en) 2006-08-24
JP2006229085A (ja) 2006-08-31
US7815739B2 (en) 2010-10-19
TW200636855A (en) 2006-10-16
JP4475136B2 (ja) 2010-06-09
KR20060093057A (ko) 2006-08-23
CN100550319C (zh) 2009-10-14
KR100908777B1 (ko) 2009-07-22
TWI353020B (en) 2011-11-21

Similar Documents

Publication Publication Date Title
CN1822328A (zh) 立式分批处理装置
CN100342518C (zh) 处理设备、处理方法、压力控制方法、传送方法以及传送设备
CN1246887C (zh) 等离子体处理装置以及半导体制造装置
CN1717791A (zh) 基板处理容器的清洗方法
CN1759473A (zh) 半导体处理用的基板保持结构和等离子体处理装置
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
CN101048858A (zh) 绝缘膜形成方法及基板处理方法
CN1806315A (zh) Ti膜及TiN膜的成膜方法、接触结构、计算机能够读取的存储介质以及计算机程序
US7993705B2 (en) Film formation apparatus and method for using the same
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
CN1976003A (zh) 半导体装置的制造方法及基板处理系统
CN1446373A (zh) 基片处理装置及处理方法
CN1694978A (zh) 沉积高介电常数薄膜的设备
CN1489784A (zh) 电子器件材料的制造方法
CN1822326A (zh) 基板的处理方法、电子器件的制造方法和程序
CN1841655A (zh) 基板处理装置
CN1502120A (zh) 被处理体的处理方法及处理装置
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1777694A (zh) 利用等离子体cvd的成膜方法以及装置
CN1763915A (zh) 薄膜形成装置的洗净方法、薄膜形成装置及程序
CN1792474A (zh) 陶瓷喷涂构件及其清洁方法、有关程序和存储介质
CN101042992A (zh) 半导体处理用的立式等离子体处理装置
CN1871696A (zh) 绝缘膜的形成方法及其形成系统、半导体装置的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091014

Termination date: 20180220