KR20140069354A - 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템 - Google Patents

용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템 Download PDF

Info

Publication number
KR20140069354A
KR20140069354A KR1020147012729A KR20147012729A KR20140069354A KR 20140069354 A KR20140069354 A KR 20140069354A KR 1020147012729 A KR1020147012729 A KR 1020147012729A KR 20147012729 A KR20147012729 A KR 20147012729A KR 20140069354 A KR20140069354 A KR 20140069354A
Authority
KR
South Korea
Prior art keywords
carrier
section
door
port
interface
Prior art date
Application number
KR1020147012729A
Other languages
English (en)
Inventor
마이클 엘. 버파노
율리시스 길크라이스트
윌리암 포스나이트
크리스토퍼 호프메이스터
다니엘 밥스
로버트 씨. 메이
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/803,077 external-priority patent/US8267634B2/en
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20140069354A publication Critical patent/KR20140069354A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

실시예에 의거, 반도체 가공품 처리 시스템은 가공품 처리에 필요한 프로세싱 툴(한 개 이상), 프로세싱 툴(한 개 이상)로 입출력 이송을 위해 반도체 가공품을 담는 컨테이너 및 장형 제1 이송 섹션으로 구성되어 있으며 이동 방향을 정의한다. 제1 이송 섹션에는 컨테이너와 인터페이스하는 부분이 있어 컨테이너를 지지하고 이동 방향을 따라 프로세싱 툴(한 개 이상)로 컨테이너를 이송한다. 컨테이너가 제1 이송 섹션에 있을 때, 이동 방향으로 일정 속도의 연속 이송이 이루어진다. 제2 이송 섹션은 컨테이너를 프로세싱 툴(한 개 이상)에 입출력 이송을 위한 한 개 이상의 프로세싱 툴에 연결된다.

Description

용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템{Reduced capacity carrier, transport, load port, buffer system}
본 명세서에 설명된 실시예는 기판 프로세싱 설비에 관한 것으로, 특히 기판 이송 시스템, 이송 캐리어, 이송 장치-프로세싱 툴 인터페이스 및 배치에 관한 것이다.
(관련 출원 참조)
본 출원은 미국임시특허출원번호60/838,906, 출원일자 8/18/06의 출원을 요청하며 미국특허출원번호 11/803,077, 출원일자 5/11/07의 일부계속출원이며, 이는 미국특허출원번호 11/787,981, 출원일자 4/18/07의 일부계속출원이며, 이는 미국특허출원번호 11/594,365, 출원일자 11/7/06의 일부계속출원이며, 이는 미국임시특허출원번호 60/733,813 출원일자 11/7/05의 출원을 요청하는 미국특허출원번호 11/556,584, 출원일자 11/3/06의 계속출원이다. 모든 출원은 본 명세서에서 전체적으로 참조하여 결합된다.
초기 관련 실시예
전자 제품 제조에 영향을 미치는 중요한 요소는 더 강력하면서도 크기는 더 작은 전자 제품을 더 저렴한 가격으로 구하고 싶어하는 소비자들의 욕구이다. 이런 소비자의 욕구는 제조업체들이 소형화 및 제조 효율 개선을 위해 노력하게 만드는 자극제가 되며, 제조업체들은 그런 노력을 통해 가능한 모든 곳에서 이익을 실현한다. 반도체 제품의 경우, 기존의 제조 시설, 즉, FAB의 핵심 장비(즉, 기본 조직 구조)는 반도체 기판에 대해 하나 이상의 공정을 수행하는 개별적인 프로세싱 툴(예를 들어, 클러스터 툴)이다. 따라서 기존의 FAB는 프로세싱 툴을 중심으로 구성되며, 프로세싱 툴은 반도체 기판을 가공하여 원하는 전자 제품을 만드는데 필요한 구성으로 배치할 수 있다. 예를 들어, 기존의 FAB에서는 프로세싱 툴을 프로세싱 베이로 배열할 수 있다. 가공 중인 기판은 툴 사이를 이동할 때에도 툴 내에서처럼 청결한 상태를 유지하도록 SMF, FOUP 등의 캐리어에 담아 툴 사이를 이동한다. 기판 캐리어를 FAB 내의 원하는 프로세싱 툴로 이송할 수 있는 처리 설비(예를 들어, 자동 자재 취급 시스템, automated material handling systems, AMHS)가 툴 사이의 통신도 담당할 수 있다. 예를 들어, 처리 시스템과 프로세싱 툴 사이의 인터페이스에는 일반적으로 두 부분이 있는 것으로 간주할 수 있다. 하나는 처리 시스템을 프로세싱 툴의 로딩 스테이션에 캐리어를 로드/언로드하는 툴에 연결하는 인터페이스이고, 다른 하나는 캐리어를 (개별적으로 또는 그룹으로) 로드/언로드를 하는 툴 또는 캐리어와 툴 사이의 기판에 연결하는 인터페이스이다. 프로세싱 툴을 캐리어 및 자재 취급 시스템에 연결하는 기존의 인터페이스 시스템은 이미 많이 알려져 있다. 많은 기존의 인터페이스 시스템은 복잡하기 때문에, 하나 이상의 프로세싱 툴 인터페이스, 캐리어 인터페이스 또는 자재 취급 시스템 인터페이스에 있는 불필요한 기능으로 인해 비용이 증가하기도 하고, 기판을 프로세싱 툴에 로드/언로드하는 작업이 비효율적이 된다. 아래에서 자세하게 설명할 실시예는 기존 시스템의 문제를 극복한 것이다.
산업 동향에 따르면 향후 IC 소자는45nm 이하의 아키텍처를 사용할 것으로 예측되는데 이만한 집적도의 IC 소자를 제조할 때 효율을 높이고 제작비를 줄이려면 최대한 큰 반도체 기판 또는 웨이퍼를 사용해야 한다. 기존 FAB는 보통 200mm 또는 300mm 웨이퍼를 처리하는데 산업 동향에 따르면 향후에는 FAB가 450mm 웨이퍼 등 300mm 이상의 웨이퍼를 처리할 수 있어야 한다. 문제는 큰 웨이퍼를 사용할수록 웨이퍼당 처리 시간이 길어진다는 점이다. 따라서 300mm 이상의 큰 웨이퍼를 사용할 경우, FAB의 재공품(Work in process, WIP)을 줄이기 위해 웨이퍼 처리에 크기가 작은 로트를 사용하는 것이 좋다. 또한 크기가 작은 웨이퍼 로트는 크기에 관계없이 웨이퍼의 특수 로트(specialty lot) 처리 또는 다른 기판이나 평면 스크린 디스플레이 평판과 같은 평판 처리에도 유용하다. 작은 로트를 사용하여 WIP 감소 및 효율적인 특수 로트 처리가 가능하긴 하지만 FAB에 작은 프로세싱 로트를 사용하면 기존 FAB 처리량(throughput)에 부정적인 영향을 줄 수 있다. 예를 들어, 크기가 작은 로트는 큰 로트에 비해 주어진 용량의 이송 시스템(웨이퍼 로트 이송)의 부담이 커지는 경향이 있다. 이것이 도 51A의 그래프에 나와 있다. 도 51A의 그래프는 다양한 FAB속도(월별(WSPM) 등의 원하는 기간별 웨이퍼 스타트(wafer start)로 표시)에 대해 로트 크기와 이송 속도(시간당 이동량으로 표시)와의 관계를 나타낸다. 또한 도 51A의 그래프에는 기존 FAB 처리 시스템의 최대 용량을 나타내는 선도 표시되어 있다(예를 들어, 시간당 약 6000-7000 이동). 따라서 처리 시스템 용량 선과 FAB 속도 곡선의 교점이 사용 가능한 로트 크기를 나타낸다. 예를 들어, 임의의 기존 이송 시스템에서 약 24,000 WSPM의 FAB 속도를 실행하면 가장 작은 로트 크기는 약 15 웨이퍼가 된다. 웨이퍼 로트가 작을수록 FAB 속도가 감소한다. 따라서 웨이퍼 캐리어, 캐리어-프로세싱 툴 인터페이스, 캐리어 이송 시스템(FAB 내 툴, 스토리지 위치 등을 잇는 이송 캐리어)이 FAB 속도를 손상시키지 않으면서 원하는 크기의 웨이퍼를 사용할 수 있도록 배열된 시스템을 제공해야 한다.
실시예에 따르면, 반도체 가공품 처리 시스템은 가공품 처리에 필요한 프로세싱 툴(한 개 이상), 프로세싱 툴(한 개 이상)로 입출력 이송을 위해 반도체 가공품을 담는 컨테이너 및 장형(elongated) 제1 이송 섹션으로 구성되어 있으며 이동 방향을 정의한다. 제1 이송 섹션은 컨테이너와 인터페이스하는 부분이 있어 컨테이너를 지지하고 이동 방향을 따라 프로세싱 툴(한 개 이상)로 컨테이너를 가져가고 가져온다. 컨테이너가 제1 이송 섹션에 있을 때, 이동 방향으로 일정 속도의 연속 이송이 이루어진다. 제2 이송 섹션은 컨테이너를 프로세싱 툴(한 개 이상)에 입출력 이송을 위한 한 개 이상의 프로세싱 툴에 연결되어 있다. 제2 이송 섹션은 제1 이송 섹션과 분리된 별도의 섹션으로 제1 이송 섹션과의 인터페이스를 통해 컨테이너를 제1 이송 섹션의 부품에 로드하거나 언로드한다.
다른 실시예에 따른 반도체 가공품 처리 시스템도 제공된다. 시스템은 반도체 가공품을 처리하기 위한 프로세싱 툴(하나 이상)과 반도체 가공품(하나 이상)을 담아 프로세싱 툴(하나 이상)에 이송하기 위한 컨테이너로 이루어진다. 제1 이송 섹션은 장형이고 이동 방향을 정의한다. 제1 이송 섹션에는 컨테이너와 인터페이스하는 부분이 있어 컨테이너를 지지하고 이동 방향을 따라 프로세싱 툴(한 개 이상)로 컨테이너를 이송한다. 시스템에는 제2 이송 섹션이 프로세싱 툴(하나 이상)과 제1 이송 섹션에 연결되어 있어 프로세싱 툴과 제1 이송 섹션 사이에 컨테이너 이동을 담당한다. 컨테이너가 제1 이송 섹션에 있을 때 정해진 이동 방향으로 일정한 속도로 이동한다. 컨테이너의 일정한 이동 속도는 제2 이송 섹션과 프로세싱 툴(하나 이상) 사이의 인터페이스 속도와 무관하다.
다음은 본 고안의 전술한 측면 및 다른 기능을 해당 도면 별로 설명한 것이다:
도 1은 실시예의 특성을 갖추고 캐리어에 가공품 또는 기판(S)이 있는 가공품 캐리어의 입면도이다. 도1A-1B는 각각 다른 실시예에 따른 캐리어 가공품 지지대의 부분 평면도 및 입면도이다;
도 2A는 도 1의 캐리어의 횡단 입면도 및 다른 실시예에 따른 툴 포트 인터페이스이다;
도 2B는 다른 실시예에 따른 툴 포트 인터페이스 및 캐리어의 횡단 입면도이다;
도 3A-3C는 각각 다른 실시예에 따라 세 가지 위치에서 툴 포트 인터페이스와 캐리어를 나타낸 횡단 입면도이다;
도 4는 또 다른 실시예에 따른 캐리어와 툴 인터페이스의 입면도이고 도 4A-4C는 각각 다른 실시예에 따라 인터페이스 구성을 나타낸, 캐리어-툴 인터페이스 일부를 나타낸 확대된 횡단면도이다;
도 5A-5C는 또 다른 실시예에 따른 캐리어-툴 인터페이스의 부분 입면도로 세 위치에서 캐리어-툴 인터페이스를 보여준다.
도 6A-6B는 각각 다른 실시예에 따른 가공품 캐리어의 입면도이다;
도 7A-7B는 다른 실시예에 따른 가공품 캐리어의 입면도로 각각 다른 위치에서 캐리어를 보여준다;
도 8은 다른 실시예에 따른 툴 인터페이스 및 캐리어의 다른 입면도이다;
도 9는 다른 실시예에 따른 툴 인터페이스 및 캐리어의 다른 입면도이다;
도 10은 다른 실시예에 따른 툴 인터페이스 및 캐리어의 입면도이고 도 10A는 다른 실시예에 따른 프로세싱 툴 및 인터페이스할 캐리어의 부분 입면도이다;
도 11은 다른 실시예에 따른 프로세싱 툴 섹션 및 인터페이스할 캐리어의 입면도이다;
도 12A-12B는 도 11의 캐리어(가공품 전송)의 캐리어 개구부 및 캐리어 도어의 정면도다;
도 13A-13B는 도 11의 툴 섹션의 인터페이스 및 툴-캐리어 도어 인터페이스의 상단 평면도이다;
도 14는 다른 실시예에 따른 프로세싱 툴 및 인터페이스할 캐리어의 입면도이다;
도 15는 또 다른 실시예에 따른 툴 인터페이스 및 캐리어의 입면도이다;
도 16A-16B는 다른 실시예에 따라 두 가지 다른 위치에서 표시한 툴 인터페이스 및 캐리어의 입면도이다;
도 17은 캐리어의 측면도이고 도 17A-17C는 다른 실시예에 따른 캐리어 및 툴 인터페이스의 입면도와 툴 인터페이스의 평면도이다;
도 18-19는 다른 실시예에 따른 툴 인터페이스 및 캐리어의 입면도이다;
도 20은 다른 실시예에 따른 이송 시스템의 평면도이다;
도 20A-20B는 도 10의 이송 시스템 트랙 부분에 대한 부분 평면도이고, 도 20C-20D는 다른 실시예에 따른 이송 시스템의 다른 페이로드의 정면도이다;
도 21은 다른 실시예에 따른 이송 시스템의 일부분에 대한 부분 평면도이다;
도 22-24는 다른 실시예에 따른 이송 시스템 일부분에 대한 부분 평면도이다;
도 25A-25B는 각각 다른 실시예에 따른 이송 시스템 및 프로세싱 툴의 입면도이다;
도 26A-26B는 각각 다른 실시예에 따른, 이송 시스템과 툴 사이의 캐리어 전송을 위한 전송 인터페이스 시스템의 입면도이다;
도 27은 다른 실시예에 따른 이송 시스템의 부분 입면도이고 도 27A-27B는 다른 위치에서 본 이송 시스템의 부분 입면도이다;
도 28은 다른 실시예에 따른 이송 시스템의 입면도이다;
도 29A-29B는 다른 실시예에 따른 이송 시스템의 평면도이다;
도 29C는 다른 실시예에 따른 이송 시스템 및 프로세싱 툴의 평면도이다;
도 30은 도 29C의 이송 시스템 및 프로세싱 툴의 부분 입면도이다;
도 31은 이송 시스템의 또 다른 부분 입면도이다;
도 32는 다른 실시예에 따른 이송 시스템의 부분 입면도이다;
도 33-34는 각각 다른 실시예에 따른 이송 시스템의 평면도 및 입면도이다;
도 35는 다른 실시예에 따른 이송 시스템의 또 다른 평면도이다;
도 36A-36C는 각각 다른 실시예에 따른 이송 소자의 하단 투시도, 입면도 및 정면도이다;
도 36D는 다른 실시예에 따른 이송 소자의 또 다른 정면도다;
도 36E는 규격 동적 커플링의 일부분에 대한 횡단면도이다;
도 37A-37D는 각각 실시예에 따른 툴 로딩 스테이션의 투시도, 단면 및 측면 입면도 및 상단 평면도이다;
도 37E는 다른 실시예에 따른 다른 툴 로드 스테이션의 평면도이다;
도 37F는 또 다른 실시예에 따른 다른 툴 로드 스테이션의 평면도이다;
도 37G 역시 다른 실시예에 따른 다른 툴 로드 스테이션의 평면도이다;
도 38A-38C는 각각 다른 실시예에 따라 다른 프로세스를 그래픽으로 나타낸 흐름도이다;
도 39는 다른 실시예에 따른 툴 로드 스테이션의 횡단면도이다;
도 40A-40D는 각각 다른 실시예에 따른 기판 지지대의 횡단면도이다;
도 41, 41A-41B는 각각 또 다른 실시예에 따른 프로세스 시스템의 투시도, 단 입면도 및 상단 평면도이다;
도 42는 도 41의 시스템 섹션에 대한 분해투시도이다;
도 42A-42B는 각각 도 41의 이송 시스템의 다른 섹션 및 다른 위치에서 본 캐리어의 부분 투시도이고 도 42C-42D는 각각 도 41의 이송 시스템의 캐리어 그리퍼 섹션의 투시도 및 상단 평면도이다;
도 43-47은 각각 다른 실시예에 따른 선택 가능한 시스템 배열을 나타내는 구조도이다;
도 48은 또 다른 실시예에 따른 시스템의 입면도이다;
도 49는 또 다른 실시예에 따른 시스템의 부분 투시도이다;
도 50은 다른 실시예에 따른 처리 시스템의 다른 평면도이다;
도 51은 다른 실시예에 따른 이송 시스템의 평면도이다;
도 51A는 로트 크기와 이송 속도의 관계를 나타내는 그래프이다.
도 52-52A는 각각 다른 실시예에 따른 이송 시스템 일부분을 보여주는 부분 평면도이다;
도 52B는 다른 실시예에 따른 이송 시스템의 부분 평면도이다;
도 53은 도 51의 이송 시스템의 이송용 운반기(vehicle)의 평면도이고,
도 54는 또 다른 실시예에 따른 이송 시스템의 단 입면도이다.
도 55 역시 다른 실시예에 따른 이송 시스템의 단 입면도이다.
도 55A-55D는 각각 이송 시스템의 부분 측면 투시도, 다른 위치에서 본, 이송 시스템이 전송할 캐리어를 나타낸 이송 시스템의 부분 평면도 및 이송 시스템의 인터페이스 부분의 측면 입면도이다.
도 56-56A는 각각 또 다른 실시예에 따른 이송 시스템의 평면도 및 단 입면도이다.
도 57은 또 다른 실시예에 따른 캐리어의 입면도이고 도 57A는 캐리어 도어 인터페이스 일부를 나타낸 부분 구조도이다.
도 58은 다른 실시예에 따른 캐리어의 또 다른 입면도이다;
도 59A-59D는 각각 다른 실시예에 따른 캐리어/로드 포트 인터페이스의 횡단면도이다;
도 60-62는 캐리어와 로드 포트를 세 가지 다른 위치에서 본 캐리어 및 로드 포트의 부분 횡단면도이다;
도 63은 또 다른 실시예에 따른 다른 캐리어/로드 포트 인터페이스의 부분 횡단면도이다;
도 64A-E는 각각 다른 실시예에 따른 캐리어/로드 포트 인터페이스의 횡단면도이다.
실시예 방식 설명
도 1에서 가공품 캐리어(200)는 가공품(workpiece)(S)이 챔버 외부와 격리된 환경에서 이동할 수 있는 챔버(202)를 정의한다. 도 1의 캐리어(200)의 형태는 한 가지 예일 뿐이며 실시예에 따라 다른 형태를 지닐 수 있다. 캐리어(200)는 도시된 바와 같이 챔버 내부에 캐리어에 실린 가공품(S)을 지지하기 위한 카세트(210)를 수용할 수 있다. 카세트(210)에는 일반적으로 장형 지지대(elongated support)(210S)(실시예에서는 두 개가 있음)가 있고, 장형 지지대(210S)에 가공품 지지대 선반(210V)이 배열되어, 지탱하는 가공품 또는 하나 이상의 가공품을 각각 지탱하는 선반이 행(또는 스택)을 이룬다. 카세트는 캐리어 구조물에 장착되거나 부착되는데 아래에서 자세하게 설명할 것이다. 실시예에 따라 캐리어에 카세트가 없고 가공품 지지대가 캐리어 구조물의 일부로 일체형으로 제작될 수도 있다. 가공품은 350mm, 300mm, 200mm 또는 원하는 크기와 모양의 반도체 웨이퍼 등의 평판/기판 요소, 레티클/마스크 또는 디스플레이용 평판 또는 기타 적합한 품목으로 표시된다. 캐리어를 기존 13 또는 25 웨이퍼 캐리어보다 로트 크기가 작은 캐리어로 줄일 수 있다. 캐리어는 가공품이 한 개뿐인 작은 로트를 이동시키도록 구성할 수도 있고, 가공품이 10개 미만인 로트를 이동시키도록 구성할 수도 있다. 캐리어(200)와 비슷한 용량의 축소된 캐리어의 적합한 예가 미국 특허 출원번호11/207,231, 출원일자 8/19/2005, 제목 "용량이 축소된 캐리어 및 사용법(Reduced Capacity Carrier and Method of Use)'에서 다루고 있고 본 명세서에 전체적으로 참조로서 결합된다. 캐리어(200)와 비슷한 캐리어와 프로세싱 툴(예를 들어, 반도체 제조 툴, 스토커(stocker), 분류기(sorter) 등) 및 이송 시스템 간의 인터페이스의 적합한 예는 미국 특허 출원번호 11/210,918, 출원일자 8/23/05, 제목 "엘리베이터 베이스 툴 로딩 및 버퍼링 시스템(Elevator Bases Tool Loading and Buffering System)" 및 출원번호 11/211,236, 출원일자 8/24/05, 제목 "이송 시스템(Transportation System)"에 나와 있다. 두 특허 모두 본 명세서와 전체적으로 참조로서 결합된다. 캐리어(200)와 유사한 특성을 갖춘 캐리어의 또 다른 적합한 예는 미국 특허 출원번호 10/697,528, 출원일자 10/30/03, 제목 "자동 재료 처리 시스템(Automated Material Handling System)"에 나와 있으며 이 역시 본 명세서에 전체적으로 참조로서 결합된다. 캐리어(200)와 유사한, 크기가 축소된 캐리어는 큰 로트에서처럼 다른 가공품 처리가 끝날 때까지 기다릴 필요 없이 작은 로트를 이루는 가공품을 즉시(해당 워크스테이션에서 처리가 끝나는 즉시) FAB의 다음 워크스테이션으로 이송할 수 있기 때문에 FAB의 WIP(work in process)를 줄일 수 있다. 실시예의 특성이 용량이 작은 캐리어에 대한 구체적인 참조와 함께 설명되어 있지만 실시예의 특성은 13, 또는 15 또는 기타 원하는 수의 가공품을 수용할 수 있는 다른 캐리어에도 동일하게 적용된다.
도 1의 실시예에서 캐리어(200)는 수직으로(즉, Z축) 가공품을 쌓아 보유하도록 설계되어 있다. 캐리어(200)는 하단 또는 상단 열림식 또는 하단 및 상단 열림식 캐리어가 있다. 제시된 실시예에서는 상단 및 하단이 수직축 또는 Z축을 따라 배열되어 있지만 다른 예에서는 상단 및 하단이 다른 축을 따라 배열될 수도 있다. 상단 및 하단 열림식 기능은 아래 자세하게 설명되어 있는데 캐리어 개구부(204)(캐리어 정의에 따라 가공품(S)이 챔버(202)로 들어오거나 나가는 통로)가 캐리어에 담긴 가공품의 평면과 일치한다(본 실시예에서는 Z축과 수직을 이룸). 캐리어(200)도 아래에서 다룰 것인데 일반적으로 베이스 및 개폐식 또는 착탈식 도어가 있는 케이싱(212)이 있다. 도어가 닫혀 있을 때는 잠겨서 베이스가 밀폐된다. 도어와 베이스 사이가 밀봉되면 챔버(202)를 외부로부터 격리할 수 있다. 밀폐된 챔버(202)는 청정한 공기, 비활성 기체 등을 격리된 상태로 보유하거나 진공 상태를 유지할 수 있다. 도어가 열리면 가공품을 캐리어에서 로드/언로드할 수 있다. 실시예에서는 도어가 착탈식이거나 분리할 수 있는 부분이 있어 캐리어를 열고 가공품/가공품 지지 선반에 액세스할 수 있다. 도 1의 실시예를 보면, 케이싱(212)에 가공품을 담을 수 있는 오목하거나 속이 빈 부분(이하 쉘(shell)이라고 함)(214) 및 벽(캡/덮개 등)(216)이 있다. 아래에서 자세히 언급한 것처럼 벽(216)이나 쉘(214)은 캐리어 도어로 작용한다. 벽과 쉘이 맞물리면 캐리어가 밀폐되고, 분리되면 캐리어가 열린다. 실시예에서 쉘 및 벽은 적합한 공정을 거친 알루미늄 합금 또는 스테인리스강 등의 금속이다. 벽 또는 쉘 또는 둘 다 하나의 구성품이다(일체형 제조). 실시예에 따라 캐리어 케이싱은 적합한 비금속 소재를 비롯한 다른 재료로 만들 수도 있다. 카세트(210)는 벽(216)에 장착하는데 실시예에 따라 카세트를 쉘에 장착할 수도 있다. 카세트를 쉘에 장착하든, 도어에 장착하든 선택할 수 있기 때문에 도어가 열릴 때 캐리어에서 카세트 또는 카세트 안의 기판을 쉽게 분리할 수 있다. 실시예에서는 벽(216)이 쉘의 상단에 위치해 있지만 실시예에 따라 캐리어 케이싱 구성을 쉘을 상단에, 벽을 하단에 할 수도 있다. 또 다른 실시예에서는 쉘이 상단, 하단 모두에 이동식 벽을 가질 수도 있다(예를 들어, 상단 및 하단 개구부가 있는 캐리어). 실시예에 따라 이동식 벽을 캐리어의 측면에 배치할 수도 있다. 실시예에서는 도어는 수동 소자이다(예를 들어, 아래에서 자세하게 다루지만, 도어와 캐리어 사이 및 도어와 툴 인터페이스 사이의 개폐를 위해 부품 또는 구성품을 이동하지 않음).
도 2A를 보면, 캐리어(200)가 적합한 프로세싱 툴(processing tool)의 툴 포트 인터페이스(2010)에 배치되어 있다. 프로세싱 툴은 분류기, 스토커, 또는 물질 증착(material deposition), 리소그래피, 마스킹, 에칭, 폴리싱, 계측(metrology), 하나 이상의 공정을 처리할 수 있는 툴 또는 로드락(load lock)과 같이 하나 이상의 공정 모듈이나 챔버가 있는 툴 등 어떤 유형도 될 수 있다. 프로세싱 툴은 최소한 부분적으로라도 통제된 환경이 필요하고, 툴 인터페이스(2010)는 툴 또는 캐리어(200)의 통제된 환경을 손상시키지 않고 가공품을 툴과 캐리어(200) 사이에 로드/언로드할 수 있다. 실시예를 보면, 포트 인터페이스(2010)에 일반적으로 포트 또는 개구부(2012)가 있는데 이를 통해 기판이 프로세싱 툴에 로드되며, 도어, 덮개 또는 분리되는 부분(2014)이 포트를 닫는다. 다른 실시예에서는 분리되는 부분이 개구부를 일부 차단한다. 도 2A에서는 예시로 포트 도어(2014)가 폐쇄 또는 개방 위치로 표시된다. 아래에서 자세히 언급한 것처럼, 도 2A의 실시예에서, 캐리어(200)는 하단에 로드되어(예를 들어, Z방향으로 이동함) 툴 포트(2012)와 인터페이스(interface)한다. 도 2A에서는 상단 벽(216)이 캐리어(200)의 도어 역할을 한다. 예를 들어, 벽(216)은 포트 도어(2014)에 연결되어 있고 포트 도어가 분리될 때 한꺼번에 분리되어 툴 포트 인터페이스에 개방된다. 벽(216)이 분리되면 위에 장착된 카세트와 그 위의 가공품이 (가공품 이송/로봇이 액세스할 수 있도록 함) 캐리어에서 분리된다. 다시 도 1로 돌아가서, 지지대(210S)가 있는 카세트(210)의 구성상 하나 이상의 카세트 면에 액세스 영역(210A, 210B)이 생기고(실시예에서는 두 개 측면) 이를 통해 가공품 로봇(도 2A 참조)이 카세트 선반에 가공품을 로드/언로드할 수 있다. 실시예에 따라 캐리어에 원하는 수만큼 가공품 액세스 영역을 만들 수 있다. 액세스 영역은 캐리어 주변에 대칭적으로 배열하거나 비대칭 구성으로 배치할 수도 있다. 도 2A의 실시예에서 툴에는 둘 이상의 가공품 취급 로봇 (2016A, 2016B)이 있어 둘 이상의 액세스 영역(210A, 210B)에서 가공품(V)에 액세스한다. 실시예에서 툴에 가공품 이송 로봇이 여러 개 있을 수 있다. 여러 방향에서 로봇이 카세트에 액세스하면 카세트에서 로봇 사이에 가공품의 전달이 가능하다. 또한 여러 방향에서 로봇이 가공품에 액세스하면 캐리어의 툴 포트가 이송되거나 툴 포트가 인터페이스할 때 캐리어 방향의 범위를 제한할 수 있다. 따라서 캐리어(200)는 툴 인터페이스를 기준으로 둘 이상의 방향에서 툴 인터페이스와 인터페이스할 수 있다. 캐리어는 포트 도어를 폐쇄 위치로 되돌리면 닫히고, 캐리어 벽(216)이 제위치로 돌아가 쉘(214)과 맞물린다.
도 2B는 다른 실시예에 따른 툴 포트 인터페이스(2010') 및 캐리어(200)의 인터페이스이다. 본 실시예에서는 캐리어의 쉘(214)이 도어 역할을 한다. 실시예에 따르면 툴 포트 도어(2014')의 형태가 캐리어 쉘과 일치하도록 되어 있어 쉘을 둘러싸고 밀봉하여 툴의 내부가 쉘의 외부의 오염물질에 노출되는 것을 방지한다. 실시예에서 캐리어(200)는 캐리어가 오버헤드 이송 시스템으로부터 내려오는 경우와 같이 상단에 로드된다(즉, (-) Z 방향으로 이동함). 캐리어(200)를 개방하기 위해, 예를 들어 포트 도어가 툴의 내부를 향해 아래로 이동하면서((-)Z 방향임) 동시에 쉘(214)이 캐리어에서 분리된다. 이는 캐리어 도어(즉, 쉘(214))가 하단에 위치해 있고 아래로 이동하여 캐리어를 열기 때문에 하단 열림식 캐리어라고 한다. 캐리어가 열리면 카세트 내의 가공품이 노출되고 이는 벽(216)과 그대로 유지된다. 본 실시예에서는 로봇(도 2A의 로봇(2016A, 2016B)과 유사함)이 Z축으로 자유롭게 이동하여 수직으로 배열된 카세트 선반 또는 그 안에 있는 가공품에 액세스한다. 로봇에는 매퍼(표시되어 있지 않음)가 장착되어 있다. 실시예에 따라 쉘(216)에, 쉘이 분리되는 경우, 카세트 매핑을 할 수 있는 스루빔 매퍼(through beam mapper) 등의 매퍼가 내장되어 있을 수 있다. 도 2A-2B는 캐리어(200)가 상단 열림식 및 하단 열림식인 경우를 보여준다. 다른 실시예에서는 쉘 및 벽의 방향이 반대로 되어 있고(셀이 벽 위에 있음), 캐리어가 도 2B와 비슷하지만 반대로 상단 열림식이 되고(즉, 쉘이 위로 올라감) 도 2A와 유사하지만 정반대 방식으로 하단 열림식이 되기도 한다(즉, 벽이 아래로 내려감).
앞서 도 1에서 벽(216) 및 쉘(214)이 록과 같은 가동부(movable element)가 없는 수동 소자가 된다고 했다. 가동부가 있으면 툴 또는 컨테이너의 청정한 공간을 오염시킬 가능성이 있다. 예를 들어, 벽과 쉘은 상호간에 자기적으로 잠겨 있다. 마그네틱 록이란 예를 들어, 영구적인 요소 또는 전자기적 요소(226, 228)가 있거나 벽(216)과 쉘(214)에 이 둘의 조합이 있어야 벽과 쉘을 잠그거나 열 수 있다. 마그네틱 록 장치란 자기 소자에 전하가 흐르면 상태가 전환되는(개방 또는 폐쇄) 리버서블(reversible) 자기 소자 등을 말한다. 예를 들어, 벽(216)에 자기 소자(228)(예를 들어 철 소재)가 포함되어 있고 쉘(214)에 자기 스위치 소자(226)가 작동하여 벽과 쉘을 잠그거나 잠금을 해제한다. 도 2A, 2B의 실시예에서 벽의 자기 소자와 쉘의 자석은 포트 도어 인터페이스(2010, 2010')에서 마그네틱 록 장치(2028', 2026')와 연동할 수 있도록 구성하여, 캐리어 도어(벽이나 쉘 중 하나, 도 2A-2B 참조)를 포트 도어에 잠그면 캐리어 도어가 캐리어의 나머지 부분에서 해제된다. 실시예에 따라 벽과 쉘 사이의 마그네틱 록은 다른 구성을 사용할 수 있다. 도 23의 실시예에서는 캐리어에 작동 핀(actuated pin), 피에조 커플링 소자(piezo coupling device) 또는 형상기억소자(shape memory device)와 같은 기계적 커플링 소자(230)가 있어 포트 인터페이스에 메이팅 커플링(mating coupling) 기능(2030)을 사용하고 캐리어-포트 인터페이스 사이의 잠금 기능을 수행한다. 본 실시예에는 소자가 벽 부분에 위치해 있지만 실시예에 따라 쉘 내에 잠겨 있을 수도 있다. 도 24에서처럼, 능동 소자는 벽의 분리 부분과 포트 도어 사이의 밀폐된 인터페이스 안에 둘러싸여 내부 소자의 동작으로 발생하는 미립자를 가둘 수 있다. 수동 캐리어 및 캐리어 도어는 깨끗하고 세척할 수 있는 진공 방식 캐리어이다.
앞에서 언급한 것처럼 캐리어 도어와 베이스(즉, 벽(216) 및 쉘(224))는 밀봉되어 캐리어 챔버(202)가 격리된다. 또한 캐리어가 툴 포트(예를 들어, 로드 포트 모듈)와 인터페이스할 때 캐리어 도어와 베이스 각각은, 캐리어 도어는 포트 도어에, 캐리어 베이스는 포트에 각각 밀봉하기 위한, 밀봉 인터페이스가 있다. 뿐만 아니라 포트 도어에는 포트에 대한 밀봉 인터페이스가 있다.
도 3A-3C는 캐리어(200)와 유사한 캐리어(200')의 실시예에 따라 툴 포트(2220)와 인터페이스하는 것을 보여 준다. 여기서 각각의 밀봉 인터페이스(221')의 캐리어 도어를 캐리어에, 캐리어(222')를 포트에, 포트 도어(223')를 포트에, 포트 도어(224')를 캐리어 도어에는 편의상 일반적인 X(general X) 구성이라는 것과 함께 통합된 밀봉(222')을 형성한다(도 3B에 가장 잘 나타남). 실시예에서 캐리어 밀봉 인터페이스는 예시를 위해 상단 열림으로 표시되어 있지만 캐리어에 여러 열림 방식(도 1의 개구부(204)와 유사, 즉, 상단 및 하단 열림식) 이 있는 다른 실시예에서는 밀봉 인터페이스가 각각의 개구부마다 제공된다. 일반적인 X 구성은 단지 밀봉 인터페이스 표면의 구조를 나타낸 것일 뿐이고 다른 실시예에서는 밀봉 인터페이스 표면이 다른 적합한 형식으로 배치될 수 있다(예를 들어, 밀봉 인터페이스 표면이 휘어짐). 일반적으로 X 모양의 밀봉 구성은 인터페이스 사이의 볼륨이 영(0)인 상태(zero (0) trapped volume)의 여러 밀봉 인터페이스(예를 들어 부재번호 221'-222')를 정의한다. 따라서 밀봉된 인터페이스를 개방하면 밀봉 인터페이스의 개방 시 열린 공간으로 오염물질이 방출되지 않는다. 뿐만 아니라 실시예에 따라 밀봉에 원하는 방향을 설정할 수도 있다(즉, 대략 + 패턴에서 밀봉 인터페이스를 수평 또는 수직으로 향하게 함). 실시예에서 캐리어(200')가 상단 열림식이고(벽(216')이 도 2A의 실시예와 유사하게 위로 올라가서 열리는 도어임), 포트(2220)는 예시로 하단 로드로 구성되어 있다(리프터가 캐리어(220')를 툴 포트 독(dock)까지 위로 올림). 본 실시예에서 쉘(214')은 밀봉 인터페이스(214I')가 있고 밀봉면(221C', 222C')은 보통 기울어진 형태이다. 쉘의 밀봉면(222C', 221C')이 평평하게 표시되어 있지만 다른 실시예에서는 표면은 대략 X 모양의 밀봉 구성을 나타내더라도 밀봉면에 안쪽 또는 바깥쪽으로 각이 지거나 다른 형태를 띄어 밀봉 효과를 강화한다. 본 실시예의 캐리어의 벽(216')은 밀봉 인터페이스(216I')의 방향이 지정되어 있어(도 3A의 실시예에서처럼 경사짐) 밀봉면(221CD', 224CD')이 결정된다. 도3A에서 보듯이 쉘 및 벽의 밀봉면(221C', 221CD')은 각각 벽과 쉘이 닫힐 때 밀봉 인터페이스(221')를 보완해준다. 캐리어 인터페이스(214')의 표면(221C')은 일반 웨지를 형성하여 벽(216')이 쉘에 안착할 때 안내 역할을 한다(도 3C 예 참조). 또한 실시예에서 캐리어 밀봉 인터페이스(221')에 연결되는 캐리어 도어는 벽(216')의 중량이 인터페이스에 밀봉 압력을 높일 수 있는 방식으로 배치된다. 본 실시예에서 벽(216')이 지지하는 카세트 및 가공품은 보다 강력하게 캐리어 도어를 캐리어에 밀봉한다. 도 3A-3B에서는, 밀봉면(222C' 및 224CD')이 각각 포트(2220) 및 포트 도어(2214)에 밀봉면(222P', 224PD')의 역할을 보완하도록 배치된다. 도 3B는 포트(2220)에 도킹된 캐리어(200')이고 밀봉(221', 224')은 닫혀 있다. 밀봉(222', 224')을 닫으면 툴 및 캐리어 내부/챔버로부터 오염이 발생할 수 있는, 노출된 모든 표면(즉, 캐리어 또는 툴의 내부의 통제되고 격리된 챔버의 외부 표면)이 차단되고 격리된다. 도 3B에서 보듯이, 일반적인 X 모양 밀봉(220')은 무손실 볼륨 인터페이스(zero lost volume interface) 상태를 형성하기 때문에 최적의 청결 상태를 유지한다. 이는 앞서도 언급했듯이 밀봉(220')의 밀봉 구조가 캐리어 도어나 포트 도어가 개방될 때, 외부 표면이 노출되는 포켓이나 공간을 만들지 않는다는 것을 의미한다(즉, 내부 표면이 됨). 이는 도 3C에 잘 나타나 있다. 여기서 포트 도어(2214)를 분리하면 캐리어 도어(216')가 분리되는데 그전에 밀봉되지 않았던 표면이나 외부 표면이 캐리어/프로세싱 툴 내부로 노출되지 않는다.
도 3C에 나오는 것처럼, 본 실시예에서는 캐리어 도어의 상단 개구부로 인해 캐리어 챔버(202')는 벽(216')으로 지지하는 올려진 카세트 밑에 놓이게 된다. 캐리어 챔버(202')는 툴의 내부와 연결되는데, 툴의 내부에는 강제 공기 순환 설비(미도시)가 있어 캐리어 챔버 내에 일반적인 난류가 생기게 된다. 본 실시예에서는, 캐리어 챔버 내를 순환하는 공기 흐름이 (벽(216')에 매달려 있는) 올려진 카세트에 있는 가공품 밑에서 이루어지므로, 그 순환으로 인해 입자 배열이 교란되어 위에 있는 가공품에서 떨어져 나갈 가능성은 최소 수준이다. 도 3A-3C에 나오는 실시예에서는, 캐리어(200')가 올라가 포트(2220)와 연결되고, 적절한 리프팅 장치(lifting device, LD)에 의해 포트(2220)에 도킹한다. 캐리어 및 리프팅 장치에는 적절한 레지스트레이션 피처(LDR)가 있으므로, 장치 위에 캐리어를 올려 놓고 포트를 기준으로 캐리어를 배치할 수 있다. 다른 실시예에서는, 캐리어를 다른 적절한 방법으로 포트에 고정시킨다. 캐리어 도어(216')는 마그네틱 록, 기계식 인터록(예를 들어, 도어 사이의 밀봉된 인터페이스에 배치된 것) 또는 도어 사이의 밀봉된 인터페이스에서 생성되는 진공 흡입력 등을 통해 포트 도어(2214)에 물려진다. 포트 도어(2214)는 매핑 센서(미도시)를 지나가는 카세트(도 1의 카세트(210)와 비슷함)를 인덱싱할 수 있는 적절한 장치에 의해 개폐된다.
이제 도4를 보면, 다른 실시예에 따른 캐리어(300)가 나온다. 캐리어(300)는 캐리어(200)와 비슷하지만 캐리어(200)와는 정반대로 쉘(314)이 벽(316)의 위에 있다. 캐리어(200)와 비슷하게, 캐리어(300)는 상단 열림식(쉘이 도어 역할을 함)이거나 하단 열림식(벽이 도어 역할을 함)이다. 도시된 실시예에서는, 캐리어(300)에 핵심 이송 부품(300M)이 있다. 예를 들어, 캐리어 쉘(또는 벽)(314, 316)에는 롤러나 에어 베어링과 같은 이송 장치의 이동식 지지물이 있거나 구동 장치나 모터로 작동시킬 수 있는 반응성 부품이 있기 때문에 캐리어가 FAB 내에서 (별도의 이송 차대를 사용하지 않고) 자체적으로 이동할 수 있다. 예를 들어, 도 4에는 로드 포트(3010)(일반적으로 앞에서 설명한 포트(2010)와 비슷함)에 배치된 캐리어(300)가 나온다. 도시된 실시예에서는, 캐리어(300)가 위에서 포트 인터페이스로 로드한다. 캐리어 도어(316)는 포트 도어(3014)에 기대거나 그 인접한 곳에 배치하게(이에 따라 연결이 됨) 할 수 있으며, 쉘(314)은 포트(3012)와 연결할 수 있다. 또한, 캐리어(300) 및 포트 인터페이스에는 도 3B에 나오는 일반적인 X 밀봉(220')과 비슷한 3-방향, 4-방향 또는 5-방향 "크로스"형 (즉, 무손실 볼륨) 밀봉을 둘 수 있다. 도 4A는 한 실시예에 따른 밀봉(320)의 횡단면도이다. 본 실시예에서 밀봉(320)은 하단 개구부 구성에 맞는 4-방향 밀봉이지만, 그 점을 제외하면 밀봉(220')과 비슷하다.
도 4B는 다른 실시예에 따른 캐리어와 포트 사이의 인터페이스 및 그 사이의 밀봉을 보여주는 또 하나의 횡단면도이다. 본 실시예에서, 밀봉(320')은 밀봉(320)과 거의 비슷하다. 또한 도 4B를 보면 쉘 인터페이스(314I')에 서포팅 플랜지/부품(326', 328')이 있다. 본 실시예에서 플랜지(326')는 벽(316')을 작동시킬 수 있다. 예를 들면, 플랜지가 캐리어 도어의 일부와 겹쳐져 있으며(도시된 실시예에서는 이 피처가 도어 접촉면을 결정하지만, 다른 실시예에서는 이 피처가 도어와 접하지 않을 수도 있음) 마그네틱 록(326M')이 설치되어 있어서 캐리어 도어가 닫히면 벽(316')이 쉘(314')과 맞물린다. 또한, 피처(326')가 포트 도어(3014)의 마그네틱 록 (3040')과 겹쳐질 수도 있다. 포트 도어의 마그네틱 록(3040')을 작동시켜 벽(316')을 포트 도어(3014')에 물리게 할 수 있으므로 캐리어 도어의 분리도 가능하다. 캐리어 쉘 피처(326')는 포트 도어 록(3040')을 작동시킬 수 있도록 배치되므로(즉, 벽(316')이 포트 도어에 물림), 맞물려 있는 벽(316')과 쉘(314')을 거의 동시에 언록/해제(unlocking/deactivation)할 수 있다. 반대로, 포트 도어(3014')를 닫으면, 포트 도어 록(3040')이 언록/해제되면서 벽(316')과 쉘(314') 사이의 마그네틱 래치(326M')가 잠기게 된다. 본 실시예에서, 쉘의 외부 피처(328')는 포트(3010')의 로케이팅/센터링(locating/centering) 피처(3012C')와 맞물려 설치된 캐리어의 위치를 찾아낸다. 도 4B에 나오는 외부 피처(328')의 모양은 한 가지 예일 뿐이며, 다른 실시예에서는 캐리어에 원하는 로케이팅 피처가 있을 수 있다. 앞에서 언급한 것처럼, 밀봉(320')의 X 구성으로 인해 캐리어 도어를 열기 전에 밀봉 인터페이스를 퍼지(purge)할 필요가 없다. 밀봉 인터페이스는 사실상 제로 퍼지 볼륨이기 때문이다. 다른 실시예(예를 들면 도 4B 참조)에서는, 포트에 퍼지 라인(3010A)이 포함될 수 있다. 퍼지 라인(3010A)은 밀봉 인터페이스에 있을 수도 있고 그 사이에 있을 수도 있다. 도 4C는 다른 실시예에 따른 캐리어-툴 포트 인터페이스를 보여주는 또 하나의 횡단면도이다. 캐리어-포트 인터페이스에는 앞에서 설명한 밀봉(320)과 대체로 비슷한 밀봉(320")이 있다. 본 실시예에서, 캐리어 쉘(314")에는 서포트(328")가 있어서, 캐리어 도어(벽)(316")과 함께 포트 도어(3014")를 로드하지 않고 (즉, 캐리어 무게를 포트 도어(3014")에 분산시키지 않고 포트에서 캐리어(300")를 지지함) 캐리어(300")를 포트에 안착할 수 있다. 포트 도어의 접점을 캐리어 도어 밀봉(321")에 밀봉한 상태는 캐리어 도어를 열고 닫을 때 거의 일정하게 유지된다.
도 5A-5C에서는, 다른 실시예에 따라 캐리어(300)와 비슷한 캐리어(300A)가 툴 포트에 물려 있는 것을 볼 수 있다. 본 실시예의 캐리어(300A)는 상단 열림 하단 로드식(도 5A에서 화살표 +z로 표시된 방향)이다. 캐리어 쉘(316A)은 캐리어 도어 역할을 할 수 있다. 도 5B에 잘 나타나 있는 밀봉 인터페이스(앞에서 설명한 밀봉(320, 220)과 비슷하게 거의 제로 퍼지 또는 무손실 볼륨임)는 3-방향 밀봉이라고 할 수 있으며, 일반적인 Y 구성(인터페이스(321A)(벽-쉘), 인터페이스(322A)(벽-포트), 인터페이스(323A)(포트(3021A)-포트 도어(3014A))으로 되어 있다. 본 실시예에서 포트 도어(3014A)는 쉘(316A)과 대체로 일치한다. 예를 들어, 쉘(316A)은 포트 도어(3014A) 속에 포개 넣을 수 있다. 본 실시예에서, 쉘(316A)과 포트 도어(3014A)는 끼워 맞춰 배치하기 때문에 그 사이에 존재하는 인터페이스의 볼륨이 최소 수준이다. 쉘(316A)과 포트 도어 사이에 밀봉(미도시)을 마련하여 그 사이의 인터페이스를 밀봉할 수 있다. 도 5B에 나오는 것처럼, 포트 도어, 즉, 본 실시예의 포트 도어(3014A)에는 포트 도어-캐리어 도어 인터페이스 볼륨을 퍼지하는 진공 포트(3010V)가 있다.
다시 도 2A-2B를 보면, 또 다른 실시예 구성에 따른 캐리어-포트 인터페이스가 나온다. 인터페이스(220, 220')는 도 2A, 2B에 나오는 실시예와 거의 비슷하다(각각 하단 로드/상단 열림식 및 상단 로드/하단 열림식). 밀봉 인터페이스(220, 220')는 일반적인 "크로스" 즉, X 구성(인터페이스(221)(벽(216)-쉘(214)), 인터페이스(222)(쉘(214)-포트), 인터페이스(223)(포트(2012)-포트 도어(2014)) 및 인터페이스(224)(포트 도어-벽(216)))인 4-방향 밀봉이다. 도 2A에 나오는 것처럼 본 실시예에서는 밀봉 인터페이스(222, 224)를 연결면의 상대적인 이동 방향에 대해 거의 평행으로 (즉, 수직으로) 배치할 수 있다(예를 들어, 캐리어 로드 중, 포트 도어를 닫는 중). 바꾸어 말하면, 캐리어나 캐리어 도어를 닫힌 위치로 이동해도 밀봉이 닫히지 않는다. 예를 들어, 본 실시예에서는, 밀봉 인터페이스(222, 224)를 구성하는 하나 이상의 밀봉면에 팽창식 밀봉, 피에조 작동식 밀봉, 형상 기억 부품 등과 같은 작동식 밀봉을 마련하여 밀봉 인터페이스에 큰 마찰 접촉이 없이 밀봉 섹션을 작동시켜 밀봉 인터페이스를 닫을 수 있다. 여기서 설명한 밀봉 구성은 한 가지 예일 뿐이다.
다시 도 1을 살펴보면, 캐리어 쉘(214)에는 캐리어를 처리하는 외부 서포트(240)가 있다. 서포트(240)는 도면에 손잡이로 그려져 있지만, 적합한 형태라면 무엇이든 될 수 있다. 본 실시예에서, 서포트(240)는 캐리어의 취급 안정성을 최적화할 수 있도록 쉘의 반대쪽에 원하는만큼 거리를 두어 배치할 수 있다. 다른 실시예에서는 제공되는 서포트의 수가 다를 수 있다. 다시 도 6A를 보면, 캐리어 쉘(220A)에는 구멍이 뚫리거나 오목하게 들어간 부품, 멤브레인 또는 필터(260A)를 쉘의 하단에 인접한 곳에 배치할 수 있다. 부품의 구멍 또는 오목하게 들어간 부분은 캐리어 도어가 열리면 쉘로 들어오는 난류나 와류의 흐름 강도를 완화시키거나 감소시키도록 크기와 모양을 조절할 수 있다. 다른 실시예에서는, 난류나 와류를 완화시키는 부품을 캐리어의 다른 적절한 위치에 배치할 수 있다. 이 예에서는 캐리어(200A)가 하단의 쉘에 표시되어 있으며, 다른 실시예에서는 캐리어가 상단에 있을 수 있다. 흐름을 바로잡는 공간 및/또는 날개(미도시)를 툴 내부에 더 마련하여 툴 안쪽에 배치된 가공품 위에 매우 부드러운 흐름/층류를 유지할 수 있다. 도 6B는 다른 실시예에 따른 캐리어(200B)이다. 캐리어(200B)에는 챔버 내의 가공품을 주변 온도와 다른 온도로 유지할 수 있도록 열 조절 장치(250)를 둘 수 있다. 예를 들어, 캐리어 쉘이나 벽(214B, 216B)에 카세트 서포트 등을 통하여 가공품에 연결되어 있는 열전 모듈을 두어 가공품의 온도를 주변보다 높게 가열할 수 있다. 가공품의 온도가 주변보다 높으면 열영동 현상으로 인해 입자와 물 분자가 가공품에서 분리되므로, 가공품이 캐리어 밖에 있거나 캐리어 도어를 열어 놓았을 때 오염을 막을 수 있다. 다른 실시예에서는 마이크로파 에너지와 같은 다른 원하는 열 조절 장치를 사용할 수 있다. 다른 실시예에서는, 가공품 각각의 주위에 정전기장을 생성하여 물 분자 및 입자에 의한 오염을 막는다.
이제 도 1A-1B의 실시예를 보면, 카세트(210)(도 1 참조)에 선반(210V)이 포개져 있어서 선반에 의해 지지되는 가공품을 360° 확실하게 억제할 수 있다. 각각의 선반(210V)은 하나 이상의 선반 시트 또는 서포트(210C)에 의해 형성될 수 있다. 도 1A에 나오는 것처럼, 본 실시예에서는 일반적으로 가공품이 서포트에 걸쳐지도록 카세트 선반 서포트를 배치할 수 있다. 각각의 선반(210V)에는 융기된 표면이 있어서 선반에 놓여진 가공품(S)이 위치를 벗어나지 않게 해 준다. 융기된 표면이 (수직을 기준으로) 기울어져 가공품(S)을 놓을 자리를 찾아주는 로케이팅 가이드(210L)가 된다. 선반(210V)이 놓이는 면을 (가공품의 밑면을 기준으로) 기울여서(예를 들면, 가공품의 밑면에 대해 피치각이 약 1° 정도 되게 함) 경계선 영역 내에서 가공품의 하단과 접하게 할 수 있다. 다른 실시예에서는 가공품을 수동적으로 억제하는 영역이 만들어지도록 가공품 선반을 적절하게 구성한다. 다른 실시예에서는 선반에 수동적으로 가공품을 한정하는 영역을 만들지 않는다.
이제 도 7A-7B를 보면, 도 1에 나오는 캐리어(200)와 비슷한 다른 실시예에 따라 캐리어(200C)가 각각 닫힌 위치와 열린 위치로 표시된다. 본 실시예의 카세트(210B)는 높이를 조절할 수 있다. 캐리어(200B)가 닫히면, 카세트(210B)는 최소 높이가 되며, 캐리어 도어(예를 들어, 벽(216B))가 열리면 카세트가 최대 높이로 펼쳐진다. 카세트가 최소 높이에서 최대 높이로 펼쳐지면 카세트의 가공품/선반 사이의 피치각이 증가하므로 캐리어의 높이가 최소가 되며, 액세스하는 가공품 사이의 공간이 최대가 된다. 본 실시예에서, 카세트 서포트(210SB)는 일반적인 벨로우즈(bellows) 구성을 사용한다. 서포트는 알루미늄 시트 또는 그 외의 적절한 자재(예를 들어, 형상 기억 소재)로 만들어 접합부가 없이 충분한 유연성을 가지게 할 수 있다. 도시된 바와 같이, 카세트 서포트의 상단을 캐리어 벽(216B)으로 지지하게 할 수 있다. 캐리어의 상단이 열리거나(도 7B에 나오는 것처럼 벽(216B)을 뺌) 그 하단이 열리면(도 2B에 나오는 것과 비슷하게 쉘(214B)을 뺌) 카세트 (벨로우즈) 서포트(210SB)가 중력의 힘으로 펼쳐지게 된다. 캐리어 도어를 닫으면 카세트 벨로우즈가 압축이 된다. 도 7C에 나오는 것처럼, 벨로우즈(210SB)에는 가공품을 올려 놓는 가공품 지지대(210VB)가 있다. 본 실시예에서, 가공품 지지대(210VB)의 모양은 벨로우즈의 인접 부분(210PB)을 기준으로 벨로우즈가 펼쳐지거나 접힐 때 거의 일정한 방사형 위치를 유지한다. 짐작하겠지만, 벨로우즈 카세트가 접히면서, 카세트의 가공품이 벨로우즈의 인접한 주름 섹션(210PB) 사이에 확실하게 고정된다. 짐작하겠지만, 상단 클램핑 부분은 가공품의 주변 가장자리와 접한다. 도 7B에 나오는 것처럼 본 실시예에서는 카세트가 펼쳐질 때 가공품(S)의 위치를 판단할 수 있도록 툴이나 캐리어에 쓰루 빔 매퍼(2060B) 또는 기타 적절한 장치를 마련한다. 또한, 가공품 로봇(미도시)에는 가공품을 잡는 위치를 적절하게 선택하도록 가공품 인접 위치를 감지하는 센서가 있다.
앞에서 설명한 것처럼, 수동형 캐리어 도어와 밀봉이 있는 캐리어는 로드 록과 같은 진공 방식 챔버와 직접 연결하는데 적합하다. 도 8은 다른 실시예에 따라 캐리어(200')(상단 열림)를 진공 방식 챔버(400) (편의상 로드 록이라고 함)의 포트 인터페이스(4010)에 직접 맞물린 도면이다. 도 8에 나오는 캐리어(200')는 대체로 앞에서 설명한 캐리어(200, 300)와 비슷하다. 본 실시예에서, 로드 록에는 포트 도어(4014)를 열고 닫는 작용을 하고, 그에 따라 이렇게 되면 캐리어 도어(본 실시예에서는 상단 벽(216'))를 열고 닫고 카세트(210')를 올리거나 내리는 작용을 하는 인덱서(410)가 있다. 본 실시예에서, 인덱서(410)는 로드 록 챔버의 Z-높이가 낮거나 최소 수준이 되도록 구성할 수 있다. 예를 들어, 인덱서(410)를 로드 록 챔버(400C)의 외부에 로드 록 챔버를 따라 배치하여 챔버와 로드 록의 전체 높이를 낮출 수 있다. 본 실시예에서, 인덱서(410)에는 구동 섹션(412)과 커플링 섹션(414)이 있다. 도시된 실시예에서, 구동 섹션(414)에는 모터 구동 벨트나 나사식 구동 장치가 달린 전기 기계식 구동 설비가 있어서 셔틀(416)을 올리거나 내릴 수 있다. 본 실시예에서 커플링 섹션(414)은 구동 섹션의 셔틀(416)을 포트 도어(4014)에 연결하는 마그네틱 커플링이다. 예를 들어 포트 도어에 자석(영구 자석이나 전기 자석) 또는 자성 소재를 올려 놓아 마그네틱 커플링(414)의 내부 부분(414I)을 형성할 수 있다. 또한 도어(4014)의 마그네틱 부분(414I)은 포트 도어를 포트 프레임(4012)에 고정시킬 수 있다. 예를 들어, 포트 프레임(4012)에 적절한 자석(도 2B의 자석(2028')과 비슷함)을 배치하여 포트 도어의 마그네틱 부분/자석(414I)과 함께 작동하게 하면서 도어가 닫힌 위치가 되면 도어와 포트를 잠그게 할 수 있다. 본 실시예에서는, 포트 프레임의 마그네틱 록 소자가 도어(4014)의 마그네틱 커플링 부분(414I)과 함께 작동한다. 다른 실시예에서는, 도어와 구동 장치 사이의 마그네틱 커플링 및 도어와 프레임 사이의 마그네틱 록을 적절하게 다르게 구성할 수 있다. 도 8에 나오는 것처럼, 챔버 벽(400W)에 의해 구동 섹션(412)이 챔버(400C)의 내부와 분리되어 있다. 다른 실시예에서는(도 18-19 참조), 구동 섹션(412')이 포트 도어(4014')의 반응성 부분(414I')에 작동하여 포트 도어를 움직이게 만드는 리니어 모터(예를 들어, 리니어 인덕션 모터, linear induction moter, LIM)이다. LIM은 챔버 벽의 외부에 있으며 챔버의 내부와 분리되어 있다. 도 18-19에 나오는 실시예에서는, 구동 장치에 자성 소재 섹션(4122') 또는 영구 자석이 포함되어 있어서, 챔버의 전력이 끊어질 때 포트 도어(4014')를 열린 위치로 고정시켜 주는 페일세이프 록이 된다. 다른 실시예에서는, 적절한 축압기를 구동 장치에 연결하여 포트 도어를 닫힌 위치로 낮추는데 필요한 제어를 한다. 도 8과 18-19에서 알 수 있듯이, 본 실시예에서는 포트 도어와 포트 프레임 사이의 밀봉이 배치되어 도어의 무게가 인터페이스 밀봉에 도움이 된다.
도 8에 나오는 실시예에서, 마그네틱 커플링의 해당 섹션(414I)은 포트 도어(4014)와 캐리어 도어(216')가 서로 맞물리게 할 수 있다. 예를 들어, 캐리어 도어에는 작동이 된 커플링 섹션(414I)과 연동하여 포트와 캐리어 도어가 서로 맞물리게 하도록 배치된 적절한 자석(예를 들어, 영구 자석)이나 자성 소재(228')가 있다(예를 들여, 전자석 또는 가변 자기장 자석을 포함하는 것임). 본 실시예에서, 포트 도어의 동작은 역시 챔버에서 분리되어 있는 가이드에 따른다. 예를 들어, 도시된 실시예에서, 벨로우즈(400B)는 포트 도어를 챔버 벽에 연결하며 포트 도어 이동 가이드(4006)를 챔버에서 분리한다. 본 실시예의 가이드에는 일반적으로 텔레스코핑 섹션이 있다. 텔레스코핑 가이드는 속이 빈 원통형 텔레스코핑 섹션으로 만든 것으로 그려져 있지만, 다른 실시예에서는 적절한 다른 형태로 구성할 수도 있다. 다른 실시예에서는 인덱서를 원하는 다른 형태로 구성할 수 있다. 예를 들어, 미국 특허 출원번호 10/624,987, 출원일자 7/22/03에 나오며 본 명세서에 전체적으로 참조로서 결합되어 있는 것처럼, 적절한 인덱싱 모터를 챔버 벽에 배치하고 챔버의 내부에서 분리시켜 놓으면 포트 도어의 기계식 가이드 없이 포트 도어를 제어하면서 이동시킬 수 있다. 포트 도어를 닫는데 도움이 되도록 벨로우즈(400B)를 가압할 수도 있다. 벨로우즈에는 진공 라인, 포트 도어에 연결된 전원/신호 라인 등과 같은 도관 시스템도 포함되어 있을 수 있다. 본 실시예에서, 포트 도어에는 진공 소스에 연결된 포트(PD10)가 있어서 아래에서 자세히 설명하는 것처럼 챔버 펌프 다운 포트를 형성할 수 있다.
이제 도 9를 보면, 다른 구현 예에 따른 진공 챔버(400')에 있는 캐리어(300')가 나온다. 도시된 실시예에서, 캐리어(300')는 하단 열림식 캐리어(앞에서 설명한 캐리어(300)와 비슷함, 도 3 참조)이다. 본 실시예에서, 포트 도어(4014')는 챔버가 열리면 챔버 안으로 내려간다. 인덱서(미도시)는 도 8, 18-19에 나오는 것과 비슷하지만 포트 도어를 아래로 이동시키도록 배치되어 있다. 챔버 및 포트 도어에는 닫힌 상태의 도어를 챔버 프레임에 맞물리게 하는 마그네틱 록(4028', 4026')이 있다. 본 실시예에서 포트 프레임에는 코일 소자(4028')(마그네틱 록의 프레임측 부분으로 표현되는 것을 정의하는 것임)이 하나 이상 있다. 코일 소자(들)(4028')는 원하는 위치에 배치할 수 있으며 도어 록 부품(4026')에 작용하는 자기장을 생성한다. 도어의 마그네틱 록 부품(4026')은 영구 자석이거나 자성 소재이다. 본 실시예에서, 코일 소자(4028')는 챔버 내에 있는 것으로 표시되어 있다. 다른 실시예에서는 코일 소자가 바깥쪽에 있을 수 있다. 챔버 벽은 챔버의 내부에서 분리되어 있다. 코일 소자는 고정할 수도 있고 프레임에 대해 일정한 위치를 유지하게 할 수도 있다. 필요한 경우 자기장 강도를 감소시켜 마그네틱 록의 자력을 줄여 포트 도어가 쉽게 움직이게 할 수 있다. 다른 실시예에서, 코일 소자는 구동 시스템의 셔플에 장착되어 움직일 수 있으며 포트 도어와 인덱서 사이의 마그네틱 커플링의 일부를 구성한다. 다른 실시예에서, 마그네틱 록은 앞에서 설명한 것처럼 캐리어 도어를 캐리어에 물리게 하는 것과 비슷하다. 자성을 이용해 프레임에 물리게 하는 포트 도어(4014')의 영구 자석 또는 자성 소재(4026')도 도 8에 나오는 것과 비슷하게 인덱서에 연결되는 커플링이 된다. 도 9에 나오는 실시예의 챔버에는 도 8에 나오는 것과 비슷한 벨로우즈 및 포트 도어 가이드도 있다. 벨로우즈에 압력을 가하면 포트 도어를 들어올려 닫힌 위치로 유지하는데 도움이 되며, 특히 캐리어 도어와 카세트가 포트 도어에 놓여 있을 때 도움이 된다. 다른 실시예에서는, 챔버 안에 포트 도어 가이드가 없는 벨로우즈가 있을 수 있다. 진공 장치를 포트 도어에 연결하여 챔버 펌프가 포트 도어-캐리어 도어 인터페이스를 통해 내려가게 할 수 있다. 그렇기 때문에, 도 8에 나오는 실시예에서처럼, 본 실시예에서는 챔버 펌프 다운 포트가 포트 도어에 있다.
다시 도 8의 실시예를 보면, 캐리어가 챔버 포트에 연결되어 있고 인덱서(410)가 포트 도어를 닫힌 위치에서 옮긴 상태에서 로드 록 챔버 펌프 다운이 실행된다. 도 8에서 알 수 있듯이, 본 실시예에서 포트 도어의 진공 포트(PD10)를 로드 록 챔버를 펌프 다운하는 것은 캐리어 도어(216')-포트 도어(4014) 인터페이스를 통해 실행된다. 캐리어 도어-포트 도어 인터페이스를 통하여 흡입되는 챔버/캐리어 가스 흐름으로 인해 인터페이스에 음의 압력이 생성되므로 오염물이 우발적으로 챔버 안으로 들어오는 것을 막을 수 있다. 도 10은 다른 실시예에 따른 포트 도어(5014)를 통한 로드 록 챔버 펌프 다운을 보여준다. 본 실시예에서는, 로드 록 챔버 펌프 다운을 하기 전에 포트 도어-캐리어 도어 공간(5430) 및 캐리어 챔버(202)를 퍼지한다. 예를 들어, 진공을 가하고 포트 도어-포트 밀봉(5223)을 균열(시키거나 적절한 밸브를 사용)하여 공간(5430)으로 퍼지 가스가 들어오게 할 수 있다. 캐리어 도어(216)를 균열시켜 로드 록 챔버(5400) 가스가 캐리어 안으로 들어오게 하거나 적절한 밸브를 작동시키면 캐리어(200)를 퍼지할 수 있다. 예를 들어, 챔버에서 공급하는 가스(도 10에는 희미하게 표시됨)를 캐리어로 전달하여 캐리어(200)에 원하는 가스가 들어가게 할 수 있다. 포트 도어와 캐리어 도어가 열린 위치로 이동한 상태의 로드 록 챔버(5400)와 캐리어(200)를 보여주는 도 10A에 나오는 것처럼, 로드 록 챔버(5400)에는 로드 록의 벽에 원하는 형태로 노출된 벤트(즉, 가스 공급 장치)(4550)가 있어서 로드 록 챔버를 환기시킨다. 따라서, 본 실시예에서 퍼지 라인은 퍼지용으로 사용되며, 챔버의 환기는 캐리어 도어-포트 도어 인터페이스와 독립적으로 수행될 수 있다.
도 11은 캐리어 도어(316A)와 포트 도어(6414)에 각각 기계식 "페일세이프" 잠금 장치가 있어서 캐리어 도어를 캐리어(314D)에 맞물리게 하고 포트 도어를 포트(6412)나 챔버(640D)에 맞물리게 하는 실시예를 보여준다. 캐리어(314D), 캐리어 도어(316D), 포트(6412) 및 포트 도어(6414)는 수동적이다(명확한 잠금 부분이 없음). 본 실시예에서, 인덱서는 포트 도어의 Z 축 인덱싱과 포트 도어의 회전을 모두 실행하여 포트 도어와 캐리어 도어의 록 탭을 결합/분리할 수 있다. 다른 실시예에서는, 포트 도어의 Z 축 이동과 회전이 각각 다른 구동 샤프트를 통해 이루어진다. 도 12A-12B는 각각 캐리어 쉘(314D)과 캐리어 도어(316D)를 밑에서 본 도면이다. 도 13A-13B는 각각 (로드 록) 챔버(6400)의 포트(6412)와 포트 도어(6414)의 상단 평면도이다. 본 실시예에서, 캐리어 쉘의 하단면에는 결합 탭/표면(360D)이 있으며, 이것은 캐리어 도어(316D)에 있는 결합면(362D)과 결합되어 있다. 이에 따라, 결합면(360D, 362D) 사이의 결합/분리는 캐리어(314D)를 기준으로 캐리어 도어가 회전하면서 이루어진다. 캐리어 도어의 회전은 아래에서 설명하는 것처럼 포트 도어(6414)에 의해 전달된다. 다른 실시예에서는 도어와 캐리어 사이의 결합면을 임의의 원하는 형태로 구성할 수 있다. 캐리어 도어(316D)에는 캐리어 도어(6414T)의 토오크 커플링 멤버를 보완하는 암놈/숫놈 토오크 커플링 피처(365D)가 있다. 도시된 실시예에서, 포트(6412)와 포트 도어(6414)에는 일반적으로 캐리어 및 캐리어 도어의 결합 피처와 비슷한 인터록킹면 즉, 결합면이 있다. 도 13A, 13B에 잘 나타나 있듯이, 포트에는 결합면(6460)(예를 들어, 안쪽으로 투사)이 있으며, 포트 도어(6414)는 결합면(6462)을 보완하여 포트면(6460)과 겹쳐져 맞물린다. 이에 따라, 본 실시예에서 캐리어의 결합면(3600, 3620)과 포트의 결합면(6460, 6462)은 서로에 대해 상대적인 위치에 배치되어 있으므로 캐리어와 캐리어 도어 사이, 그리고 포트 도어가 회전할 때 포트와 포트 도어 사이에서 동시 결합/분리가 가능하다.
도 14는 로드 록 챔버(400E)와 인덱서(6410E), 그리고 캐리어(300E)를 보여준다. 본 실시예에서 인덱서는 로드 록 챔버와 사실상 축 방향으로 직렬로 배치된다. 포드(pod)(200, 300, 3000)와 비슷하게, 도 4에 나오는 본 실시예의 포드(300E)는 앞에서 설명한 것과 비슷한 피처를 갖춘 진공이 가능한 상단/하단 열림식 포드이다. 챔버(6400E)는 이전에 설명한 챔버와 비슷하다. 도 15는 용량을 줄인 펌프 다운 볼륨 구성의 로드 록 챔버 및 캐리어(300F)를 보여준다. 도시된 실시예에서, 캐리어 도어(316F)에는 상단(350F)과 하단(321F)의 도어-캐리어 쉘(314F) 밀봉이 있다. 하단 밀봉(3270F)(밀봉(221)과 비슷함)은 도 15에 나오는 것처럼 캐리어 도어가 닫히면 쉘(314F)과 맞물린다. 상단 밀봉(350F)은 캐리어 도어가 열리면 캐리어 쉘에 대해 밀봉을 한다(예를 들어, 밀봉(350F)은 캐리어 시트 표면(351F)에 장착되어 밀봉을 한다). 상단 밀봉(350F)은 캐리어 챔버를 로드 록 챔버에서 분리하므로 로드 록 챔버를 펌핑하여 진공으로 만들 때 펌프 다운 볼륨이 줄어든다.
도 16A-16B는 다른 실시예에 따라 각각 도킹된 위치와 도킹 해제된 위치의 캐리어(300G) 및 로드 록 챔버(6400G)이다. 캐리어(300G)에는 하단 벽(316G), 고리형 섹션(314G) 및 상단 벽(314PD)이 있다. 본 실시예에서는 고리형 섹션(314G) 또는 그 섹션의 하나 이상의 부분이 캐리어 도어 역할을 한다. 상단 벽과 하단 벽(316G, 314PD)은 함께 고정되어 있으며, 도어 영역을 정하는 이동 섹션(314G)에는 상단과 하단에 밀봉(350G, 321G)이 있다. 밀봉은 각각 상단벽과 하단벽(316G, 314PD)을 밀봉한다. 로드 록 챔버(6400G)에는 열린 포트(6402G)가 있다. 도 16B에 나오는 것처럼 캐리어(300G)는 포트를 통해 로드 록 챔버 안으로 들어간다. 로드 록 챔버(6400G)에는 리세스(6470G)가 있기 때문에 캐리어 도어(314G)를 낮추어 캐리어 액세스를 열 수 있다. 캐리어의 상단 벽(314PD)은 로드 록 챔버 포트를 밀봉한다. 따라서 로드 록 챔버가 밀봉되어 챔버의 펌프 다운 동작이 가능해진다. 캐리어 도어(314G)를 올리고 내릴 수 있도록 적절한 엘리베이터가 마련되어 있다. 도 17-17C에는 다른 실시예에 따른 또 하나의 상단 밀봉 캐리어(300H)와 로드 록 챔버(6400H)가 나온다. 캐리어(300H)에는 (가공품을 로드/언로드할 수 있도록 캐리어 가장자리를 따름) 상단 밀봉 플랜지(314H)와 측면 개구부(304H)가 있다. 본 실시예에서, 캐리어 상단 밀봉 플랜지(314H)는 도 17B에 나오는 것처럼 챔버 포트의 림(6412H)에 설치되어 밀봉한다. 캐리어 도어(314DR)는 바깥쪽으로 향하는 방사형 운동과 회전 운동에 의해 열린다. 운동은 도 17C의 화살표(O)로 표시되어 있다. 캐리어 개구부는 로드 록 챔버의 슬롯 밸브와 나란히 맞추어져 있다. 본 실시예를 설명하면서 로드 록 챔버를 구체적으로 언급했지만, 설명된 피처는 도 18에 나오는 것과 같은 로드 포트 챔버에 똑같이 적용된다. 로드 포트 챔버의 내부에는 통제된 대기가 있지만 격리할 수는 없다.
도 29A 및 29B를 보면, 다른 구현 예에 따른 자동 자재 취급 시스템(10, 10')의 평면도가 나온다. 예를 들어 도 29A와 29B에 나오는 자동 자재 취급 시스템에는 일반적으로 하나 이상의 베이내 이송 시스템 섹션(15), 하나 이상의 베이내 이송 시스템 섹션(20), 베이 대기열 섹션(35), 이송 사이딩(siding) 또는 션트 섹션(25) 및 가공품 캐리어나 이송 장비가 포함된다. '베이내(intrabay)'와 '베이간(interbay)'이라는 용어는 편의상 사용하는 것이며 이송 시스템(10, 10')의 배치를 제한하는 것이 아니다(여기서 사용되는 '간'이라는 용어는 일반적으로 많은 수의 그룹이 포함된 섹션을 가리키며, '내'라는 용어는 일반적으로 한 그룹 내에서 사용되는 섹션을 가리킴). 이송 시스템 섹션(15, 20, 25, 35)은 함께 중첩시킬 수 있으며(즉, 한 이송 루프를 다른 이송 루프 내에 넣을 수 있음), 일반적으로 프로세싱 시설 내에서 반도체 가공품(예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 평면 디스플레이 패널 및 그와 유사한 물품) 및/또는 캐리어를 프로세싱 베이(45) 및 관련 프로세싱 툴(30) 사이에서 고속으로 이송할 수 있도록 배치된다. 다른 실시예에서는, 적절한 모든 자재를 자동 자재 취급 시스템으로 운반할 수 있다. 이송 시스템(10)을 이용하면 가공품을 하나의 이송 섹션에서 다른 이송 섹션으로 방향 전환할 수 있다. 가공품의 이송을 위한 자동 자재 취급 시스템 내에 베이간 부분과 베이내 부분이 있는 예는 본 명세서의 앞에서 전체적으로 참조로서 결합한 "자동 재료 처리 시스템(Automated Material Handling System)"이라는 제목의 미국 특허 출원번호 10/697,528에서 볼 수 있다.
도 29A 및 29B에 나오는 자동 자재 취급 시스템(10, 10')의 구성은 전형적인 구성의 예이며, 자동 자재 취급 시스템(10, 10')은 적합한 모든 구성으로 배치하여 프로세싱 시설에서 프로세싱 베이 및/또는 프로세싱 툴의 원하는 모든 레이아웃을 수용할 수 있다. 도 29A에서 볼 수 있듯이, 본 실시예에서 베이간 이송 섹션(15)은 서로의 하나 이상의 측면에 배치되어 하나 이상의 프로세싱 베이(45)에 해당하는 임의의 수의 이송 섹션(20)에 의해 서로 연결된다. 다른 실시예에서는 외부 또는 측면 이송 섹션이 베이내 섹션이며, 그 사이에서 이동하는 섹션이 베이내 섹션을 베이 안에 있는 프로세싱 툴 그룹 또는 어레이에 연결한다. 본 실시예에서, 도 29a의 베이간 이송 섹션(15)은 크로스 션트(50)에 의해 연결되어 있으므로, 가공품 이송 장치가 프로세싱 또는 FAB 베이(45)를 통과하지 않고 베이간 이송 섹션(15) 사이에서 직접 이동할 수 있다. 또 다른 실시예에서는, 이송 섹션(15)을 추가적인 베이내 이송 섹션(미도시)으로 서로 연결한다. 도 29B에 나오는 것과 같은 다른 실시예에서는, 베이간 이송 섹션(15)이 임의의 수의 프로세싱 베이(45) 사이에 배치되므로, 베이나 툴 그룹(45)에서 사용되는 브랜치 섹션 사이에서 일반적인 중심점 즉, 이송 중심 통로가 된다. 다른 실시예에서는, 베이내 이송 섹션이 임의의 수의 프로세싱 베이(45)를 둘러싼 경계선이 된다. 또 다른 실시예에서는, N개의 시스템에 대해 임의의 수의 중첩된 루프 섹션이 있을 수 있다. 예를 들면, 도 29A와 29B에 나오는 것처럼 시스템(10 또는 10')이 이송 섹션에 의해 일반적으로 병렬로 연결되어 있고, 이송 섹션들은 각각의 베이간 이송 섹션(15)에 직접 연결되어 있다. 또 다른 실시예에서는, 이송 섹션(15, 20) 및 프로세싱 툴을 적절하게 임의로 구성할 수 있다. 뿐만 아니라, 임의의 수의 베이내/베이간 시스템을 적절한 임의의 구성으로 함께 연결하여 중첩된 프로세싱 배열을 구성할 수 있다.
예를 들어 베이간 이송 섹션(15)은 임의의 적합한 가공품 이송 장치를 이동시키는 모듈형 트랙 시스템이 될 수 있다. 트랙 시스템의 각각의 모듈은 적절한 결합 수단(예를 들어, 인터록킹 페이싯, 기계식 패스너)을 갖추고 있으므로 베이내 이송 섹션(15)을 설치하는 동안 모듈을 서로 완벽하게 서로 결합할 수 있다. 레일 모듈은 적절한 길이(예를 들어, 몇 피트)로 제공할 수도 있고 직선이나 곡선의 임의의 적절한 형태로 제공할 수도 있으므로 설치할 때 다루기 쉽고 유연하게 구성할 수도 있다. 트랙 시스템은 가공품 이송 장치를 밑에서 지지할 수도 있고, 다른 실시예에서는 트랙 시스템을 매달린 시스템으로 만들 수도 있다. 트랙 시스템에는 롤러 베어링 또는 기타 적절한 베어링 표면이 있으므로 가공품 이송 장치가 롤러 위에서 큰 저항을 받지 않고 트랙을 따라 움직일 수 있다. 롤러 베어링은 가공품 용기가 트랙을 따라 움직일 때 방향 안정성을 높이기 위하여 테이퍼 형태이거나 곡선이나 모서리 안쪽을 향하여 구부러진 형태이다.
베이내 이송 섹션(15)은 컨베이어 방식 이송 시스템, 케이블과 풀리 또는 체인과 스프라킷을 사용하는 이송 시스템, 휠 구동 방식 시스템 또는 자기 유도 방식 이송 시스템이다. 이송 시스템을 구동하는데 사용되는 모터는 베이내 이송 섹션(15)을 따라 가공품 용기를 이동시킬 수 있는 제한 없는 이동 기능이 있는 적합한 모든 리니어 모터이다. 리니어 모터는 움직이는 부품이 없는 솔리드 스테이트 모터이다. 예를 들어, 리니어 모터는 브러시형 또는 무브러시형 AC/DC 모터, 리니어 유도 모터 또는 리니어 스테퍼 모터이다. 리니어 모터는 베이내 이송 섹션(15)에 내장시킬 수도 있고 가공품 이송 장치나 용기 자체에 내장시킬 수도 있다. 다른 실시예에서는, 베이내 이송 시스템을 통해 가공품 이송 장치를 구동하는 적절한 구동 수단을 내장할 수도 있다. 또 다른 실시예에서는, 베이내 이송 시스템이 무트랙 휠 방식 자율 이송 차량을 위한 통로일 수도 있다.
아래에서 설명하겠지만, 베이내 이송 섹션(15)에서는 일반적으로 대기열 섹션과 션트를 사용하여 베이내 이송 섹션(15)의 경로를 따라 가공품 이송 장치가 중단 없이 고속으로 이동하거나 흘러갈 수 있다. 이것은 이송 라인에서 이송 용기를 추가하거나 제거할 때 자재 흐름을 정지시켜야 하는 기존의 이송 시스템에 비해 매우 유리한 점이다.
앞에서 언급했듯이, 본 실시예에서는, 베이내 이송 섹션(20)이 프로세싱 베이 또는 FAB 베이(45)의 영역을 결정하며, 대기열 섹션(35)을 통해 베이간 이송 섹션(15)에 연결된다. 대기열 섹션(35)은 베이간/베이내 이송 섹션(15, 20)의 어느 한 쪽 측면에 배치되며, 베이간 이송 섹션(15)에서의 자재 흐름이나 베이내 이송 섹션(20)에서의 자재 흐름을 중단시키거나 느려지게 하지 않고 가공품 또는 가공품 용기가 베이내 이송 섹션(20)으로 들어가고 나올 수 있게 만든다. 실시예에서, 대기열 섹션(35)은 이송 섹션(15, 20)과 독립적인 섹션으로 그려져 있다. 본 실시예에서, 대기열 섹션 또는 이송 섹션(15, 20) 사이의 대기열 경로는 이송 섹션에 중요한 부분을 구성하지만 이송 섹션 사이의 개별적인 대기열 이송 경로의 범위를 정하게 된다. 다른 실시예에서는, 베이간 섹션과 베이내 섹션에 대기열을 원하는대로 배치할 수 있다. 이송 시스템에 이동 선로 및 액세스 선로와 대기열 선로가 있어서 이동 선로를 손상시키지 않고 이동 선로 액세스를 선택적으로 온/오프(on/off)할 수 있는 예는 본 명세서의 앞에서 전체적으로 참조로서 결합한 "이송 시스템"(Transportation System)이라는 제목의 미국 특허 출원번호 11/211,236에 설명되어 있다. 베이내 이송 섹션(20)과 대기열 섹션(35)에는 베이간 이송 섹션(15)에 대해 위에서 설명한 것과 거의 비슷한 트랙 시스템이 있다. 다른 실시예에서, 베이내 이송 섹션 및 베이내/베이간 이송 섹션을 연결하는 대기열 섹션은 적절한 임의의 구성, 모양, 형태를 사용할 수 있으며 적절한 임의의 방식으로 구동할 수 있다. 도 29A에 잘 나타나 있듯이, 본 실시예에서 대기열 섹션(35)에는 베이내 및 베이간 이송 섹션(20, 15)의 이동 방향(R1, R2)에 해당하는 입력 섹션(35A)과 출력 섹션(35B)이 있다. 여기서 예로 사용된 규칙은 섹션(35A)을 섹션(20)(섹션(15)의 출구)의 입력으로 정의하며 섹션(35B)을 섹션(20)의 출구/출력(섹션(15)의 입력)으로 정의한다. 다른 실시예에서는, 대기열 섹션의 이동 방향을 원하는대로 정할 수 있다. 아래에서 더 자세히 설명하겠지만, 가공품 용기는 입력 섹션(35A)을 통해 베이간 이송 섹션(15)을 빠져 나와 출력 섹션(35B)을 통해 베이간 이송 섹션(15)으로 들어간다. 대기열 섹션(35)은 이송 섹션(15, 20)을 온/오프(on/off)하여 가공품 이송 장치를 빼거나 투입하기에 적절한 길이이다.
베이내 이송 섹션(20)을 통로 내에서 확장하여 임의의 수의 프로세스 툴(30)을 이송 시스템(10, 10')에 연결할 수 있다. 또한 베이내 이송 섹션(20)은 도 29A에 나오는 것처럼, 그리고 위에서 설명한 것처럼 두 개의 이상의 베이간 이송 섹션(15)을 서로 연결할 수 있다. 베이내 이송 섹션(20)은 도 29A 및 29B에 폐쇄 루프 형태로 그려져 있지만, 다른 실시예에서는 적절한 임의의 구성이나 형태가 될 수 있으며 임의의 제조 시설 레이아웃에 맞추어 수정할 수 있다. 본 실시예에서, 베이내 이송 섹션(20)은 대기열 섹션(24)과 비슷한 이송 사이딩 또는 션트(25)를 통해 프로세스 툴(30)에 연결할 수 있다. 다른 실시예에서는, 베이간 이송 섹션에 비슷한 방식으로 션트를 제공할 수 있다. 션트(25)는 가공품 이송 장치를 "오프 라인"으로 만드는데 효과적이며, 도 29A에 나오는 것처럼 베이간 이송 섹션(20)의 이동 방향(R2)에 따른 입력 섹션(25A)과 출력 섹션(25B)이 있다. 션트(25)를 이용하면 가공품 이송 장치가 입력/출력 섹션(25A, 25B)을 통해 베이내 이송 섹션(20)으로 들어가거나 나갈 수 있으며, 베이내 이송 섹션(20) 내에서 가공품 이송 장치의 거의 일정한 속도의 흐름을 중단시키지 않는다. 션트(25)에서, 가공품 용기는 프로세스 툴 스테이션(30)의 위치에 해당하는 툴 인터페이스 스테이션에서 멈출 수 있으므로, 장비 프론트엔드 모듈, 분류기 또는 기타 적절한 이송 로봇을 통해 가공품 및/또는 용기를 프로세스 툴 로드 포트 또는 그 외의 적절한 가공품 스테이징 영역으로 이송할 수 있다. 다른 실시예에서는, 가공품 이송 장치를 원하는 션트로 보내 지정된 이송 섹션의 이송 장치 순서를 재지정(즉, 재설정)할 수 있다.
가공품 캐리어 또는 이송 장치를 섹션(15, 20, 25, 35) 사이에서 전환하는 것은 컨트롤러(미도시)에 연결된 안내 시스템(미도시)으로 제어할 수 있다. 안내 시스템에는 섹션(15, 20, 25, 35)을 따라 이동하는 이송 장치의 위치를 결정할 수 있는 포지셔닝 장치가 포함된다. 포지셔닝 장치는 연속형 장치나 분산형 장치(예를 들어, 옵티컬, 마그네틱, 바 코드, 표준형 스트립) 등의 적절한 종류이며, 섹션(15, 20, 25, 35) 전체에서 사용된다. 컨트롤러가 섹션(15, 20, 25, 35)에서 이송 장치의 위치를 확인하고 이송 장치의 이동 상태를 확인할 수 있도록 이송 장치에 있는 적절한 판독 장치로 분산형 장치를 판독 또는 확인한다. 또는, 장치가 이송 장치, 가공품 캐리어 또는 가공품에서 RFID(무선 주파수 식별 장치)와 같은 감지 정보를 감지 및/또는 확인하여 위치/이동 정보를 식별할 수도 있다. 포지셔닝 장치에는 이동 중인 이송 장치의 위치를 감지할 수 있는 독립적인 포지셔닝 장치(예를 들어, 레이저 레이징 장치, 초음파 레인징 장치, 내장형 GPS와 비슷한 내장형 포지셔닝 시스템, 내장형 리버스 GPS)가 단독으로 또는 분산형 장치와 결합된 형태로 포함된다. 컨트롤러는 안내 시스템에서 보내는 정보를 이송 장치에서 보내는 위치 피드백 정보와 결합하여 섹션(15, 20, 25, 35)을 따라가며 그 사이에서 이송 장치의 이송 경로를 확인하고 유지한다.
다른 실시예에서는, 안내 시스템에 가공품 이송 장치의 기계식 가이드 피처와 연계되어 구조적 또는 기계적 가이드 표면을 형성하는 홈, 레일, 트랙 또는 기타 적절한 구조가 포함된다. 또 다른 실시예에서는, 섹션(15, 20, 25, 35)에 가공품 이송 장치를 전자식으로 안내하는 인쇄된 스트립 또는 컨덕터와 같은 전기선(예를 들어, 이송 장치의 적절한 안내 시스템이 감지하는 적절한 전자기 신호를 보내는 전기선)이 포함된다.
이제 다시 도 29A 및 29B를 살펴보면서, 이송 시스템(10, 10')의 작동 실시예를 설명한다. 션트(25)에 있는 가공품 용기는 이송 시스템(10, 10')으로 들어간다. 거의 중단되지 않고 대체로 일정한 속도로 움직이는 베이내 이송 섹션(20)의 흐름을 유지하기 위하여, 가공품 용기는 션트(25)를 통해 베이간 이송 섹션(20)을 액세스한다. 가공품 이송 장치는 션트(25) 내에서 가속이 되므로 이송 장치가 베이내 이송 섹션(20) 내에서의 자재 흐름과 동일한 속도로 이동하게 된다. 션트(25)를 이용해 가공품 이송 장치는 가속을 할 수 있으므로, 이송 장치가 베이내 이송 섹션(20)의 흐름에 합류하여 흐름을 방해하거나 베이간 이송 섹션(20) 내에서 이동하는 다른 이송 장치와 충돌하는 일이 생기지 않는다. 베이내 이송 섹션(20)과 합류한 가공품 이송 장치는 적절한 시간 동안 션트(25)에서 대기하여 베이내 이송 섹션의 흐름에 자유롭게 합류하며, 다른 가공품 캐리어나 이송 장치와 충돌하거나 베이내 섹션을 통과하는 이송 장치의 속도를 저하시키지 않는다. 예를 들어, 가공품 이송 장치는 베이내 이송 섹션(20)을 따라 거의 일정한 속도와 스위치로 정확한 경로로 출력 대기열 영역 또는 섹션(35B)으로 이동하여 베이간 섹션(15)으로 전환한다. 한 실시예에서는, 출력 대기열 섹션(35B) 내에 공간이 없는 경우, 이용 가능한 출력 대기열 섹션(35B)이 나올 때까지 이송 장치가 베이내 이송 장치 섹션(20)의 주위를 계속 이동한다. 다른 실시예에서는, 이송 섹션의 정반대 이동 경로를 연결하는 크로스 션트가 마련되어 있어서, 이송 장치가 이송 섹션의 전체 루프를 따라가지 않고 우회 스테이션으로 돌아가기 위해 이송 경로 사이를 전환하여 할 수 있다. 이송 장치는 적절한 시간 동안 베이 출력 대기열 섹션(35B)에서 대기하다가, 베이내 이송 섹션(20)에 대해 위에서 설명한 합류과 거의 비슷한 방식으로 가속을 하여 대체로 일정한 속도로 이어지는 베이간 이송 섹션(15)의 흐름에 합류한다. 예를 들어, 이송 장치는 베이간 이송 섹션(15)을 따라 대체로 연속적인 속도로 미리 결정된 베이로 간 다음 연결된 대기열 입력 섹션(35A)으로 전환하여 원하는 베이내 섹션(20)으로 진입할 수 있다. 한 실시예에서는, 입력 대기열 섹션(35A) 내에 공간이 없는 경우, 앞에서 설명한 것과 비슷한 방식으로 이용 가능한 입력 대기열 섹션(35A)이 나올 때까지 이송 장치가 베이내 이송 장치 섹션(15) 주위를 계속 이동한다. 이송 장치는 적절한 시간 동안 입력 대기열 섹션(35A)에서 대기하다가 가속을 하여 다른 베이내 이송 섹션(20)에 합류하므로, 그 두 번째 베이내 이송 섹션(20)도 일정한 속도로 연속적인 흐름을 유지할 수 있다. 이송 장치는 그 두 번째 베이내 이송 섹션(20)에서 나와 이송 션트(25)로 가서 프로세스 툴(30)에 연결한다. 션트(25)에 다른 이송 장치가 있어서 사용할 공간이 없는 경우, 이송 장치는 션트(25)를 사용할 수 있을 때까지 통행권을 가지고 베이내 이송 섹션(20)을 따라 계속 이동한다. 베이간 이송 섹션(15) 및 베이내 이송 섹션(20) 내에서의 자재 흐름이 거의 중단되지 않고 대체로 일정한 속도로 이동하기 때문에, 시스템은 프로세싱 베이와 프로세싱 툴 사이에서 가공품 이송 장치의 처리량을 높게 유지할 수 있다.
도 29A에 나오는 실시예에서, 이송 장치는 대기열 섹션(35), 프로세싱 툴, 베이내 이송 섹션(20) 또는 베이간 이송 섹션(15)을 직접적으로 함께 연결하는 익스텐션(20)을 통해 프로세싱 베이 사이를 직접 이동한다. 예를 들어, 도 29A와 29B에 나오는 것처럼, 익스텐션(40)이 대기열 섹션(35)을 함께 연결한다. 다른 실시예에서는, 익스텐션(30)인 각각의 툴의 이송 션트(션트(25)와 비슷함)를 함께 연결하여 하나의 프로세싱 툴이 다른 프로세스 툴을 액세스하게 할 수 있다. 또 다른 실시예에서는, 익스텐션이 자동 자재 취급 시스템의 임의의 수의 소자 또는 소자들의 조합을 직접 함께 연결하여 짧은 액세스 경로를 제공할 수 있다. 중첩된 더 큰 네트워크에서는 익스텐션(40)에 의해 만들어진 이송 장치의 도착지 사이의 더 짧은 경로를 사용하여 이송 장치의 이동 시간을 단축하고 시스템의 생산성을 증가시킬 수 있다.
또 다른 실시예에서는, 자동 자재 취급 시스템(10, 10')의 흐름이 양방향이다. 이송 섹션(15, 20, 25, 35, 40, 50)에는 각각 반대 방향으로 이동하는 평행 레인이 나란히 놓여져 있으며, 출구 램프와 진입 램프에서 서로 반대 방향의 이동 레인을 연결하여 루프를 형성하고 있다. 이송 섹션의 각각의 평행 레인은 지정된 이동 방향 전용이며 개별적으로 또는 동시에 전환할 수 있으므로 해당하는 평행 레인 각각의 이동은 이송 장치 로드 조건에 맞추어 이송 알고리즘에 따라 거꾸로 전환된다. 예를 들어, 이송 섹션(15, 20, 25, 35, 40, 50)의 평행 레인을 따라가는 자재 또는 이송 장치의 흐름은 해당 방향으로 흐른다. 하지만, 나중에 시설 내에 있는 일부 가공품 이송 장치가 현재 흐름 방향의 반대 방향으로 평행 레인을 따라 이동하는 것이 더 효율적인 위치로 가게 될 것으로 예상되면, 평행 레인의 이동 방향이 반대로 바뀐다.
본 실시예에서는, 양방향 이동 레인이 스택형으로(즉, 겹겹이) 배치되어 있다. 프로세스 툴과 이송 션트(25) 사이의 인터페이스는 엘리베이터 형 구성이어서, 션트에서 프로세스 툴 로드 포트로 이송 장치를 올리거나 내릴 수 있다. 예를 들면, 자재 흐름이 시계 방향인 션트를 자재 흐름이 시계 반대 방향인 션트 위에 배치할 수 있다. 다른 실시예에서는, 양방향 션트 및 기타 이송 섹션은 임의의 적절한 구성을 사용할 수 있다.
도 20은 이송 시스템 트랙(500)의 한 부분이다. 이 부분은 다른 실시예에 따른 툴 스테이션 사이에서 캐리어를 이송하는데 사용된다. 트랙에는 솔리드 스테이트 컨베이어 시스템이 사용된다. 시스템은 앞에서 본 명세서에 전체적으로 참조로서 결합한 미국 특허 출원번호 10/697,528에서 설명한 것과 비슷하다. 트랙에는 캐리어 쉘/케이싱에 중요한 반응성 부분과 연계된 정지형 포서(forcer) 세그먼트가 있다. 그렇기 때문에 컨베이어가 직접 캐리어를 이송할 수 있다. 도시된 이송 시스템(500)은 캐리어 이송이 이송 시스템의 다른 캐리어의 동작과 사실상 분리되어 있는 비동기식 이송 시스템이다. 트랙 시스템은 다른 캐리어의 작용으로 인해 주어진 캐리어의 이송 속도에 영향을 주는 결정적인 요인들을 없애도록 구성되어 있다. 컨베이어 트랙(500)은 주 이송 경로를 사용하며, 라우팅 변경을 실행하거나 툴 스테이션(버퍼, 스프라켓 등등)과 연결하기 위해 주 이송 경로의 이송 장치에 영향을 주지 않고 캐리어를 주 이송 경로에서 벗어나게 하는 온/오프(on/off) 분기 경로(도 297-298 참조)도 있다. 분기 온/오프 경로가 있는 이송 시스템의 적절한 예는 앞에서 본 명세서에 전체적으로 참조로서 결합한 미국 특허 출원번호 11/211,236에서 설명하고 있다. 본 실시예에서 세그먼트(500A, C, D)에는 주 이동 경로(500M)를 따라 이동하게 만드는 A1-D 리니어 모터를 위한 와인딩 세트가 있다(이것은 도 20A에 나옴). 세그먼트(500B)는 도 20에 액세스 경로(500S)라고 하는 것의 오프/출구(off/exit)로 표시되어 있다. 세그먼트의 포서(forcer)의 와인딩은 사실상 2-D 플라나 모터가 되도록 배열되어 있기 때문에 주 경로(500M)를 따라 이동할 수도 있고 필요한 경우 경로(500S)를 따라 캐리어를 이동시킬 수도 있다(도 20B 참조). 모터 컨트롤러는 본 명세서에서 전체적으로 참조로서 결합한 미국 특허 출원번호 11/178,615, 출원일자 7/11/05에서 설명한 분산형 제어 아키텍처와 비슷한 존 방식 컨트롤러이다. 본 실시예에서, 구동 장치/모터는 존으로 나누어져 있어서 각각의 존을 적절하게 담당하는 존 컨트롤러가 효율적으로 제어할 수 있다. 컨베이어(500)에는 이동하면서 캐리어를 지지할 수 있는 적절한 베어링이 있다. 예를 들어, 세그먼트(500A, 500C 및 500D)에는 베어링이 있다(예를 들어, 롤러, 볼 베어링이 있으면 경로(500M)를 따라 캐리어가 이동하는 자유도가 1도임).
세그먼트(500b)의 베어링은 캐리어의 이동의 자유도가 2도(2-degree)이다. 다른 실시예에서는 캐리어에 베어링을 제공한다. 또 다른 실시예에서는 에어 베어링을 사용하여 트랙상에서 이동하는 캐리어를 지지한다. 경로(500M) 사이에서 캐리어를 안내하고 경로(500S)에서 방향을 정하는 것은 도 20B에 나오는 것처럼 캐리어의 조향이나 조절이 가능한 휠, 트랙의 조절이 가능한 가이드 레일, 자석식 조향 장치 등과 같은 적절한 안내 시스템이 담당한다.
도 20A는 실시예에서 사용된 시스템(500)의 이송 부품(500A)을 보여준다. 도시된 실시예는 단일 이동 레인 또는 경로가 있는 세그먼트이다(예를 들어, 경로(500M)임). 도 20A에 나오는 실시예에서는, 세그먼트에 리니어 모터 부분이나 포서(502A) 및 이송 장치의 이동 지원을 위한 지지면(504A)이 있다. 앞에서 언급한 것처럼, 다른 실시예에서는 이송 세그먼트를 원하는 다른 형태로 구성할 수 있다. 본 실시예에서는, 가이드 레일(506A)을 이송 장치를 안내하는데 사용한다. 다른 실시예에서는, 이송 세그먼트에 이송 가이드를 위한 레일 대신 자석 또는 자석 베어링이 있다. 캐리어의 전자석은 캐리어를 트랙에서 분리하는 것을 지원하는데 사용할 수 있다. 도 20B는 다른 실시예에 따른 이송 시스템(500)의 다른 이송 세그먼트이다. 세그먼트(500A')에는 다수의 이동 레인(예를 들면, 도 20에 나오는 세그먼트(500B)와 비슷한 교차 레인)이 있거나 서로 전환이 되는 거의 평행을 이루는 주 이동 레인(경로(500M)와 비슷함)이 있다. 도 20B에 나오는 실시예에서는, 이동 레인(경로(500M, 500S)와 비슷함)이 일반적으로 1-D 모터 섹션(502A1) 및 그에 해당하는 캐리어 모티브 지지면/영역(504A')에 의해 정해진다. 이동 레인 사이의 교차점 또는 전환점은 이송 장치에 이동 레인(500M', 500S') 사이를 이동하는데 필요한 2-D 힘을 생성시킬 수 있는 2-D 모터 소자들의 배열에 의해 형성된다.
도 21은 또 다른 실시예에 따른 컨베이어 이송 시스템의 교차점 또는 방향 전환 세그먼트이다. 도시된 실시예에서는, 이송 세그먼트(500A")에 따라 교차하는 다수의 이동 레인(500M", 500S")이 정해진다. 이동 레인은 일반적으로 레인(500M)과 비슷하다(도 20A 참조). 본 실시예에서는, 이송 차량이 일반적으로 교차 레인과 나란하게 놓일 때까지 주어진 레인(500S", 500S")을 횡단한다. 평행이 되면, 원하는 레인의 1-D 모터가 이송 장치를 교차 레인을 따라 이동시키기 시작한다. 다른 실시예에서는, 교차점의 방향을 90°로 맞추지 않는다. 도 20C는 캐리어(1200)의 하단 및 그 안에 있는 반응성 소자들이다. 반응성 소자들은 교차점의 해당 포서(forcer) 섹션의 방향와 일치하도록 배치된다(도 21 참조). 따라서 캐리어는 교차점에서 사실상 정지하지 않고 트랙을 변경할 수 있다. 도 20D는 다른 실시예에 따라 원하는 위치로 회전시킬 수 있는 캐리어(1200A)의 회전축 섹션에 배치된 반응성 소자(1202FA)이다. 도 22는 옆 트랙 스토리지 위치(500S")인 트랙 세그먼트(500H")이다. 이것은 일반적으로 도 21에 나오는 교차점과 비슷하다. 도 23-23A는 트랙 세그먼트(500)이며, 캐리어 리프트나 셔플의 리프트 암을 위한 컷아웃 또는 개구부(1500)이다. 이 점은 아래에서 자세히 설명한다. 본 실시예에서, 개구부(1500)를 이용하면 컨베이어 트랙에서 캐리어를 하단에서 선택하기 위해 캐리어를 측면에서 액세스할 수 있다. 도 24는 트랙 세그먼트(2500A)이며 포서(2502A)(예를 들어, 리니어 모터)는 화살표 2500M으로 표시된 캐리어/트랙 중심선에서 벗어나 있다.
도 25A-25B는 반도체 FAB 내에서 기판을 이송하는데 쓰이는 리니어 모터 컨베이어(3500)(캐리어(3200) 내에 내장된 접지된 포서 세그먼트 및 반응 소자)이다. 도시된 실시예에서는, 컨베이어(3500)가 도시된 바와 같이 뒤집혀 있기 때문에(즉, 캐리어가 컨베이어에 매달려 컨베이어 밑에 있음) 캐리어를 바로 밑에서 접근할 수 있다. 그 외에는 컨베이어(3500)는 앞에서 설명한 것처럼 이송 시스템 세그먼트(500A, 500A", 500A"')와 비슷하다. 본 실시예에서는, 마그네틱 리텐션 포서(3502)를 사용하여 컨베이어(3500)와 캐리어(3200) 사이의 연결 관계를 유지한다. 이 힘은 리니어 모터 코일(예를 들어, 리니어 동기식 설계의 경우)에서 나오는 것이고 및/또는 그런 목적으로 특별히 마련된 별도의 전자석 및/또는 영구 자석(미도시)을 통해 생긴다. 캐리어를 컨베이어에 연결하는 것과 연결을 차단하는 것은 신속하게 이루어지며 움직이는 부품(예를 들어, 전자기식 스위치)을 사용하지 않고 할 수 있다. 페일세이프 작동은 캐리어와 컨베이어 사이의 흐름 경로 및/또는 수동적 기계식 리텐션 피처를 통해 보장된다.
본 실시예에서는 교차점과 분기점(예를 들어, 도 20의 세그먼트(500b)와 비슷한 합류-분기 지점)은 코일 스위칭으로 구현할 수 있다. 다른 실시예에서는, 턴테이블 또는 그 외의 라우팅 장치를 사용하여 컨베이어(3200)의 이동 경로 사이에서 캐리어를 이송한다.
본 실시예에서는, 반응 소자가 상단에 오고 기판은 캐리어의 하단에서 액세스하도록 캐리어(3200)가 배치된다. 본 실시예에서는, 캐리어(3200)에는 컨베이어(3500)의 포서와 연계하도록 배치된 자석식 플래튼(platen)이 있다. 캐리어 플래튼(즉, 플래튼 섹션)에는 롤러, 베어링 또는 기타 모티브 지지면(예를 들어, 컨베이어의 에어 베어링을 위한 반응면)이 포함된다. 플래튼에는 캐리어의 용기 부분을 플래튼 부분에서 분리할 수 있도록 전기-마그네틱 커플링이 포함되어 있다. 커플링은 가공품 용기 부분을 프로세싱 툴(3030)에 로드해도 컨베이어에 연결된 상태를 유지한다.
본 실시예에서는, 툴을 로드하기 위하여 컨베이어(3200)가 캐리어를 툴 로드 포트에 배치하며, 전용 수직 이송 메커니즘(3040)을 사용하여(도 26A-26B 참조) 캐리어를 컨베이어의 높이에서 툴(3030)의 (제어된 환경임) 로드 인터페이스(3032) 수준으로 낮춘다. 또한 수직 이송 장치를 사용하여 웨이퍼 취급 로봇이 액세스할 수 있도록 웨이퍼를 배치한다. 수직 이송 장치의 적절한 예는 미국 특허 출원번호 11/210,918, 출원일자 3/25/05에서 설명하고 있다. 이 특허는 본 명세서와 앞에서 전체적으로 참조로서 결합된다.
다른 실시예에서는 캐리어를 컨베이어 휠에 잡아 놓을 수 있는 충분한 자력을 지닌 전동 휠 어큐물레이팅 컨베이어를 역방향으로 배치하여 사용할 수 있다. 다른 실시예에서는 일반적인 배치를 뒤집어서 컨베이어가 로드 포트 밑에 오게 하여, 반응형 피처를 캐리어 상단에 둔다.
도 26A-26B는 이송 시스템에서 로드 포트/툴 인터페이스로 캐리어를 직접 내리고 들어올리는 다른 예이다. 도 26A-26B에 나오는 실시예에서는 캐리어의 중요한 반응성 플래튼을 사용한다. 다른 실시예에서는 앞에서 언급한 것처럼, 플래튼을 캐리어에서 분리할 수 있으므로, 캐리어를 뺀 상태에서도 컨베이어에 계속 연결시켜 놓을 수 있다. 그런 경우, 이송 시스템의 각각의 플래튼은 거의 1:1 관계로 FAB의 캐리어와 대응한다.
도 27은 다른 실시예에 따른 컨베이어 차량 하이브리드 구성을 사용하는 캐리어(4200)이다. 페이로드 자동 전달을 위하여 캐리어 차량(4200)을 제공할 수도 있다(예를 들어, 반도체 기반을 싣고 있는 캐리어임). 차량에는 자체 추진용 저장 에너지, 조향 시스템, 최소한 하나 이상의 전동식 구동 휠, 주행 거리 및 장애물 감지용 센서, 그리고 관련된 제어 전자 장치 등을 탑재할 수 있다. 뿐만 아니라 컨베이어 시스템과 비슷한 컨베이어(4500)의 정지형 리니어 모터 포서 세그먼트와 연동하는 하나 이상의 반응형 소자(앞에서 설명한 마그네틱 플래튼과 비슷함)를 차량에 장착할 수 있다(도 20 참조).
본 실시예에서, 차량(4200)이 하나 이상의 포서 세그먼트에 의해 정해진 경로(경로(500M, 500J)와 비슷함)를 따라 이동할 때, 구동 모터를 구동 휠에서 분리할 수 있으며, 컨베이어(4500)의 반응형 소자와의 전자기식 커플링을 통해 경로를 따라 수동으로 차량을 수동으로 추진시킬 수 있다. 차량 내의 저장된 에너지 장치(예를 들어, 배터리, 울트라커패시터, 플라이휠 등등)를 충전해야 하는 경우, 가이드웨이를 따라 이동하는 트랙션 휠을 사용하여 리니어 모터의 에너지를 차량 저장 장치로 변환할 수 있다. 전기 에너지 저장 장치의 경우, 발전기로 사용되는 차량 구동 모터를 적절한 모니터링 및 컨디셔닝 전자 장치와 다시 연결하면 그렇게 할 수 있다. 그런 "실시간" 충전은 단순하고 튼튼하다는 장점이 있으며, 그런 구성은 상당한 유연성과 장애 허용 능력을 갖추게 된다. 예를 들어, 차량(4200)은 자동으로 고장난 컨베이어 세그먼트를 통과하거나 장애물을 우회하거나 컨베이어를 이용하지 않는 작업 영역을 지나갈 수 있다(도 27A, 27B 참조). 컨베이어 포서 세그먼트의 수와 길이는 베이간 이송용 컨베이어와 같은 작동 방식에 맞추어 조절이 되며, 베이에서 자율적인 차량 이동을 사용한다. 유연한 경로 선택을 위하여 자가 조절형 조향 기능을 사용한다. 자가 조정형 코너링을 사용하여 곡선형 포서 세그먼트를 없앨 수도 있다. 컨베이어의 경로를 따라 고속으로 이동할 수 있으며, 원한다면 고속 주행시 안전 장벽으로 작업자를 보호할 수 있다. 구간이 긴 경우(예를 들어, 인접한 FAB로 연결되는 링크) 컨베이어 섹션을 사용할 수 있다. 등급을 변경하는데 컨베이어를 사용하여 전용 저장 에너지를 사용하는 차량이 겪는 문제를 완화시킬 수 있다.
도 28은 통합형 캐리어/이송 차량의 또 하나의 예이다. FAB 내에서 가공품 캐리어를 이송하도록 차량을 보내는 기존의 차량 방식 반도체 자동화와는 대조적으로, 본 실시예에서는 각각의 캐리어(5200)가 차량이다. 본 실시예의 통합형 캐리어/차량(5200)은 앞에서 설명한 차량(4200)과 비슷하다. 다른 실시예에서는 캐리어 차량에 원하는 차량 피처를 포함시킬 수 있다. 본 실시예에서 차량(5200)에는 필수 요소인 캐리어 부분(5202)과 차량 부분(5204)이 포함된다. 참고하도록, 도 28에는 캐리어(5202)가 전면/측면 개구부로 그려져 있다. 다른 실시예에서는, 캐리어가 상단 열림식일 수도 있고 그 외의 적합한 가공품 이송 개구부를 마련할 수도 있다. 가공품을 운송해야 하는 로드 포트로 직접 차량을 구동할 수도 있고, 툴 버퍼와 같은 다른 자동화 부품을 차량에 맞물릴 수도 있다. 캐리어(5202)와 차량(5204)을 사실상 영구적으로 고정하면 로트 이송이 필요한 경우 보낼 빈 차량을 기다리는 시간이 생기지 않으며, 관련된 전달 시간 편차도 생기지 않는다. 또한, 캐리어 차량(5200)을 사용하면 "빈 차량"을 이동하는 일이 없으므로 이송 네트워크의 총 운송량이 감소하여 시스템 용량이 향상된다. 다른 실시예에서는 캐리어 및 차량에 캐리어와 차량을 분리할 수 있는 커플링을 둘 수 있다. 시스템의 차량을 캐리어와 1:1 관계로 배정하여 캐리어 이송 장치가 차량을 기다리며 발생하는 지연을 없앨 수 있지만, 제한된 상황(예를 들어, 정비/유지관리 또는 차량이나 가공품 캐리어 섹션)에서는 적절한 컨트롤러에 대한 시스템 정보를 사용하여 분리를 할 수도 있다. 그 외에도, 이송 중에 또는 툴 로드 스테이션이나 기타 FAB의 자동화 부품과 맞물렸을 때에도 캐리어와 차량은 여전히 꼭 필요한 장치이다.
도 29C는 다른 실시예에 따라 컨베이어(500)(또는 기타 원하는 캐리어 이송 시스템)와 툴 스테이션(1000) 사이를 연결하는 수평 배열식 버퍼링 시스템(6000)의 평면도이다. 버퍼링 시스템은 툴 스테이션 또는 그 일부의 밑에 배치할 수도 있고 툴 스테이션 위에 배치할 수도 있다. 버퍼링 시스템은 작업자 접근로에서 분리하여(즉, 아래나 위에) 배치할 수 있다. 도 30은 버퍼링 시스템의 입면도이다. 예를 들어, 도 29C-30은 컨베이어(500)의 한쪽 옆에 배치된 버퍼링 시스템이다. 버퍼링 시스템을 확대하여 FAB 플로어의 일부를 원하는 만큼 담당하게 할 수 있다. 도시된 실시예에서는, 작업자 통로를 버퍼링 시스템 위로 높인다. 비슷하게, 버퍼링 시스템을 FAB의 어느 곳으로든 위로 확대할 수 있다. 도 29C-30의 실시예에서, 버퍼링 시스템(6000)에는 최소한 3-D 이동을 할 수 있는 셔틀 시스템(6100)(적절한 캐리어 리프트나 인덱서가 있을 것임)과 버퍼 스테이션(ST)의 배열이 포함된다. 셔틀 시스템에는 일반적으로 가이드 시스템을 통해 최소한 2-D 횡단을 할 수 있는 하나 이상의 셔틀(6104)을 위한 가이드 시스템(예를 들어, 레일)이 포함되어 있다. 도 29C-30에 나오는 셔틀 시스템 배치는 한 가지 예일 뿐이며 다른 실시예에서는 셔틀 시스템을 원하는 다른 형태로 배치할 수 있다. 본 실시예에서, 셔틀 시스템은 컨베이어(500), 버퍼 스테이션(ST) 및 툴 로드 스테이션(LP) 사이를 순차 전환하거나 연결한다(참조 도 29C). 셔틀(6102)은 수평으로 배치된 컨베이어와 툴 스테이션 상의 버퍼 스토리지(ST)나 로드 위치(LP) 사이를 (예를 들면 컨베이어의 세그먼트(600) 사이의 액세스 레인(602)을 통함) 횡단하면서 그 사이에 있는 캐리어(200) 사이를 순차 전환할 수 있다. 도 30에 잘 나타나 있듯이, 본 실시예에서 셔틀(6104)에는 컨베이어(600)를 선택/배치하거나 버퍼 스테이션(ST)이나 툴 로드 포트(LP)를 선택/배치하는 인덱서(6106)가 포함된다. 버퍼링 시스템은 시스템을 쉽게 확장하거나 축소할 수 있도록 모듈형으로 구성할 수 있다. 예를 들어, 각각의 모듈에는 그에 해당하는 저장 위치(ST) 및 셔틀 레일이 있으며, 버퍼링 시스템의 다른 설치된 모듈에 연결하기 위한 커플링 조인트가 있다. 다른 실시예에서는 시스템에 버퍼링 스테이션 모듈(하나 이상의 핵심 버퍼링 스테이션 포함)과 셔틀 레일 모듈이 있기 때문에 셔틀 레일을 모듈형으로 설치할 수 있다. 도 29C에 나오는 것처럼, 컨베이어(500)의 액세스 레일(60L)에는 셔틀 접근로가 있기 때문에 셔틀 인덱서가 컨베이어 레인을 통해 캐리어에 접근할 수 있다. 도 31는 컨베이어(500)의 합류/분기 레인과 정보를 교환하는 버퍼링 시스템의 입면도이다. 본 실시예에서, 버퍼링 시스템 셔틀(6104)은 컨베이어의 액세스 레인으로 유도된 캐리어에 접근할 수 있다. 멈춤 장치가 있거나 도 29C의 레인(602)과 비슷한 접근로가 없기 때문에 셔틀이 컨베이어의 이동 레인에 접근하거나 관여하는 것이 제한될 수 있다. 도 32는 여러 줄의 버퍼링 스테이션를 보여주는 또 하나의 입면도이다. 버퍼링 시스템에는 원하는 수의 버퍼링 시스템을 원하는 수의 줄로 배열할 수 있다. 트래버스 가이드(61087)를 모듈형으로 대체하여 셔틀 이동을 (도 32에서 화살표 Y로 표시된 방향임) 원하는대로 조정할 수 있다. 다른 실시예에서는, 버퍼링 시스템을 여러 수평 레인 또는 레벨로 (즉, 캐리어 높이가 각각의 레벨 사이를 통과할 수 있도록 수직으로 분리된 두 개 이상의 레벨임) 배열할 수 있다. 용량이 축소된 캐리어에서는 다중 레벨 버퍼링을 사용할 수 있다. 도 33에는 유도된 차량 캐리어(V)와 연결된 버퍼링 시스템의 또 하나의 평면도가 나온다. 도 34는 전에 설명한 언더 툴 버퍼링 시스템(6000)과 비슷한 오버헤드 버퍼링 시스템(7000)의 입면도이다. 오버헤드 버퍼링 시스템(7000)은 언더 툴 버퍼링 시스템(시스템(6000)과 비슷함)과 연계하여 사용할 수 있다. 오버헤드 버퍼링 시스템은 오버헤드 컨베이어(500)와 연결된 상태로 그려져 있다. 다른 실시예에서는, 오버헤드 시스템이 플로어 컨베이어 시스템 또는 플로어 기반 차량과 연결할 수 있다. 통로 수직 공간을 침해하는 페이로드가 낮추어진 셔틀의 수평 이동을 막아주는 적절한 제어 인터록(예를 들어, 하드 인터록)을 마련할 수 있다. 매달린 물건이 통로 공간을 통과하지 못하도록 통로 위에 상단 차폐물을 사용할 수 있다.
도 35는 루프형 버퍼링 시스템(8000)이다. 이 시스템의 버퍼링 스테이션(ST)은 (본 실시예에서 폐쇄 루프형으로 표현됨) 트랙(8100)에 탑재되어 이동이 가능하다. 트랙(8100)은 (예를 들면 오버헤드 로딩을 사용함) 캐리어가 버퍼 스테이션(ST)으로 로드되는 로드 스테이션(R)과 툴 인터페이스의 로트 스테이션(LP) 사이에서 버퍼 스테이션(ST)을 이동시킨다. 툴 인터페이스에는 캐리어를 툴 스테이션에 로드하는 인덱서가 있다.
이제 도 36A-36C를 보면, 또 다른 실시예에 따른 기판 캐리어(2000)의 투시도, 측면도, 정면도가 각각 나온다. 캐리어(2000)는 대표적인 캐리어이며 표본적인 구성으로 그려져 있다. 도시된 실시예의 캐리어(2000)는 하단 열림식 캐리어로 그려져 있으며, 다른 실시예에서는 캐리어를 원하는 다른 형태로 구성(예를 들어, 상단 열림식이나 측면 열림식임)할 수 있다. 도 36A-36C에 나오는 실시예의 캐리어(2000)는 도 1-3에 나오는 캐리어(200, 200', 300)와 대체로 비슷하며, 피처에 매겨진 번호도 비슷하다. 그렇기 때문에 캐리어(2000)에는 웨이퍼를 캐리어에 넣거나 뺄 수 있는 개구부(2004)(한 가지 예로 도 36A-36C에는 그 개구부들 중 하나만 표시되어 있음)가 하나 이상인 쉘 또는 케이싱(2012)이 있다. 캐리어 쉘에는 해당 개구부(2004)를 개폐하는 폐쇄 장치 또는 도어를 구성하는 착탈식 벽 또는 섹션(2016)이 있다. 이미 언급한 것처럼, 도시된 실시예에서 쉘(2012)에는 개구부(2004)를 열고 닫을 수 있도록 착탈식인 하단 벽(2016)이 있다. 다른 실시예에서는 캐리어 쉘의 다른 섹션 또는 벽이 착탈식이므로 웨이퍼를 캐리어에 넣거나 뺄 수 있다. 착탈식 섹션(2016)은 앞의 도면과 설명에서 다룬 것과 비슷한 방식으로 케이싱(2014)의 나머지 부분에 밀봉되며, 케이싱은 불활성 기체, 주변 대기와 압력 차이가 있는 매우 깨끗한 공기 또는 진공과 같은 격리된 대기를 넣을 수 있다. 쉘(2014) 및 착탈식 벽(2016)은 앞에서 설명한 벽(216) 및 쉘(214)과 비슷한 수동형 구조이며, 자력에 의해 서로 맞물리거나 다른 원하는 수동 록에 맞물린다. 본 실시예에서는, 벽(2016)에 자기 소자(2016C)(예를 들어, 철 소재)가 포함되며 쉘(2014)은 자기 스위치(2014S)를 작동하여 벽과 쉘을 잠그거나 잠금 해제한다. 벽의 자기 소자와 쉘의 작동식 자석(2014S)은 포트 도어 인터페이스의 마그네틱 록과 연동(아래에서 자세히 설명함)하여 캐리어 도어(벽이나 쉘, 도 36A, 36C 참조)가 포트 도어에 맞물리면서 캐리어 도어가 캐리어의 나머지 부분에서 해제된다. 실시예에 따라 벽과 쉘 사이의 마그네틱 록은 다른 구성을 사용할 수 있다. 금속 수동 캐리어(2000) 및 캐리어 도어(2016, 2014)는 깨끗하고 세척할 수 있는 진공 방식 캐리어이다.
도 36A-36C에 나오는 실시예에서, 캐리어(2000)는 다수의 웨이퍼를 나르도록 구성된 것으로 그려져 있다. 다른 실시예에서는, 일체형 웨이퍼 버퍼를 포함하거나 포함하지 않은 단일 웨이퍼만 전달하거나 임의의 수의 웨이퍼를 전달하도록 캐리어 크기를 필요에 맞추어 조절할 수 있다. 앞에서 설명한 실시예에 나오는 캐리어(200, 200', 300)와 비슷하게, 캐리어(2000)는 기존의 13-25개의 웨이퍼 캐리어를 기준으로 용량이 축소된 작은 로트 크기의 캐리어이다. 도 36A-36B에 잘 나타나 있듯이, 캐리어 쉘에는 이송 시스템 인터페이스 섹션(2060)이 있다. 캐리어(2000)의 이송 시스템 인터페이스 섹션(2060)은 도 20-30에 나오는 실시예와 비슷하게, 컨베이어 시스템과 같은 임의의 원하는 이송 시스템과 연결하도록 배치할 수 있다. 예를 들어, 캐리어에는 철을 함유한 자석 소재 패드나 부품과 같은 반응성 소자를 포함할 수 있다. 반응성 소자는 캐리어 케이싱에 배치되거나 연결되며 컨베이어를 따라 캐리어를 추진하는 컨베이어 시스템 이송 장치의 리니어 플라나 모터의 포서 섹션과 연동할 수 있다. 캐리어 케이싱에 연결된 리니어 모터나 플라나 모터의 반응성 소자의 적절한 구성의 예는 미국 특허 출원번호 10/697,528, 출원일자 10/30/03에서 설명하고 있다. 이 특허는 본 명세서와 앞에서 전체적으로 참조로서 결합된다. 도 36A-36C에 나오는 실시예에서는, 캐리어 인터페이스 섹션(2060)에 캐리어 서포트 부품 또는 지지면(2062)이 있다. 이 부품은 이송 시스템과 연결되어 캐리어가 움직이거나 이송 시스템에 정지되어 있을 때 캐리어를 이송 시스템으로부터 지지하는 역할을 한다. 지지면은 비접촉식이나 접촉식이며, 측면(예를 들어, 표면(2062S))이나 하단(예를 들어, 표면(2062B)) 또는 그 외의 원하는 위치나 페이싱에 배치하거나 마주보게 하여 이송 시스템에서 캐리어를 안정적으로 지지하게 한다. 예를 들어, 비접촉식 지지면은 사실상 케이싱에 연결되거나 다른 방법으로 배치되어 있고 임의의 적절한 수단으로 형성된 평평한 영역, 표면 또는 패드이며, 이송 시스템의 에어 베어링(미도시)과 연동하여 (에어 베어링의 힘만으로 또는 이송 시스템 모터가 캐리어에 전달하는 동력(예를 들어, 자력)과 연계됨) 캐리어를 안정적으로 잡아 주는 역할을 한다. 다른 실시예에서는, 캐리어 케이싱에 (수동형) 이송 시스템 구조물로 공기(또는 기타 원하는 기체)를 보내는 하나 이상의 (능동형) 에어 베어링을 사용하여 이송 시스템 구조물에서 캐리어를 부양(즉, 비접촉식)하면서 안정적으로 지지해 준다. 본 실시예에서는, 적절한 공기/기체 공급 장치(예를 들어, 팬이나 가스 펌프임)를 캐리어에 연결하여 캐리어의 공기 베어링에 동력을 공급한다. 다른 실시예에서는, 캐리어 케이싱 및 이송 시스템이 능동형 에어 베어링 표면과 수동형 에어 베어링 표면을 모두 갖추고 있다(예를 들어, 이송 시스템의 리프팅 에어 베어링과 캐리어의 수평 안내 에어 베어링임). 캐리어(2000)에는 도 36B에 나오는 것과 같은 핸들링 플랜지(2068)와 같은 다른 핸들링 부품, 플랜지 또는 표면이 있다.
본 실시예에서, 캐리어(2000)에는 캐리어가 프로세싱 툴의 로딩 섹션(예를 들어, 로드 포트)과 연결할 수 있는 툴 인터페이스 섹션(2070)이 있다. 프로세싱 툴은 어떤 종류든 사용할 수 있다. 본 실시예에서, 인터페이스(2070)는 캐리어의 하단에 있다. 본 실시예에서는, 캐리어의 다른 원하는 측면에 툴 인터페이스를 둘 수 있다. 또 다른 실시예에서는, 캐리어에 여러 개의 툴 인터페이스(예를 들어, 하단과 측면)가 있으므로 캐리어가 다양한 구성으로 툴과 연결할 수 있다. 본 실시예의 캐리어(2000)의 툴 인터페이스 섹션(2070)은 도 36C에 잘 나타나 있다. 도 36C에 나오는 툴 인터페이스 섹션(2070)의 구성은 한 가지 예일 뿐이며, 다른 실시예에서는 캐리어에 다른 원하는 구성의 툴 인터페이스 섹션이 있을 수 있다. 본 실시예에서는, 인터페이스 섹션(2070)은 피처가 있으며 일반적으로 캐리어의 적절한 SEMI 표준(예 SEMI E.47.1과 E57, 그리고 그 외의 적절한 SEMI 또는 기타 표준)에 명시된 기준에 일치한다. 그런 모든 표준은 본 명세서에 전체적으로 참조로서 결합한다. 그렇기 때문에, 본 실시예에서, 캐리어 인터페이스(2070)에는 SEMI STDS의 기준에 일치하게 배치된 동적 커플링(kinetic coupling, KC) 연결 콘센트가 포함되어 있다. E.47.1 및 E57은 기존의 로드 포트 인터페이스에 있는 주 및/또는 부 KC 핀(미도시)을 꽂는데 사용된다. 캐리어 인터페이스(2070)에는 캐리어에 대한 SEMI STDS에 일치하는 하나 이상의 정보 패드가 있는 섹션이 있다. 다른 실시예에서는, 캐리어 인터페이스 섹션에 SEMI 지정 피처가 제공되지 않을 수도 있다(예를 들어, 인터페이스 섹션에 동적 커플링 피처가 제공되지 않을 수 있음). 하지만 피처에 해당하는 케이싱의 연결 측에 예비 영역은 있을 수 있다. 그렇기 때문에 본 실시예에서, 캐리어 인터페이스 섹션(2070)은 기존의 프로세싱 툴의 로딩 인터페이스에 캐리어(2000)를 연결할 수 있다. 그리고 이전에 설명한 실시예에서 언급되었지만, 캐리어를 프로세스 환경으로 연결하는 로드 포트에 캐리어를 맞물리기 위하여 (또는 프로세스 기기에서 진공을 유지하기 위함), 캐리어의 내부가 프로세스 환경에 대해 대체로 밀봉이 되도록 캐리어를 맞물리고, 캐리어의 깨끗하지 않은 표면이라고 할 수 있는 부분을 프로세스 환경으로부터 사실상 격리시켜 밀봉이 되게 할 수 있도록 캐리어를 맞물리는 것이 바람직하다. 앞에서 설명한 것과 같이 캐리어를 밀봉하기 위하여 캐리어/로드 포트 접촉 면 및 캐리어와 로드 포트 사이의 동적 커플링에 캐리어와 로드 포트 사이의 지나치게 심한 통제 조건이 적용되게 된다. 지나친 통제를 완화시키기 위하여, 캐리어와 로드 포트 사이의 동적 커플링을 조절하여 로드 포트 인터페이스에서 캐리어를 반복적으로 배치할 수 있게 해야 한다. 커플링 컴플라이언스는 로드 포트 인터페이스의 프리로드에 의해 작동된다. 이제 도 36E를 보면, 본 실시예에 따른 컴플라이언스가 있는 동적 커플링(2072)의 전형적인 인터페이스 부분(2272)의 횡단면도가 나온다. 커플링 인터페이스 부분(2072)에는 일반적으로 핀(2274)이 있으며 홈 또는 멈춤쇠(2276)가 배치되어 있다. 또한, 하나 이상의 원하는 방향(화살표 X, Z로 표시됨)으로 컴플라이언스(compliance) 즉, 유연성을 제공하여 원하는 자유도(예를 들어, 캐리어 피치, 롤, 요(yaw))로 캐리어의 제약 조건을 완화시킨다. 예를 들어, 커플링 핀(2274)은 컴플라이언스(스프링 로드, 신축성이 있게 장착된 구형 핀, 탄력이 있는 유연한 자재로 만든 핀 등등임)를 가지고 있다. 커플링 홈(2276)도 (신축성이 있게 장착하거나 탄력이 있는 유연한 자재로 홈을 만들어 프리로드를 받아 압축이 된 홈 표면이 탄력을 유지하게 함) 컴플라이언스가 있다.
또한, 본 실시예에서, 캐리어 인터페이스 섹션(2070)은 캐리어의 비접촉식 커플링 인터페이스를 프로세싱 툴의 로딩 인터페이스에 적용할 수 있도록 구성할 수 있다. 이 점은 아래에서 더 자세히 설명한다.
일반적으로 캐리어(2000)와 같은 웨이퍼 캐리어는 처리할 프로세싱 툴에 맞추어 배치된다. 웨이퍼를 툴 안으로 이송하는 것을 자동화하려면 웨이퍼 캐리어를 툴의 로드 포트에 가까운 곳에 맞추어 배치하는 것이 좋다. 기존의 로케이팅 방법은 일반적으로 캐리어의 하단면에 접하는 기계식 커플링을 사용한다. 예를 들어, 이런 기계식 커플링은 정렬 오차를 보정하고 웨이퍼 캐리어를 정렬된 위치로 안내하기 위해 리드-인(lead-in)이나 캠을 사용한다. 하지만, 이런 피처는 캐리어의 리드-인 표면에 의존하기 때문에 로드 포트의 결합 핀에 미끄러지면서 접촉하게 되며, 그로 인해 마모되거나 오염물이 생길 가능성이 있다. 기존의 기계식 커플링 사용과 관련된 또 하나의 문제는 캐리어가 제대로 작동하도록 캐리어를 기존의 커플링의 포착 영역 내에 대충 배치하려고 한다는 점이다. 캐리어 이송 시스템은 올바로 배치하느라고(즉, 재시도에 따름) 이송 시스템이 더 복잡해지거나 시간이 더 오래 걸리게 된다는 문제가 있다. 그렇기 때문에, 캐리어 이송 시스템은 캐리어를 기존의 기계식 커플링의 포착 범위 내에 배치하거나 기존의 애플리케이션에서 규정된 정렬 위치에 배치하여 마모가 생기지 않게 해야 한다. 캐리어 이송 시스템이 오래 동안 그 반복성을 유지할 수 없으며 결국 미끄러져 접촉하면서 입자가 생기게 만드는 것은 불가피한 일이다. 캐리어(2000)의 인터페이스는 웨이퍼 캐리어를 프로세스 툴로 안내하는 반복성이 뛰어나며 비접촉식(예를 들어, 자석식) 커플링을 사용한다. 그렇기 때문에 이송 시스템이 배치 허용 오차를 완화시키고 캐리어 로드/언로드 단계 진행을 가속하는 리드-인 피처를 최대한 실현할 수 있다. 또한, 배치 오류를 보정하는 모든 동작을 캐리어와 로드 포트 사이의 물리적인 접촉이 없이 수행할 수 있기 때문에 상대적인 미끄러지는 동작을 없앨 수 있어서 청결하다.
도 36C의 실시예에서는, 캐리어 인터페이스 섹션(2070)에 비접촉식 커플링(2071)이 있기 때문에 캐리어를 비접촉식으로 로드 포트에 연결할 수 있다. 비접촉식 커플링(2071)에는 일반적으로 비접촉식 서포트 또는 리프트 영역(2072)과 비접촉식 커플링 섹션(2074)이 포함된다. 본 실시예에서는, 리프트 영역(2072)이 로드 포트의 에어 베어링과 연동하도록 배치된 대체로 평평하고 매끈한 표면이기 때문에 로드 포트에서 에어 베어링으로 캐리어를 통제된 방식으로 안정적으로 들어올릴 수 있다. 본 실시예에서는 캐리어 리프트 영역이 수동적이지만 다른 실시예에서는 캐리어에 캐리어를 들어올리는 능동형 에어/가스 베어링이 하나 이상 포함될 수 있다. 다시 도 36C를 보면, 본 실시예에서 리프트 영역(2072)에는 세 개의 섹션이 있다. 이 섹션들은 대체로 서로 비슷하며 캐리어 케이싱의 연결측(즉, 하단임)에 분산되어 있기 때문에 로드 포트 에어 베어링에서 캐리어에 작용하는 부양력이 리프트 영역 섹션에 영향을 주는 에어 베어링의 압력에 의해 생성되며, 그 결과 형성된 부양력은 캐리어의 질량 중심(CG)과 거의 일치한다. 도 36C에 나오는 리프트 영역 섹션(2072)의 형태와 수는 한 가지 예일 뿐이며, 다른 실시예에서는 리프트 영역이 원하는 다른 형태와 수일 수 있다. 예를 들어, 리프트 영역은 단일 연속형(또는 캐리어 인터페이스 주위로 확대되는 거의 차단되지 않은 섹션임)이다. 본 실시예에서는, 리프트 영역이 SEMI에 일치하는 인터페이스 피처(예를 들어, 동적 커플링 콘센트, 정보 패드 등등임)를 방해하지 않도록 캐리어 인터페이스(2070)에 배치되어 있다. 리프트 영역(2072)은 인터페이스의 제약 조건 내에서 질량 중심(CG)에서 가능한 한 멀리 배치할 수 있으며, 압력을 제대로 분산시키고 캐리어와 로드 포트 사이에서 원하는 변환(즉, x-y 평면임) 정렬 오차를 최대한 수용할 수 있는 크기이다. 본 실시예에서는, 리프트 영역(2072)이 캐리어 인터페이스의 모든 축이 아니라 하나의 축(도 36C에서 X축으로 표시된 것, 즉, 양방향 기준축임)을 중심으로 대칭을 이루며 배치되어 있다. 그렇기 때문에, 캐리어 인터페이스(2070)는 툴 로딩 인터페이스로 비접촉식으로 연결하되 단일 방향으로 적절하게 맞출 수 있도록 분극화되어 있다. 부정확한 방향으로 캐리어를 배치하면 캐리어 리프트가 불안정해진다. 캐리어를 배치하는 이송 시스템 또는 캐리어 자체나 로드 포트에 있는 적절한 센서들이 이런 상황을 감지하여 부정확한 배치를 중단하라는 신호를 보내게 된다. 리프트 영역(2072)에는 캐리어를 로프 포트에 올바르게 정렬시키는데 도움이 되는 피치 또는 바이어스가 있다. 다른 실시예에서는, 기계식, 전자기식, 압전식, 열 방식 또는 그 외의 적합한 수단으로 리프트 영역(들)을 이동시키거나 기울일 수 있으므로, 에어 베어링에 의해 압력을 받으면 캐리어에 다양한 강도와 방향의 힘을 수평으로 작용시켜 캐리어와 로드 포트 사이를 정렬시킨다.
다시 도 36C를 보면, 본 실시예에서는 비접촉식 커플링 섹션(들)(074)에 영구 자석(2074A-2074C)이 하나 이상 있다(참고하도록 도면에는 세 개의 자석(2074A-2074C)이 나오지만, 다른 실시예에서는 자석이 다소 많거나 적을 수 있음). 커플링 자석(2074A-2074C)은 이송 시스템 리니어/플라나 모터의 반응성 섹션에 속할 수도 있고 모터 반응성 섹션과 독립적일 수도 있다. 커플링 자석(2074A-2074C)은 캐리어와 로드 포트가 서로 일치하지 않도록 (아래에서 설명함) 로드 포트의 커플링 자석과 겹쳐질 수 있는 크기이다. 도시된 실시예에서는, 커플링 자석(2074A-2074C)은 하나의 축(도 36C의 X축임) 주위에 대칭형으로 배치되어 있지만 캐리어 인터페이스의 다른 모든 축에 대해서는 비대칭이다. 그렇기 때문에, 캐리어의 비접촉식 커플링 섹션은 캐리어가 로드 포트를 기준으로 원하는 방향으로 맞추어져 있지 않으면 캐리어가 커플링에서 로드 포트로 이동하지 못하도록 분극화되어 있다. 바꾸어 말하면, 캐리어의 비접촉식 커플링은 방향이 올바로 맞추어지도록 로드 포트에 "맞추어져" 있으며 그 외의 모든 방향은 커플링에 맞물려지지 않기 때문에 로드를 시도하지 않는다. 캐리어가 로드 포트에 올바르게 배치되지 않아 커플링이 제대로 이루어지지 않는 경우 감지하여 적절한 신호를 보내어 이송 시스템이 캐리어를 빼거나 가능한 경우 올바른 방향으로 재배치하게 만드는 적절한 센서가 로드 포트나 캐리어에 마련되어 있다. 다른 실시예에서는, 비접촉식 커플링 섹션 및/또는 리프트 영역이 캐리어 인터페이스의 여러 축 주위에 대칭을 이루며 배치된다.
이제 도 36D를 보면, 다른 실시예에 따른 캐리어(2000')의 평면도가 나온다. 여기서 캐리어(2000')는 앞에서 설명한 캐리어(2000)와 거의 비슷하며 피처에 매겨진 번호도 비슷하다. 캐리어(2000')에는 도 36A-36C를 참조하여 앞에서 설명한 비접촉식 커플링(2071)과 대체로 비슷한 비접촉식 커플링(2071')이 있는 캐리어 인터페이스 섹션(2070')이 있다. 도 36D에 나오는 실시예에서는, 비접촉식 커플링 섹션(2074')에 영구 자석 대신 철 성분이 포함된 자성 소재 섹션(2074A', 2074B', 2074C') (캐리어의 이송 시스템 모터 반응성 부품의 일부일 수도 있고 독립적일 수도 있음)가 있다. 철 금속 물질 섹션(2074A', 2074B', 2074C')은 직사각형, 둥근 원통형, 구형 등과 같은 임의의 형태이다. 섹션(2074A'-2074C') 각각은 서로 비슷하지만 다른 실시예에서는 각각의 섹션에 원하는 자석 커플링 및 방향성 특성을 정의하는 다양한 공유 섹션을 사용한다. 섹션들은 로드 포트 커플링 포인트의 자장 내에 들어가는 크기이며 캐리어를 로드 포트에 처음 배치했을 때 캐리어와 로드 포트 사이에 나타나는 초기 정렬 오차를 수용할 수 있는 크기이다. 커플링 섹션(2074A', 2074B', 2074C')은 캐리어의 자력에 의해 캐리어가 로드 포트를 기준으로 정렬된 위치로 쏠리도록 캐리어 인터페이스에 배치한다. 도 36D에 나오는 것처럼 본 실시예의 커플링 섹션(2074A', 2074B', 2074C')을 캐리어 인터페이스에 분산시켜 단일 대칭 축(X 축임)을 지정하며, 그에 따라 캐리어의 비접촉식 커플링(2071')의 방향을 맞추어 로드 포트에 한 방향으로만 연결되게 한다. 다른 실시예에서는 커플링 섹션을 다른 형태로 배치할 수 있다.
이제 도 37D를 보면, 또 다른 실시예에 따른 툴 로드 스테이션 또는 로드 포트(2300)의 투시도, 단 및 측면 입면도, 그리고 정면도가 각각 나온다. 도시된 실시예의 로드 포트는 앞에서 설명한 캐리어(2000, 200, 200' 300)와 비슷한 하단 열림식 캐리어와 연결하여 웨이퍼를 로드/언로드하도록 구성할 수 있다. 다른 실시예에서는 로드 포트를 원하는 다른 형태로 구성할 수 있다. 로드 포트(2300)에는 SEMI STD와 같은 적절한 마운팅 인터페이스가 있다. 로드 포트를 원하는 프로세싱 툴이나 워크스테이션에 맞물릴 수 있는 BOLTS 인터페이스를 구성한다. 예를 들어, 로드 포트는 프로세싱 툴의 EFEM(더 자세히 설명할 것임)과 같이 대기가 통제된 섹션에 탑재/맞물릴 수도 있고, 프로세싱 툴의 대기가 격리된 챔버(예를 들어, 진공 이송 챔버)에 (도 14에 나오는 것과 비슷한 방식으로) 맞물리거나 프로세싱 툴의 대기가 개방된 챔버에 맞물릴 수도 있다. 본 실시예의 로드 포트는 앞에서 설명한 로드 포트와 비슷하다. 로드 포트(2300)에는 일반적으로 캐리어 로딩 인터페이스(2302)와 로딩 캐비티 즉, 챔버(2340)(웨이퍼를 개별적으로 또는 카세트 단위로 캐리어에서 받거나 캐리어로 돌려 보내는 곳임)가 있다. 챔버(2304)는 대기를 격리(로드 포트가 프로세싱 툴의 로드 록 역할을 하게 할 수 있음)시킬 수도 있고 통제된(매우 깨끗함) 대기를 담을 수도 있다. 캐리어 로딩 인터페이스(2302)에는 로드 포트로 연결된 캐리어를 받쳐주는 로딩 플레인(2302L)이 있다. 로딩 플레인에는 기존의 로드 포트와는 달리 캐리어 배치 존에 돌출된 부분이 거의 없다. 도 37A에 나오는 것처럼, 로딩 플레인에는 캐리어 배치 존의 외부에 범퍼나 완충 장치가 있어서 캐리어와 로드 포트 사이에 크로스 정렬 오차가 생기면 캐리어 이동을 대체한다. 로드 포트의 로딩 인터페이스(2302)에는 로딩 개구부가 있으며 (또는 포트(2308)) (로딩 챔버(2304)와 통신함) 앞에서 설명한 로드 포트와 비슷하게 포트를 닫는 포트 도어가 있다. 본 실시예에서, 포트 도어(2310)는 거의 평평하며 로딩 인터페이스의 로딩 플레인과 수평이다. 포트 도어(2310)는 앞에서 설명했고 도 4A-4B에 나오는 것과 비슷한 밀봉 배치로 포트 림에 밀봉된다. 로드 포트의 로드 포트 인터페이스(2302)에 연결되면 도 4A-4B에 나오는 것과 비슷하게 배치된 거의 "제로 볼륨 퍼지" 밀봉이라고 할 수 있는 것에 의해 캐리어 케이스와 캐리어 도어는 각각 로드 포트 림(2308R) 및 포트 도어(2310)에 밀봉된다. 다른 실시예에서는 포트 림, 포트 도어, 캐리어 케이싱 및 캐리어 도어 사이의 밀봉을 다른 형태로 구성할 수 있다. 본 실시예의 포트 도어(2310)는 역시 앞에서 설명한 것과 비슷한 방식으로 수동적 자석 커플링이나 래치에 의해 포트에 연결된다. 본 실시예에서, 포트 도어와 포트 사이의 자석 커플링/래칭 소자는 포트 도어와 포트 사이에서 래칭이 작동하면서 동시에 캐리어 도어와 케이싱 사이에서 수동적 마그네틱 래칭을 작동시키도록 배치 및 구성된다. 그렇기 때문에, 예를 들어 포트에서 포트 도어 잠금을 해제하면 캐리어에 물려 있는 캐리어 도어가 풀리며, 포트 도어를 잠그면 캐리어 도어가 캐리어에 물리게 된다. 본 실시예에서, 로드 포트에는 도 8-14에 나오는 것과 비슷한 인덱서(2306) 및 퍼지/환기 설비(2314)가 포함된다.
다시 도 37D를 보면, 본 실시예의 로드 포트의 캐리어 로딩 인터페이스에는 캐리어(2000)를 로드 포트(2300)에 연결하는 등의 경우에 캐리어(2000)의 비접촉식 인터페이스 섹션(2071)과 연동하는 사실상 비접촉식인 인터페이스 섹션(2371)이 있다. 도 37D에 나오는 것처럼, 본 실시예에서 인터페이스 섹션(2371)에는 하나 이상의 에어 베어링(2372)과 비접촉식 커플링 섹션(2374)이 있다. 로드 포트의 에어 베어링(2372)은 적절한 유형과 구성으로 되어 있으며, 일반적으로 캐리어 인터페이스의 리프팅 영역(2072)의 배치에 일치하는 "맞춤형" 형태로 배치된다. 그렇기 때문에 에어 베어링(2372)은 로드 포트에 연결된 캐리어(2000)의 정렬 기준이 되는 기준축 X에 따라 대칭으로 배치된다. 공기/기체를 공급하는 적절한 장치(미도시)가 에어 베어링을 작동시킨다. 적절한 조절 장치(미도시)를 사용하여 에어 베어링에서 나오는 가스 흐름을 유지한다. 에어 베어링의 가스 공급 장치 및 조절 장치는 임의로 배치할 수 있다. 예를 들어 로드 포트의 로딩 챔버(2304)의 외부나 내부에 존재하며 챔버의 내부 공기에서 차단되어 있는 에어 베어링(2372)의 가스 공급 장치(2372S)(도 37C)는 벨로우즈 또는 기타 유연한 밀봉된 슬리브 내에서 가스 공급을 로딩 챔버에서 격리시키는 에어 베어링으로 확대할 수 있다. 또 다른 예로, 에어 베어링의 가스 공급 장치는 인덱싱 장치를 격리시키는 벨로우즈 밀봉 내에서 도 14에 나오는 퍼지 및 환기 라인과 비슷한 방식으로 확장할 수 있다. 본 실시예에서는, 캐리어의 공기/리프트 영역이 캐리어 도어에 있기 때문에 본 실시예의 (사실상 리프트 영역 밑에 있음) 로드 포트의 에어 베어링(2372)은 포트 도어(2310)의 영역 내에 배치된다. 다른 실시예에서는, 에어 베어링이 포트 프레임 또는 포트 림에 있으며, 에어 베어링의 가스 공급 장치는 로드 포트의 로딩 챔버의 외부에 배치된다. 본 실시예에서는, 에어 베어링(2372)이 (대체로 국지적인 배기구가 있음) 오리피스 베어링일 수도 있고 대체로 균일한 분산형 배기구가 있는 다공성 매체 에어 베어링일 수도 있다. 각각의 에어 베어링(2372)의 배기 흐름은 압력, 질량 흐름 및 방향 면에서 고정되어 대체로 일정하게 유지된다(도 37C에서는 이것을 하나의 예로 AB로 거의 수직으로 표시됨). 다른 실시예에서는, 에어 베어링에 로드 포트를 기준으로 한 캐리어의 이동을 보정하고 캐리어-로드 포트 정렬이 원활하게 이루어지도록 배기 흐름 특성(예를 들어, 압력, 질량 또는 방향임)을 변경할 수 있도록 가변적인 배기 흐름이 생기게 할 수 있다. 캐리어의 에어 베어링(2372)과 리프트 패드(2072)는 캐리어를 로드 포트에 처음 배치할 때 정렬 오차 허용 대역이나 배치 존을 제공하도록 크기를 조절할 수 있다.
도 37E를 보면, 다른 실시예에 따른 로드 포트(2300')의 평면도가 나온다. 여기서 로드 포트(2300')는 로드 포트(2300)와 거의 비슷하며 피처에 매겨진 번호도 비슷하다. 본 실시예에서 하나 이상의 에어 베어링(2372')에 노즐 배열이 있을 수 있다. 어레이 노즐의 배기구 AB1-AB4를 결합하여 배기 가스의 방향을 조절할 수 있다. 예를 들어, 어레이의 각각의 노즐에는 다른 노즐 배기구를 기준으로 구부러진 배기구가 있다. 하나 이상의 노즐에서 나오는 배기 흐름은 고정형이거나 가변형이다. 어레이의 에어 노즐이 최대 흐름 속도로 작동하면, 그 결과 나타나는 배기는 첫 번째 원하는 방향이 된다(예를 들어, 대체로 수직임). 어레이의 하나 이상의 노즐을 통한 흐름을 멈추게 하거나 줄이면 그 결과 나타나는 배기 방향이 바뀌게 되므로, 로딩 플레인에 방향성 부품이 생기게 된다. 다른 실시예에서는, 에어 베어링 노즐이 움직일 수 있거나(예를 들어, 기울일 수 있는 플랫폼에 장착된 에어 베어링 노즐임) 형태를 변경하여 (압전 소재나 형상 기억 소재를 사용함) 배기 가스의 방향을 조절할 수 있다. 이에 따라, 로딩 플레인의 에어 베어링 배기구의 방향성 부품은 로딩 플레인의 에어 베어링에 작용하는 캐리어의 동력을 배기구의 방향성 부품의 반대 방향으로 보내어 로딩 플레인의 캐리어가 측면으로 움직이게 한다.
다시 도 37A-37D를 보면, 로드 포트의 비접촉식 커플링 섹션(2374)은 캐리어의 자석(2074A-2074C) (도 36C 참조)이나 자성 소재 섹션(2074A'-2074C')과 연동하여 캐리어와 로드 포트 사이(예를 들면 캐리어 도어(2016)와 포트 도어(2310) 사이, 그리고 바람직한 경우 캐리어 케이싱과 로드 포트 프레임 사이)에 있는 잠금/잠금 해제가 가능한 마그네틱 커플링의 영역을 지정하도록 배치된 자석 섹션(2374A-2374C)으로 구성되어 있다. 본 실시예에서는, 로드 포트의 자석 섹션(2374A-2374C)이 자석(2074A-2074C), 또는 캐리어의 자성 소재 섹션(2074A'-2074C'1)과 연동하여 원하는 정렬이 되도록 로드 부분에서 캐리어의 위치를 조절할 수 있는 캐리어 포지션 보정 장치가 된다. 이 점은 아래에서 설명한다. 도시된 자석 섹션(2374A-2374C)의 정렬은 한 가지 예일 뿐이며, 다른 실시예에서는 로드 포트 비접촉식 캐리어 커플링 섹션의 자석 섹션을 원하는 방식으로 배열/구성할 수 있다. 자석 섹션(2374A-2374C)은 자석 스위치를 작동시키는 자석이다. 스위치는 작동이 되면 자장을 생성하여 캐리어의 자석 또는 자석 섹션을 원하는 방향으로 편향시킨다(예를 들어, 캐리어와 로드 포트의 잠금/커플링을 작동시키거나 캐리어에 교정력을 작용시키는 경우임). 도 37A와 37D에 잘 나타나 있듯이, 본 실시예의 로드 포트 인터페이스에는 캐리어 이송 시스템에 로드 포트의 위치를 알려주고 캐리어를 로드 포트 인터페이스에 처음에 배치하는 비접촉식 정렬 시스템(2380)이 있다. 앞에 언급한 것처럼, 로드 포트의 배치 존은 사실상 돌출 부분이 없으며, 캐리어를 배치 존에 처음 배치할 때 본 실시예의 캐리어와 로드 포트 사이에 거의 접촉이 없다(즉, 마찰 접촉이 생기지 않음). 도시된 실시예에서, 정렬 시스템(2380)에는 적절한 센서로 영상화할 수 있는 레지스트레이션 마크의 어레이 또는 패턴이 있다. 도 37D에 나오는 마크의 패턴은 한 가지 예일 뿐이며, 다른 실시예에서는 적절한 센서로 영상화하여 원하는 자유도로 위치 정보를 정의할 수 있는 적절한 마크 패턴을 사용할 수 있다. 예를 들어 이송 시스템의 캐리어 홀딩 부분에 배치할 수 있는 센서(미도시)는 패턴 및 패턴의 공간 특성을 영상화할 수 있는 CCD 또는 CMOS 이미징 센서이다. 이 패턴을 구현하는 영상 데이터는 적절한 프로세서로 전달되며, 그 프로세서는 캐리어의 위치 데이터를 등록하고 패턴과 연관지어 캐리어 이송 장치를 기준으로 로드 포트의 배치 존의 위치를 판단하고 캐리어 이송 장치에 그 위치를 알려준다.
본 실시예에서, 캐리어(2000)는 이송 시스템에 의해 배치 존(2302P)에 돌출 부분이 없는 로딩 플레인에 배치된다. 본 실시예의 배치 존은 로드 포트의 정렬 축을 기준으로 캐리어 크기 +/- 약 20 mm 정도를 기준으로 형성된 영역이다. 실제 배치 오류는 임의의 값이 될 수 있으며, 정해진 값에 의존하지 않는다. 그리고 배치 후에 캐리어의 위치를 판단하는데 사용되는 보정 메커니즘에 비례하여 지정할 수 있다. 그렇기 때문에, 커플링의 정렬 반복성은 기존의 커플링 방식과 대체로 동일하며, 동시에 허용 가능한 캐리어 이송 배치 오류는 증가한다. 일단 로드 포트가 캐리어를 감지하면, 공기막(에어 베어링)이 작동하여 캐리어를 들어 올리므로 캐리어와 로드 포트 인터페이스 사이에 마찰이 생기지 않는다. 이 때 캐리어에 작용하는 힘은 캐리어의 질량과 수평 기준면에 대한 중력 중심의 상대적인 위치, 및 부양력 자체이다. 캐리어 리프트 영역은 로드 포트의 공기 패드와 연결되어 캐리어를 들어올리고 캐리어의 반복이 가능한 포지셔닝(각도 포지셔닝과 횡단 포지셔닝 모두 포함함)을 로드 포트에 설정한다. 공기 막 위에 떠 있는 캐리어는 이제 로드 포트와 정렬된 위치에 배치된다. 앞에 언급한 것처럼, 마그네틱 커플링을 사용하여 캐리어에 작용하는 힘을 전달하여 캐리어를 옮기고 회전시킬 수 있다. 스크로크만 충분하면 마그네틱 이외의 방법으로 캐리어의 힘을 전달할 수 있으며 목표 위치를 예측할 수 있다. 캐리어와 로드 포트 연결이 완료되면 두 물체는 함께 조여져서 위치를 유지한다.
예로서 도 36A-36C에 나오는 실시예를 특별히 참조해 보면, 캐리어(2000)가 배치 존에 있으면, 영구 자석(2074A-2074C)은 로드 포트 인터페이스의 자석(2374A-2374C)에 겹쳐진다. 에어 베어링에 동력을 공급하고 전자식으로 또는 기계식으로 로드 포트 마그네틱을 작동시켜 캐리어 자석에 반대쪽 자극을 작용시킨다. 인터페이스에 마찰이 없으면 자극이 자연스럽게 정렬이 되고 물리적인 접촉이 없어질 때까지 캐리어 자유도가 X, Y 및 쎄타 Z축에서 움직일 수 있다. 이 단계를 진행하는 동안 에어 베어링에는 캐리어 및 로드 포트에 있는 자석의 자력이 프리로드되어 있다. 프리로드는 캐리어의 제어력을 유지하는데 도움이 되며 에어 베어링의 경직도를 높이는 역할도 한다. 에어 베어링은 미리 정해진 시간이 경과한 후 또는 센서 피드백에 따라 작동이 해제되므로 캐리어가 로드 포트의 포트 도어로 내려가게 된다. 그러면 자석이 완전히 접촉한 상태가 되고 캐리어를 포트 도어에 고정시키는 조이는 힘이 된다.
도 36D의 실시예에서 캐리어(2000)는 배치 후에 (캐리어 이송 시스템에 의함) 로드 포트 커플링 지점의 자장 내에 들어가기에 충분한 크기의 철금속 물질 패드(2074A와 2074C)(도 36D 참조)를 가지게 된다. 에어 베어링을 작동시키고 전자식으로 또는 기계식으로 로드 포트 마그네틱을 작동시켜 캐리어 철금속 패드에 자장이 작용하게 한다. 인터페이스에 마찰이 생기지 않기 때문에 자석과 철금속 패드 사이에 당기는 힘이 작용하여 캐리어가 정렬된 위치로 이동 또는 회전하게 된다. 에어 베어링에는 자력이 프리로드된다. 프리로드는 캐리어의 제어력을 유지하는데 도움이 되며 에어 베어링의 경직도를 높이는 역할도 한다. 에어 베어링은 미리 정해진 시간이 경과한 후 또는 센서 피드백에 따라 에어 베어링은 작동이 해제되므로 캐리어가 로드 포트의 포트 도어로 내려가게 된다. 철금속 패드에 작용하는 자력은 캐리어를 포트 도어에 고정시키는 조이는 힘이 된다.
또 다른 예에 따라, 캐리어는 도 37E에 나오는 실시예에서처럼 에어 베어링 표면에 통합된 방향이 정해진 에어 노즐(2372') (도 37E 참조)에 의해 구동된다. 본 실시예에서, 에어 노즐(2372)은 캐리어에 동작을 전달하는 하단면에 측면에서 가해지는 압력을 제공한다. 동작은 적절한 노즐 집합체를 작동시켜 캐리어의 자석이 로드 포트에 나란히 맞추어질 때까지 X축이나 Y축에 캐리어의 방향을 맞추는 컨트롤러로 제어할 수 있다. 노즐 어레이가 돌거나 회전하는 플래튼에 탑재되어 있는 다른 실시예에서는 플래튼을 작동시켜 노즐에 원하는 방향을 알려준다. 노즐은 배기구 방향을 캐리어의 의도한 동작 방향의 반대쪽으로 맞춘다. 이렇게 되면 측력이 전달되어 자석이 나란히 맞추어질 때까지 캐리어를 이동시킨다. 마그네틱 커플링에서 보내는 피드백 정보를 포함하여 일부 센서 피드백 정보를 사용하여 캐리어의 실제 위치를 감지하여 정렬된 위치와 비교한다. 이 정보에 따라 캐리어를 이동시킬 방향 및 에어 노즐이 캐리어에 힘을 가하는 방식을 결정한다. 다른 실시예에서는, 노즐 및 마그네틱 커플링을 함께 사용하여 캐리어를 원하는 위치로 정렬시킨다.
도 37F는 다른 실시예에 따른 로드 포트 인터페이스의 평면도이다. 본 실시예에서 로드 포트(2300")는 앞에서 설명한 것과 비슷하지만, 로드 포트에 배치된 자석(2374")이 도 37E에서 화살표로 표시된 이동이 가능한 X-Y 단계 이동 방향으로 부착되어 있다는 점이 다르다. 본 실시예에서는 캐리어가 로드 포트에 놓이고 에어 베어링이 작동되면 캐리어 자석이 X-Y 스테이지(2374S")에 연결된 로드 포트 자석으로 당겨진다. X-Y 스테이지(2374S")는 에어 실린더, 나사산이 없는 나사 또는 전기 솔레노이드이며 변환된 위치를 보고하도록 직접적으로 엔코딩된다. 연결된 캐리어 자석과 로드 포트 자석은 학습된(정렬된) 위치로 다시 돌아간다. 도착지에 도달하면 베어링 작동이 해제되고 캐리어는 포트 도어로 낮아져 조여진다. 비슷하게, 이 방식을 각각의 동작 핀을 X-Y 단계에 연결하는데 사용되는 기존의 동작 커플링 방식에 맞출 수 있다. 이 예에서, 동작 핀 중 두 개는 X, Y 및 세타 Z에 맞추어 정렬이 된다. 이렇게 하면 비접촉식으로 작동하지는 않지만, 최소한의 마모로 캐리어 배치 오차를 증가시킬 수 있는 가능한 방법이다.
도 37G는 비슷한 로드 포트(2300A)의 또 하나의 실시예이지만, 캐리어를 기계식으로 작동된 푸셔 암(2374M)으로 구동하여 캐리어를 포지셔닝하고 캐리어의 커플링 포인트를 로드 포트에 맞추어 정렬한다는 점이 다르다. 도시된 실시예에서, 로딩 플레인은 쎄타 X와 쎄타 Y 주위에 회전축 형태로 탑재된다(화살표 R, P로 표시됨). 에어 베어링과 조합하여 자유도를 사용하여 로드 플레인을 기울여 캐리어의 중력 중심을 옮기면 이동력이 피봇각 방향으로 전달된다. 이 방식은 위치 피드백 정보를 사용하여 로드 플레인을 적절한 캐리어 방향으로 지능적으로 작동시켜 캐리어와 로드 포트의 자석을 정렬한다. 일단 캐리어가 배치되면, 에어 베어링 작동이 해제되고 캐리어는 포트 도어에 조여진다. 끝으로, 로드 플레인을 원래 위치로 다시 회전시켜 도어를 분리할 수 있도록 포트에 맞추어 적절하게 정렬시킨다.
앞에서 언급한 것처럼, 캐리어 내의 환경은 이전 프로세스 및 웨이퍼와 캐리어의 내부의 환경에 따라 달라진다. 따라서, 로드 포트와 로딩 스테이션에 연결된 캐리어는 현재 프로세스 환경과 다른 환경(예를 들어, 가스 종류, 청결도, 압력)에 놓이게 된다. 예를 들어, 캐리어의 웨이퍼에 대해 정해진 어떤 프로세스는 불활성 가스를 사용한다. 따라서, 캐리어와 그 툴의 로드 포트 사이의 인터페이스는 적절한 종류의 가스를 투입하거나 배기시켜 캐리어가 열린 동안 압력 차이가 생기거나 원하지 않는 종류의 가스가 유입되는 것을 최소화할 수 있다. 또 다른 예로서, 툴의 환경은 진공이며, 툴의 로드 포트에 맞물린 캐리어는 인터페이스를 통해 저압으로 낮추어지기 때문에 캐리어의 웨이퍼를 진공 로드 록에 직접 로드할 수 있다. 캐리어와 로드 포트 사이의 인터페이스 및 캐리어와 툴 사이를 짝지을 수 있는 환경 제어 시스템은 앞에서 설명하였고 도 10-10A 및 14에 나오는 것과 거의 비슷하다. 캐리어 로드 포트 인터페이스 및 환경 매칭 시스템의 또 하나의 적절한 예는 미국 특허 출원번호 11/210,918, 출원일자 8/25/05에서 설명하고 있다. 이 특허는 본 명세서와 앞에서 전체적으로 참조로서 결합한다. 이제 도 38A를 보면, 다른 통제된 환경의 로드 포트에 캐리어의 환경을 일치시키는 프로세스를 보여주는 흐름도가 나온다. 도 38A의 실시예에서, 캐리어 및 로드 포트는 같은 종류의 가스(즉, 같은 종류의 불활성 기체)를 담고 있다. 본 실시예에서, 캐리어의 압력이 프로세스의 압력보다 더 높으면, 캐리어는 (인터페이스를 통함) 평형점에 도달할 때까지 로드 포트 챔버(또는 다른 적절한 환기 장소)로 환기를 시키며, 캐리어의 압력이 더 낮으면 캐리어와 로드 포트/툴 환경이 평형점에 도달할 때까지 로드 포트 또는 기타 적절한 공급 장치의 기체를 캐리어에 삽입한다. 도 38B의 실시예에서는, 로드 포트에 대기 환경(예를 들어, 매우 깨끗한 공기)이 존재하며, 도 38A와 관련하여 앞에서 설명한 것과 비슷한 방식으로 캐리어와 로드 포트 사이의 평형이 이루어진다. 도 38C는 로드 포트에 진공 환경이 존재하는 실시예의 프로세스를 보여준다. 캐리어와 로드 포트에 처음에 서로 다른 종류의 기체가 존재하는 다른 실시예에서는, 캐리어의 초기 공기를 전부 빼버리고 도어를 열기 전에 로드 포트와 같은 종류의 기체를 캐리어에 투입(예를 들어, 로드 포트에서)한다.
다시 도 37A를 보면, 앞에 언급한 것처럼, 본 실시예에서는 로드 포트에 포트 도어(2310)를 올리거나 내려 포트를 열거나 닫는 인덱서(2306)가 있다. 인덱서(2306)는 웨이퍼 프로세싱을 위하여 웨이퍼 카세트를 캐리어에서 로드 포트 챔버에서 원하는 높이로 올리거나 내릴 수도 있다. 앞에서 설명한 인덱서(2306)는 도 8, 9, 10-10A, 14 및 18에 나오는 실시예와 비슷하며, 인덱싱 메커니즘은 웨이퍼가 점유하고 있는 볼륨/환경에서 분리되어 있다. 요약하면, 인덱싱 메커니즘의 적절한 예는 다음과 같이 배치된다.
1. 벨로우즈가 있는 리드 나사 - 본 메커니즘은 로드 포트의 포트 플레이트에 부착된 전동 모터로 구동하는 리드 나사를 사용한다. 리드 나사 중 깨끗한 영역으로 들어가는 부분은 벨로우즈로 싸여 있다. 벨로우즈는 금속, 플라스틱, 직물 등과 같은 소재이며, 작업 중에 깨끗하고 피로 현상없이 유연성을 유지할 수 있는 소재이면 된다. 벨로우즈는 오염물이 생성되는 메커니즘과 웨이퍼가 존재하는 깨끗한 영역 사이의 장벽이 된다. 벨로우즈의 유연한 특성은 액츄에이터의 전체 스트로크에서 그런 차단 기능을 제공한다. 메커니즘의 피드백은 모터나 리드 나사의 로타리 엔코더에서 보낼 수도 있고 이동 경로 상의 리니어 엔코더에서 보낼 수도 있다. (도 14 참조).
2. 벨로우즈 내장 공압 실린더 - 구동 메커니즘이 공압 실린더라는 점 외에는 앞의 실시예 (1)과 비슷함. 두 위치(예를 들어, 포드 닫힘과 포드 내려짐) 사이의 이동에 대해 사용할 수 있다. (도 9 참조).
3. 공압 실린더 원격 구동 장치의 리드 나사 - 구동 메커니즘이 웨이퍼 볼륨 외부에 원격으로 존재한다는 점 외에는 이전 실시예와 비슷하다(도 10 참조). 로드 포트의 포트 플레이트는 지지 구조물과 함게 구동 장치에 부착되어 있다. 구동 장치는 깨끗한 영역에 노출되지만 오염은 공기 흐름 경로나 라비린스 밀봉을 통해 통제된다. 공기 흐름을 활용하려면 구동 장치를 웨이퍼의 다운스트림에 배치해야 한다. 그렇게 되면 생성되는 오염물이 웨이퍼 보다 아래가 되어 웨이퍼에서 분리된다. 라비린스 또는 기타 "무마찰" 밀봉을 추가하면 입자 투입이 제한되므로 구동 장치와 깨끗한 영역 사이에서 견고한 장벽이 된다. 두번째로, 구동 장치를 완전하게 프로세스 툴 환경 밖에 원격으로 배치할 수 있다. 이렇게 하면 이물질이 발생할 가능성이 있는 메커니즘이 덜 깨끗한 FAB 환경에 배치되지만 라비린스 밀봉을 사용하여 덜 깨끗한 FAB에서 프로세스 툴 환경을 보호한다.
4. 자력으로 연결된 포트 플레이트를 사용하는 구동 메커니즘 - 본 실시예는 포트 플레이트와 구동 메커니즘 사이에 마그네틱 커플링을 사용한다(반전된 예를 도 8에서 참조). 마그네틱 커플링은 구동 장치를 깨끗한 영역 밖에 분리할 수 있는 에어 갭에 있는 비철금속 벽을 통해 작동한다. 구동 방식은 리드 나사, 공압 실린더, 리니어 모터 등의 앞에서 설명한 모든 유형이다. 후자는 본질적으로 이동 방향을 통제하는 에어 베어링 가이드와 연계되어 깨끗하게 작동할 수 있기 때문에 깨끗한 영역 내에 둘 수 있다.
이제 도 39를 보면, 로드 포트(2300A)와 그에 연결된 캐리어(2000A)의 횡단면도, 그리고 다른 실시예에 따른 웨이퍼 공기 흐름 관리 시스템의 횡단면도가 있다. 캐리어(2000A)와 로드 포트(2300A)는 각각 앞에서 설명한 실시예의 캐리어 및 로드 포트와 비슷하다. 도 39에 나오는 실시예에서는 포트 도어가 열려 있고 카세트가 로드 포트 챔버로 인덱싱되어 프로세싱을 할 수 있도록 배치된다. 캐리어가 열려 있고 웨이퍼가 프로세싱이 가능하도록 배치되면, 웨이퍼 주위의 공기 흐름이 웨이퍼의 깨끗한 상태를 유지하는데 도움이 된다. 예를 들어, 프로세스에 따라서는 웨이퍼가 장시간 낮추어진 위치에서 유지하게 되어 환경 내에서 발생하는 입자가 웨이퍼 표면에 쌓일 위험이 높아진다. 뿐만 아니라, 로드 포트 메커니즘에 의해 생성된 오염물은 적절한 공기 흐름이 없으면 웨이퍼 표면에 내려 앉을 수 있다. 도시된 실시예에서는, 프로세스 환경 내의 공기 흐름의 적어도 일부를 "포착"하여 웨이퍼를 통과하는 흐름으로 다시 보낼 수 있다. 그러면 공기가 웨이퍼 이송 플레인(WTP)의 프로세스 환경 다운스트림으로 다시 배출된다. 본 실시예에서는, 공기 흐름 패턴이 웨이퍼 상단면에 평행을 이루며 수평으로 통과하여 웨이퍼 카세트의 뒷면으로 빠져나간다. 배기 경로는 카세트를 빠져나오는 공기를 수직으로 잡아당겨서 플로어로 연결되는 배기 포트로 보낸다. 이 방법을 사용하면 개방 루프나 밀봉된 환경에서 작동하는 동안 웨이퍼 표면에서 깨끗하고 일정한 공기 흐름을 유지할 수 있다. 예를 들어, 로드 포트가 질소나 아르곤과 같은 프로세스 의존형 기체가 존재하는 환경에서 작동할 때, 기존의 공기 흐름 방향을 변경하여 도시된 바와 같이 메인 스트림으로 다시 보내어 통제된 종류의 가스에 대해 사용하는 폐쇄 루프 환경을 지원한다.
도 39에 나오는 것처럼, 본 실시예에서는 공급 공기 날개를 웨이퍼를 액세스하는 영역 위에서 프로세스 축소 환경의 수직면에 장착한다. 위치는 기존의 SEMI E63 표준에 따른 FOUP 도어 오프너용으로 남겨진 공간이다. 에어 포일은 축소 환경에서 나오는 많은 양의 기존의 층류 흐름을 포착하여 공기 스트림을 수직 방향에서 수평 방향으로 돌리도록 설계되어 있다. 본 실시예에서 로드 포트의 외부 스킨의 안쪽에서 낮추어진 웨이퍼 카세트의 뒷면에 배치된 것이 디퓨저 소자이다. 예를 들어, 디퓨저는 흐름 특성에 따라 부분적으로 열려 있는 고체 패널로 구성된다. 디퓨저는 공기가 덕트의 배기측으로 들어가기 전에 압력 차이가 생기게 하면서 웨이퍼 위를 통과하는 수평 공기 흐름을 균일하게 관리하도록 구성되어 있다. 본 실시예에서, 회로의 배기측은 웨이퍼 상의 공기 흐름이 일정하고 균일하게 유지되도록 강제로 유도된다. 예를 들어, 배기측의 덕트 내부에는 축 방향 팬이 장착되어 있고 출력은 프로세스 툴 축소 환경 포트로 전달된다. 또한 장치는 팬 없이 사용할 수 있으며, 급기 날개, 디퓨저 및 배기 덕트로 구성된 시스템을 배치하여 웨이퍼 상에서 안정적인 균일한 공기 흐름을 보장할 수 있다.
이제 도 40A-40D를 보면, 해당되는 각각의 다른 실시예에 따른 대표적인 캐리어의 웨이퍼 레스트레인트의 횡단면도가 나온다. 도 40A에 나오는 실시예는 방사형 클램프 웨이퍼 레스트레인트의 도면이다. 카세트 측벽을 이동시켜 클램핑을 할 수 있다. 메커니즘은 카세트 내에 존재하며 로드 포트에 의해 작동하거나 포드 쉘-카세트 인터페이스(Z 축)에 의해 작동한다. 다른 실시예에서는 측벽을 포드 쉘 내부로 이동시킬 수 있다. 메커니즘은 포드 쉘과 함께 존재하며 로드 포트에 의해 작동하거나 포드 쉘-포드 도어(OHT의 Z축) 또는 포드-카세트(로드 포트의 Z축)에 의해 작동한다. 작동 메커니즘에 고급 소재(즉, 형상 기억 금속 또는 내자성 소재 등등임)를 사용한다. 도 40B에 나오는 실시예는 거의 일반적으로 웨이퍼 상단면에 작용하는 클램핑 힘을 사용하는 웨이퍼 레스트레인트이다. 본 실시예에서, 수직으로 이동하는 핑거가 카세트에 내장되어 있다. 메커니즘은 카세트 내에 존재한다. 메커니즘은 로드 포트에 의해 작동하거나 포드-포트 도어(OHT의 Z축) 또는 포드-카세트(로드 포트의 Z축)에 의해 작동한다. 다른 실시예에서는 축 이동 핑거가 포드 쉘이나 카세트에 내장되어 있다. 메커니즘은 카세트나 포드 쉘에 존재할 수 있다. 핑거는 수평이 아닌 각으로 웨이퍼로 이동한다(도 40C 참조). 메커니즘은 로드 포트에 의해 작동하거나 포드 쉘-포드 도어(OHT의 Z축) 또는 포드 쉘-카세트(로드 포트의 Z축)에 의해 작동한다. 다른 실시예에서는 2 DOF 핑거가 포드 쉘이나 카세트에 내장되어 있다. 그 다음에 핑거는 수직으로 이동하여 웨이퍼와 맞물린다(도 40D 참조). 메커니즘은 로드 포트에 의해 작동하거나 포드 쉘-포트 도어(OHT의 Z축) 또는 포드 쉘-카세트(로드 포트의 Z축)에 의해 작동한다. 다른 실시예에서는 캐리어의 웨이퍼 레스트레인트를 적절한 다른 형태로 구성할 수 있다. 예를 들어, 웨이퍼는 지지되는 웨이퍼 에지 접점(예를 들어, 웨이퍼와 리니어 에지 접점을 형성하는 카세트 상의 서포트 핑거) 사이에 끼워진다.
이제 도 41-41B를 보면, 또 다른 실시예에 따른 프로세스 툴 PD 및 이송 시스템의 전형적인 프로세싱 설비의 투시도, 단 측면 입면도, 그리고 정면도가 각각 나온다. 프로세싱 툴(PT)은 FAB의 프로세싱 베이에 배열된 툴과 같은 전형적인 어레이로 그려져 있다. 본 실시예의 이송 시스템(3000)은 프로세싱 베이의 툴에서 사용하는 것이다. 예를 들어, 이송 시스템(3000)은 FAB 전체 이송 시스템의 베이내 부분이다. 실시예의 이송 시스템(3000)은 일반적으로 앞에서 설명했고 도 29A-29D에 나오는 AMHS 시스템 실시예의 섹션과 비슷하다. 이송 시스템(3000)은 도 41에 나오는 적절한 이송 인터페이스를 통해 FAB AMHS 시스템의 다른 (예를 들어, 베이간) 부분(3102)과 정보를 교환한다. 앞에서 언급한 것처럼, 도시된 툴 어레이의 프로세싱 툴(PT) 구성은 하나의 예일 뿐이며 툴은 여러 로우로 되어 있다(이 예에서는 두 개의 로우(R1, R2))가 도면에 나오지만, 다른 실시예에서는 툴 로우가 더 적을 수도 있고 더 많을 수도 있음). 도시된 예에서, 툴 로우는 거의 평행으로 배치되며(기하학적으로는 평행이지만 서로에 대해서는 비스듬함), 거의 팽행인 프로세스 방향을 정의한다. 각각의 툴 로우의 프로세스 방향은 서로 동일할 수도 있고 서로 정반대일 수도 있다. 또한 임의의 로우에서의 프로세스 방향이 거꾸로 바뀌어 툴 로우의 한 부분 또는 존에서의 프로세스 방향과 동일한 툴 로우의 다른 부분이나 존의 프로세스 방향이 정반대가 될 수도 있다. 로우(R1, R2)의 프로세스 툴을 분산시켜 여러 프로세스 존(ZA-ZC)을 지정할 수 있다(예를 들어 도 41 참조). 각각의 프로세스 존(ZA-ZC)에는 로우(R1, R2)의 프로세스 툴이 하나 이상 포함될 수 있다. 다른 실시예에서는, 프로세스 존의 툴이 단일 로우 에만 존재할 수도 있다. 임의의 존의 프로세스 툴은 프로세스 관련성이 있다. 즉, 보완 프로세스가 있거나 툴 처리량이 비슷하다. 예를 들어 툴 존(ZA)에는 처리량이 높은 툴(예를 들어, 시간당 약 500 웨이퍼(WPH)), 처리량이 중간인 툴(예를 들어, 약 75 WPH - 500 WPH 미만)은 존(ZB)에 있고, 처리량이 낮은 툴(예를 들어, 약 15 WPH - 100 WPH)은 존(ZC)에 있을 수 있다. 임의의 존의 영역을 정하는 툴은 동일하지 않으며, 임의의 존 내에 있는 하나 이상의 툴이 그 영역 내의 다른 툴과 처리량이나 프로세스가 다를 수도 있다. 하지만, 그럼에도 불구하고 존 내의 툴 사이에는 관계가 존재하므로 최소한 이송과 관련된 부면에서는 툴을 동일한 존 내에서 체계화하는 것이 조직적으로 적절하다. 도 41에 나오는 툴 존은 단지 한 가지 예일 뿐이며 실시예에 따라 다르게 배치할 수 있다.
도 41에 나오는 것처럼 이송 시스템(3000)은 캐리어를 툴에서 캐리어를 이송하거나 툴로 이송할 수 있다. 이송 시스템(3000)은 앞에서 설명했고 도 29-35에 나오는 이송 시스템과 대체로 비슷하다. 도 41-41B에 나오는 실시예에서는, 이송 시스템(3000)은 오버헤드 구성(즉, 이송 시스템이 툴 위에 있음)을 사용한다. 다른 실시예에서는, 이송 시스템에 하단(underneath) 구성(즉, 이송 시스템을 도 30-33에 나오는 이송 시스템과 비슷한 툴 밑에 배치하는 구성임)과 같은 다른 적절한 구성을 적용할 수 있다. 도 41-41B에 나오는 것처럼 이송 시스템에는 일반적으로 많은 수의 하위 이송 시스템 또는 섹션이 있다. 본 실시예에서는 이송 시스템(3000)에 일반적으로 컨베이어 섹션(즉, 앞에서 설명한 도 20-25B에 나오는 솔리드 스테이트 컨베이어 또는 그 외의 적절한 컨베이어와 비슷한 것임)과 같은 대량 자재/고속 이송 섹션(3100)이 있다. 컨베이어 섹션은 모든 툴 존으로 확대되며, 캐리어를 컨베이어 섹션에 배치하거나 컨베이어 섹션에서 제외할 때 멈추거나 느려지는 일이 없이 이송 속도를 거의 일정하게 유지하며 캐리어를 이송할 수 있다. 본 실시예의 이송 시스템(3000)에는 스토리지 스테이션/로케이션(3000S)(도 41B 참조), 하나 이상의 스토리지 스테이션/로케이션에 접근할 수 있는 셔트(3202)가 있는 셔틀 시스템 섹션(3200)(도 42 참조), 그리고 연결 이송 시스템 섹션(3300)이 포함된다. 본 실시예에서, 연결하는 이송 시스템 섹션은 대량 이송 컨베이어 섹션(3100)이나 스토리지 스테이션에서 이송되는 캐리어에 접근하여 캐리어를 프로세싱 툴의 로딩 섹션으로 이송할 수 있다. 본 실시예에서, 스토리지 스테이션, 셔틀 시스템 섹션(3200) 및 연결하는 이송 시스템 섹션은 이송 시스템을 따라 선택적으로 설치할 수 있는 선택 설치 부분으로 형성된다. 본 실시예에서, 이송 시스템 섹션(3100, 3300, 3200)은 시스템 섹션 중 이송 시스템에서 설치하도록 선택된 부분을 쉽게 설치할 수 있도록 하는 모듈형이다. 이송 시스템의 각각의 부분, 즉, 이송 시스템에 설치하도록 선택된 셔틀 시스템, 인터페이스 시스템 및 스토리지 시스템은 프로세싱 툴의 존(ZA-ZC)에 해당한다. 이에 따라 이송 시스템(3000)은 프로세싱 툴 또는 프로세싱 툴 존에 일치하게 구성할 수 있다. 추가적으로, 본 실시예에서 이송 시스템은 존(TA-TC)에서 구성할 수 있으며, 대체로 프로세싱 툴 존(ZA-ZC)에 적합하며 그에 대응이 된다. 그렇기 때문에, 이송 시스템에는 존마다 시스템 섹션 구성이 각각 다르다. 본 실시예에서, 스토리지 시스템 섹션과 셔틀 시스템 섹션은 이송 시스템의 각각의 존(TA-TC)에서 구성할 수 있다. 또한, 본 실시예에서 인터페이스 이송 시스템 섹션은 각각의 존에서 구성할 수 있다. 본 실시예에서 인터페이스 이송 시스템에는 (도 41 갠트리에 나오는 예) 선택적으로 설치할 수 있는 인터페이스 이송 장치 부분(3310, 3320)이 있다. 이 이송 장치 부분은 추가/제거가 가능하며, 각각의 이송 시스템 존(TA-TC)에 매우 다양한 방향으로 설치할 수 있다. 원하는 인터페이스 이송 시스템 부분을 이송 시스템 존에 설치하여 해당 툴 존(ZA-ZC)의 프로세싱 툴의 처리량에 비례하는 원하는 툴 인터페이스 및 액세스 속도를 제공할 수 있다. 도 41A에 잘 나타나 있듯이, 인터페이스 이송 시스템 섹션에는 가변적으로 선택할 수 있는 수의 이송 장치 이동 플레인이 있다(예를 들어, 일부 존(TC)에는 단일 인터페이스 이송 장치 이동 플레인이 있으며(도 48 참조), 그 외의 존(TA, TB)에는 하나 이상의 이송 장치 이동 플레인(ITC1, ITC2)(도 41A, 46)이 있음). 다수의 플레인이 존재하는 존에서는 이송 장치가 서로 가로질러 이동할 수 있다. 두 개의 플레인이 도면에 나오지만, 제공되는 이송 장치 플레인은 더 많을 수도 있고 더 적을 수도 있다. 본 실시예에서는 이송 시스템이 이동 플레인과 함께 거의 수평으로 배치되지만, 다른 실시예에서는 이송 시스템을 인터페이스 이송 장치 바이패스를 위한 수직 이동 플레인을 두는 것을 포함하여 원하는 다른 형태로 배치할 수 있다.
오버헤드 갠트리 시스템(Overhead Gantry System, OGC)은 저, 중, 고 처리량에 맞게 구성할 수 있다. 현장에서 재구성할 수 있는 모듈형 어셈블리를 통해 계수나 프로세스 기능 변경에 대응할 수 있다. 이런 모듈형 어셈블리는 저 처리량, 중 처리량 및 고 처리량의 세 가지 범주로 나눌 수 있다. 다양한 모듈 배치는 원하는 이동 속도, 스토리지 용량 및 베어 내의 원하는 처리량 분포 등과 같은 다양한 요소들에 따라 달라진다.
낮은 처리량:
예를 들어, 처리량이 낮은 툴이나 툴 존은 단일 갠트리(3310)로 충분히 감당할 수 있다. 이 구성은 "피더" 로봇(3320)이나 셔틀링 시스템(3200)을 사용하지 않고 원하는 모든 이동을 제공할 수 있다. 갠트리는 베이내 컨베이어에서 캐리어를 선택하여 스토리지 로케이션으로 이송하며 캐리어를 스토리지에서 툴로 이송한다. 캐리어를 인접한 갠트리 존으로 이동하기 위하여, 캐리어를 베이내 컨베이어에 올려 놓을 수도 있고, 인접한 갠트리가 검색할 스토리지 네스트에 넣을 수도 있다. 이 구성에서는 사이에 낀 갠트리가 이동할 때까지 한 갠트리가 다른 갠트리를 지나가게 된다. 두 개 이상의 갠트리가 나란히 작동하는 상황에서, 한 갠트리가 고장나면, 인접한 갠트리가 고장난 갠트리의 작업을 대신할 수 있다. 작업 용량은 감소하겠지만, 작업이 완전히 중단되지는 않는다.
중간 처리량:
예를 들어, 처리량이 중간인 툴이나 툴 존은 "피더(feeder)" 로봇(3320)을 추가하여 (즉, 갠트리/이송 장치 레벨을 추가함) 처리할 수 있다. 이 구성은 일반적으로 피더 로봇(3320) 및 소터/셔틀(33200)을 추가한 처리량이 낮은 배치와 비슷하다. 본 실시예에서는, 피더 로봇과 소터/셔틀이 베이내 컨베이어 작동 전용 장치여서 스토리지만 이동시킨다. 모든 피더 로봇에 대해 피더의 어느 한 쪽(도 44 참조)에서 두 개의 갠트리 로더 로봇(3310, 3312)을 사용하는 것이 바람직하다. 하지만, 다른 실시예에서는 피더가 한 대의 로더 로봇과 짝지어진다. 소터/셔틀의 목적은 피더에서 캐리어를 받아들여 저장 대기열에 넣는 것이다. 이 구성에서, "로더" 로봇은 베이내 컨베이어에서 캐리어를 선택하는 추가 부담이 없이 스토리지-툴 이동 및 툴-스토리지 이동에 집중할 수 있다. 이 시스템은 처리량이 저, 중, 고인 인접 모듈과 함께 작동할 수 있다. 로더 로봇이 고장나는 경우 인접한 로더 로봇이 안으로 이동하여 고장난 로봇의 존에서 작업하는 것이 가능하다 (도 46 및 47 참조). 피더 메커니즘이 고장난다면, 개별적인 로더 로봇이 처리량이 낮은 구성과 동일한 방식으로 작동한다. 두 가지 고장 사례 모두에서, 이 시스템은 항상 작동이 되지만 용량은 축소된다.
높은 처리량:
예를 들어, 처리량이 높은 애플리케이션의 경우, 특정한 툴이나 툴 존의 수요에 맞추어 갠트리 모듈을 재구성할 수 있다. 처리량이 많은 배치에서는 베이의 각각의 측면에 로더 로봇이 있어서, 처리량이 중간인 존과 피더 로봇 배치가 비슷하며, 캐리어를 스토리지로 대기열 처리하는 소터/셔틀도 비슷하다 (도 45 참조). 로더 로봇은 베이의 한쪽에 있는 툴을 담당하므로 이동 거리가 더 짧아진다. 캐리어는 베이내 컨베이어 시스템을 통해 처리량이 많은 존을 출입한다. 처리량이 많은 구성은 로더 로봇 고장 및/또는 피더 로봇 고장에 대한 허용 오차가 크다. 로더 로봇이 고장나면 고장난 로봇이 존 밖으로 이동한 후 베이의 양쪽 측면에서 다른 로더 로봇이 작업할 수 있다. 피더가 고장나면 로더 로봇은 베이내 컨베이어 시스템에서 캐리어를 선택하는 일을 담당하게 된다. 로더 로봇과 피더 로봇이 모두 고장나면, 한 로더 로봇이 모든 원하는 동작을 담당하게 된다.
각 처리량 구성(저, 중, 고)은 단일 엔티티로 작동할 수도 있고 원하는 이동 속도에 따라 세 가지 배치 중 하나에 인접하여 작동할 수도 있다. 이 시스템에서는 시스템을 통과하는 캐리어 흐름을 완전히 무력화시키는 단일 지점 고장이 생기지 않는다. 개별 부품 고장이나 다중 부품 고장을 방지하는 특성 뿐만 아니라, 이 시스템은 이용 가능한 다수의 캐리어 이동 경로를 활용할 수 있다. 호스트 컨트롤러는 정상 작동 조건에서 특정한 캐리어에 대해 적용되는 연속적인 우선순위 이동 레벨이 있는 일련의 표준 이동 체계를 사용한다. 주기적인 캐리어 트래픽 폭증, 툴 고장, 업스트림 제한 등의 문제를 극복하기 위하여, 호스트의 제어 로직은 캐리어 흐름 경로를 재설정하고 문제 영역에서 캐리어 흐름을 우회시키는 방식을 시작할 수 있다. 도 50은 본 실시예에 따라 캐리어를 A 지점에서 B 지점으로 이동시키는 많은 방법을 보여준다.
본 실시예에서 "피더" 로봇은 베이내 컨베이어 시스템에서 캐리어를 검색하여 적절한 저장 위치에 배치할 수 있다. 필요하면 피더 로봇은 툴 로딩 로봇이 스토리지-툴 이동에만 집중할 수 있게 하여 시스템 총 이동 용량을 증가시킨다. 피더는 신속한 단거리 이동을 활용하여 베이내 컨베이어가 제한된 수준의 중단 또는 전혀 중단 없이 이동하게 해 준다(예를 들어, 도 20과 비슷하게 액세스 레인에서 캐리어를 액세스할 때 컨베이어 중단은 전혀 발생하지 않음). 피더 메커니즘은 갠트리 시스템의 워크로드를 덜어준다. 다양한 동작을 지원하는 예상 구동 메커니즘에는 리니어 모터, 볼 나사, 공압 구동 장치, 벨드 구동 장치, 마찰 구동 장치 및 자력 추진 장치가 포함된다. 앞에서 설명한 사실을 전제로 다음 실시예를 구현할 수 있다.
1. 피더 로봇이 x 방향(베이의 길이 방향)으로 고정되어 있고 y 방향(베이를 횡단하는 방향)과 z 방향(수직 방향)으로 자유도가 있다는 점을 제외하면 피더 로봇은 갠트리 로딩 로봇과 비슷하다. 피더 메커니즘은 툴 로딩 로봇 밑의 플레인에 있기 때문에 로더 로봇이 페이로드 없이 통과할 수 있다. 로드 포트 존 위의 영역은 비어 있어서 로더 로봇이 페이로드가 있는 피더를 가로질러 이동할 수 있다. 피더 시스템은 수직으로 배치되므로 차량이 올려진 위치에 있을 때 베이내 컨베이어 위를 지나갈 수 있으며 캐리어 위로 이동하여 캐리어를 잡을 수 있는 충분한 공간이 있다. 피더는 위에서 캐리어에 접근하므로, 베이내 컨베이어 시스템에서 캐리어를 선택하여 원하는 스토리지 플랜지에 배치하는데 짧은 수직 스트로크를 활용한다. 이 구성에서, 스토리지 레인은 베이내 컨베이어와 동일 평면상에 존재한다. 스토리지 레인에는 캐리어를 스토리지 로우 상의 다음 위치로 셔틀하는데 사용되는 양방향 소터/셔틀 메커니즘이 있다. 셔틀 구동 메커니즘은 베이의 길이 방향으로 캐리어를 최소한 한 피치 거리 이상 이동시킬 수 있도록 설계되어 있다. 피치 거리는 갠트리 툴 로딩 로봇이 피더 로봇에 인접하여 이동하고 간섭받지 않고 캐리어를 선택할 수 있는 거리로 정의할 수 있다. 소터/셔틀도 원할 때 인접한 로더 로봇 존과 스토리지 레인 사이에서 캐리어를 이송하는데 사용된다. 예를 들어, 캐리어 이동 순서는 다음과 같다.
- 베이내 컨베이어는 베이 길이 방향으로 피더 로봇의 고정된 X 위치에서 순간적으로 정지한다.
- 피더 로봇은 이전의 Y 위치에서 베이내 컨베이어에서 캐리어 바로 위에 있는 위치로 이동한다.
- 피더 로봇이 캐리어를 선택한다.
- 피더 로봇이 Y 방향으로 특정한 셔틀 레인으로 이동한다(베이로 이동함).
- 피더 로봇이 캐리어를 셔틀에 올려 놓고 다음 이동을 진행한다.
- 셔틀/소터 메커니즘이 캐리어를 X 방향으로 구동한다.
- 갠트리 툴 로딩 로봇이 스토리지 위치로 이동한 다음 캐리어를 선택하여 적절한 툴에 올려 놓는다.
실시예에 따른 시스템이 개선된 몇 가지 예 중에는 기존 시스템에 비해 증가한 웨이퍼 처리량, 캐리어 이동을 완료할 수 있는 다중 이동 경로, 그리고 향상된 고장 방지 능력이 있다.
도 48에 나오는 다른 실시예에 따라, 피더 로봇은 셔틀 및 베이내 컨베이어 시스템 바로 밑의 플레인에 존재하는 리니어 스테이지로 구현된다. 이 스테이지는 실시예 1과 자유도가 동일하며 위가 아니라 아래에서 캐리어를 잡는다. 베이내 컨베이어에서 캐리어를 잡은 다음 베이로 이동시켜 적절한 셔틀 위에 놓는다. 이 아키텍처에는 컨베이어 레인을 장비 바운더리 사이의 어느 곳에든 배치할 수 있다는 장점이 있다. 예를 들어, 베이내 컨베이어는 실시예 1에서처럼 외부가 아니라 가운데에 존재할 수도 있다. 이 배치의 또 하나의 장점은 로더 로봇이 베이 내의 임의의 Y 위치에서 페이로드가 있는 피더 메커니즘 위를 통과할 수 있는 반면, 실시예 1의 경우 로더가 로드 포트 존 내에 있는 경우에만 그렇게 할 수 있다는 점이다. 게다가, 로더 로봇이 충돌을 피하기 위해 피더 구조물과 통신할 필요가 없다. 피더와 로더 로봇은 페이로드가 있는 동일한 수직 공간을 점유하면서도 서로 연결하지 않을 수 있다. 이 구성의 이동 순서는 위가 아니라 밑에서 캐리어를 잡는다는 점 외에는 실시예 1과 동일하다.
다른 실시예에서는, 오버헤드 또는 밑에서 잡는 메커니즘이 X 방향(베이 길이 방향), Y 방향(베이로 이동함) 및 Z 방향(수직 방향)으로 이동할 수 있다. 이 구성에서는 셔틀/소터를 사용하지 않는다. 3축 피더가 필요한 특정 스토리지 레인 및 슬롯으로 이동할 수 있기 때문이다. 예를 들어, 베이내 컨베이어에서 캐리어를 빼내어 적절한 스토리지 레인에 배치한 다음 스토리지의 첫번째 캐리지 대기열로 수직으로 이동시킨다. 도 49에 잘 나타나 있듯이, 다른 실시예에 따라 FAB 플로어에서 OHT 시스템의 가장 높은 도달 가능 지점으로 뻗어 있는 캐리어 지오메트리에 일치하게 한 볼륨 내에 캐리지를 저장할 수 있는 수직 스토리지 컬럼을 제공하여 스토리지 용량을 증가시킬 수 있다. OHT 시스템은 베이의 길이 방향으로 배치할 수 있다.
도 41에 나오는 것과 같은 실시예에서, 이송 시스템(3000)을 이용하는 프로세스 툴(PT)의 인터페이스 스테이션 또는 로딩/언로딩 스테이션(예는 도 37A-37C 및 39 참조)에는 서로에 대해 각각 다른 페이싱이 있다. 향후 설명하게 될 로딩 스테이션 및/또는 프로세스 툴의 페이싱은 로딩 스테이션이나 프로세스 툴의 측면이나 앞면의 위치 또는 방향을 구체적으로 언급하는 대신 캐리어에서 프로세스 툴로 로딩된 로딩 스테이션 및/또는 웨이퍼에 맞물린 캐리어를 기준으로 로딩 스테이션 및/또는 프로세스 툴의 미리 정해진 방향을 명시하는 로딩 스테이션이나 프로세스 툴의 (모든) 특성을 언급한다. 이송 시스템(3000)이 프로세스 툴(PT) 사이에서 이송하는 캐리어는 페이싱이 각각 다른, 다른 툴의 로딩 스테이션과 짝지어지면 해당 로딩 스테이션의 페이싱에 해당하는 각각 다른 방향으로 맞물리게 된다. 그렇기 때문에 이송 시스템(3000)을 이용하는 다른 프로세스 툴의 로딩 스테이션과 짝지어진 캐리어는 서로에 대해 각각 방향이 다르다. 캐리어를 프로세스 툴의 로딩 스테이션에 맞물리는 시팅 인터페이스는 원하는 방향으로, 즉, 로딩 스테이션의 페이싱에 일치하는 방향으로 캐리어와 맞물릴 수 있도록 분극화되어 있다. 캐리어(일반적으로 도 1-5 및 36A-36D에 나오는 캐리어(200)와 비슷함)는 프로세스 툴(PT)의 로딩 스테이션과 맞물리는 것과 관련하여 동일 구조의 구성이 아닐 수도 있다. 예를 들어, 캐리어의 케이싱이나 하우징은 일반적으로 외형이나 모양이 동일한 구조이지만(예를 들어 도 1 및 36A 참조), 프로세스 툴의 기준 프레임과 관련하여 원하는 방향으로 기반을 로드할 수 있다. 그렇기 때문에 프로세스 툴(PT)의 로딩 스테이션에 맞물린 캐리어는 그 안의 기판이 프로세스 툴에 대해 지정된 원하는 방향이 되도록 로드된다. 다른 실시예에서는, 캐리어 케이싱에서 캐리어가 프로세스 툴의 로딩 스테이션에 맞물리는 방향이 정해지는 형태가 동일 구조가 아니다(즉, 캐리어는 케이싱의 한쪽 측면이나 정면에만 기판 이송 개구부가 있는 FOUP와 비슷함). 본 실시예에서, 캐리어(CAR 200)에는 캐리어의 방향을 알려주는 적절한 식별 장치 또는 표시(예를 들면, 구조적 형태나 전자식 표시)가 있다. 이송 시스템(3000)의 제어 시스템(미도시)은 캐리어가 이송 시스템(3000)에 의해 FAB 의 툴(PT) 사이에서 이송될 때 캐리어의 식별 장치나 표시로 캐리어(CAR 200)의 방향을 식별 및/또는 추적하도록 적절하게 구성 또는 프로그램할 수 있다. 제어 시스템은 캐리어(CAR 200)의 방향을 로딩 스테이션의 페이싱과 연관지어 이송 시스템(3000)이 로딩 스테이션의 페이싱과 관련된 방향으로 로딩 스테이션에 로드 및 연결되도록 구성 또는 프로그램할 수도 있다. 도 41과 50에 나오는 실시예에서, 이송 시스템(3000)에는 설명 중에 이송된 캐리어에 독립적으로 θ 동작(도 41A에 표시된 것처럼 캐리어를 회전시켜 캐리어 방향을 변경하는 것)을 하도록 배치된 θ 구동 시스템(3600)으로 표현된 것이 포함될 수 있다. 이송 시스템(3000)의 구동 시스템(3600)은 아래에서 자세히 설명하는 것처럼 그 외의 다른 방향(도 41에 나오는 x, y, z 방향)으로 나타나는 캐리어 이동에 관계 없이 캐리어의 θ 동작이나 회전을 할 수 있다. 그렇기 때문에, 본 실시예의 이송 시스템(3000)은 캐리어를 프로세스 툴 사이에서 이송할 때 4가지 자유도 이동(x, y, z, θ)을 할 수 있다. 다른 실시예에서는, 이송 시스템의 캐리어 이송 동작의 자유도가 다소 더 높거나 낮을 수 있다. 본 실시예에서, θ 구동 시스템(3600)은 아래에서 자세히 설명하는 것처럼 캐리어에서 "실시간으로" 캐리어의 독립적인 θ 동작을 실행하도록 배치할 수 있다.
앞에서 언급한 것처럼, 프로세스 툴(PT)에는 서로에 대해 각각 다른 페이싱을 사용하는 로딩 스테이션(LSR1, LSR2)이 있기 때문에, 이송 시스템(3000)이 캐리어를 (로딩 스테이션의 페이싱에 일치함) 각각 다른 로딩 방향으로 로딩 스테이션에 올려 놓게 된다. 다시 도 41과 50으로 돌아가서, 도시된 실시예에서는 이송 시스템(3000)을 이용하는 프로세스 툴(PT)는 로우(R1, R2)로 배치된다(앞에서 언급한 것처럼, 다른 실시예에서는 프로세스 툴 및 해당 로딩 스테이션이 로우나 컬럼을 사용할 수도 있고 하지 않을 수도 있는 다른 형태로 배치될 수 있으며 임의의 어레이 배치나 시리얼 배치를 사용할 수도 있음). 도 41A에 잘 나타나 있듯이, 본 실시예에서는 프로세스 툴의 헤당 로딩 스테이션(LSR1, LSR2)이 대체로 서로 마주보도록 해당 로우(R1, R2)의 프로세스 툴(PT)을 배치할 수 있다. 따라서, 도 41A에서 알 수 있듯이, 로우(R1의 로딩 스테이션(LSR1)이나 프로세스 툴은 로우(R2)의 로딩 스테이션(LSR2의 페이싱 방향(화살표 LSA2로 표시된 방향)과 사실상 정반대인 방향(즉, 약 180° 떨어진) (도 41A에서 화살표 LSA1로 표시된 방향)을 향하게 된다. 로딩 스테이션의 페이싱 방향은 각각의 로우에서 비슷하지만(예를 들어, 해당 툴 로우(R1, 42)에서 로딩 스테이션(LSR1, LSR2)은 대체로 각각 LSR1, LSR2 방향으로 향함), 다른 실시예에서는 하나 이상의 로우의 하나 이상의 툴에 동일한 툴 로우의 툴의 다른 로딩 스테이션과는 다른 페이싱을 가지는 로딩 스테이션이 존재하게 된다. 다른 실시예에서는, 로딩 스테이션이 180° 정도 떨어진 다른 방향을 향하게 된다. 또 다른 실시예에서는, 이송 시스템을 이용하는 로딩 스테이션의 페이싱 방향이 비슷하다. 예를 들어, 도 41A는 캐리어(CAR 200)가 로딩 스테이션(LSR1)과 맞물린 것을 보여준다. 도시된 실시예에서 로딩 스테이션(LSR1)의 캐리어(CAR 200)는 로딩 스테이션(LSR1)의 페이싱(화살표 LSA1로 표시됨)에 일치하도록 방향을 맞출 수 있다(참고하도록 그려진 방향 피처 CAR A로 표시됨). 또한 도 41A는 로딩 스테이션(LSR2)의 페이싱(화살표 LSA2로 표시됨)에 일치하도록 방향이 맞추어진(피처 CAR A'으로 표시됨) 로딩 스테이션(LSR2)에 맞물려진 캐리어 (CAR 200')(희미하게 표시된 것)을 보여준다. 도 41A에서 알 수 있듯이, 본 실시예에서 로딩 스테이션(LSR2에 맞물려진 캐리어(CAR 200)의 방향은 로딩 스테이션(LSR1에 맞물려진 캐리어의 방향에서 약 180° 떨어지게 된다. 다른 실시예에서는, 각각 페이싱이 다른 로딩 스테이션에 맞물려진 캐리어의 방향 차이가 180° 정도이다. 본 실시예에서는, θ 구동 시스템(3600)을 사용하는 이송 시스템(3000)이 캐리어(CAR 200)을 회전시켜 (예를 들어, θ 회전) 캐리어의 방향을 원하는 방향 CAR A, CAR A'로 맞추어 캐리어를 원하는 로딩 스테이션과 맞물릴 수 있다. 도 41A에서 알 수 있듯이, 이송 시스템(3000)의 θ 구동 시스템은 캐리어의 θ 회전을 약 180° 정도 실행할 수 있으며, 아래에서 자세하게 설명된 것처럼, 본 실시예에서 θ 구동 시스템(3600)이 실행하는 캐리어(CAR 200)의 θ 회전은 약 270° 가 된다. 본 실시예에서는, θ 구동 시스템이 캐리어의 θ 회전을 임의의 크기만큼 실행할 수 있다.
다시 도 41을 보면, 본 실시예에서는 앞에서 설명한 것처럼 이송 시스템(3000)이 일반적으로 빠른 이송 섹션(3100)(예를 들어, 컨베이어 또는 기타 적절한 대량 자재 이송 장치. 별도의 이송 차량이 있을 수도 있고 없을 수도 있음)과 인터페이스 이송 시스템 섹션(3300)(작동이 된 이송 섹션(3100)이 로딩 스테이션에 컨테이너를 로딩하든 언로딩하든 이송 속도를 거의 일정하게 계속 유지할 수 있도록 고속 이송 섹션과 툴 로딩 스테이션 사이에서 캐리어를 연결하는 것)으로 구성된다. 도 42에서, 인터페이스 이송 섹션(3300)은 오버헤드 갠트리(3310) 구성으로 그려져 있으며, 다른 실시예에서는 인터페이스 이송 섹션이 다른 적절한 구성을 사용할 수 있다. 도 42에 나오는 실시예에서는, 갠트리(3310)(임의의 수의 갠트리로 인터페이스 이송 시스템을 모듈형으로 구성할 수 있음)가 일반적으로 트래버서(3314)가 2축 이동(예를 들어, x, y 축, 도 41 참조)을 제공하도록 배치된 이동 플랫폼(3312)으로 구성되어 있다. 트래버서(3314)는 적절한 형태로 구성할 수 있으며 호이스트 장치를 포함하고 있기 때문에 캐리어(CAR 200)를 잡아서 유지하는 갠트리의 캐리어 그립을 올리거나 내려 Z축 이동을 실행할 수 있다. 트래버서 차량의 적절한 예는 브룻스 오토메이션 사(Brooks Automation, Inc.)에서 구할 수 있는 에어로로더 티엠(AeroloaderTM) 이송 차량이다. 앞에서 언급한 것처럼, 갠트리(3310)는 (도 43-45 참조) 컨베이어 섹션(3100)에서 캐리어를 선택하거나 배치하고 프로세스 툴의 로딩 스테이션에서 캐리어를 선택하거나 배치하도록 구성할 수 있다. 본 실시예에서는, 스토리지 스테이션(3000S)을 제공하며(예를 들어, 도 41B 참조) 인터페이스 이송 섹션(예를 들어, 갠트리(3310))은 이송 컨베이어 섹션(3100), 또는 스토리지 스테이션(3000S)이나 프로세스 툴의 로딩 스테이션에 있는 컨테이너를 액세스할 수 있으며, 앞에서 설명한 것처럼 그 사이에 있는 캐리어(CAR 200)를 원하는 순서대로 이동시킬 수 있다. 앞에서 설명한 것처럼, 도 50은 몇 가지 캐리어 이동의 대표적인 예를 보여준다. 예를 들면, 컨베이어 섹션(3100R1, 3100R2)와 툴 로우(R1, R2) 중 하나에 있는 로딩 스테이션(LSR1, LSR2) 사이에서의 이동 또는 같은 툴 로우나 다른 툴 로우의 두 개의 로딩 스테이션(LSR1, LSR2) 사이의 이동, 또는 로딩 스테이션(LSR1, LSR2)과 스토리지 스테이션(3000S) 사이의 이동이 있다. 이런 이동은 인터페이스 이송 섹션(3300)의 갠트리(3310)에 의해 실행된다.
본 실시예에서, 갠트리(3310)에는 아래에서 더 설명하겠지만 θ 구동 시스템(3600)이 포함된다. 도 50에 나오는 것처럼, 본 실시예에서는 인터페이스 이송 섹션(3300)에 의해 이송 중에 캐리어(CAR 200)를 (화살표 θ로 표시됨) 회전시켜 캐리어 방향을 원하는대로 변경할 수 있다. 예를 들어, 컨베이어 섹션(3100R1, 3100R2)에 의해 운반될 때 캐리어(CAR 200)는 어떤 방향으로든 설정할 수 있다. 바꾸어 말하면, 컨베이어 이송 장치의 캐리어(CAR 200)의 방향은 캐리어가 로딩 스테이션(LSR1, LSR2)에 배치되는 방향과 다를 수 있다. 예를 들어 캐리어(CAR 200)는 도착지 로딩 스테이션에 맞물려질 때 캐리어의 원하는 방향(피처 CAR A'로 표시됨)에서 (시계 방향으로) 약 270°인 방향(도 50에 피처 CAR A로 표시됨)으로 컨베이어 이송 장치(3100R2)에 배치할 수 있다. 캐리어(CAR 200)는 인터페이스 이송 섹션(3300)의 갠트리(3310)에 의해 컨베이어(3100R2)에서 원하는 로딩 스테이션(LSR1)으로 옮겨질 수 있다. 이것은 일반적으로 도 50에 화살표(C2L1)로 표시된다. 본 실시예에서, 캐리어의 방향은 이동 중에 이송 섹션에 의해 변경될 수 있다(예를 들어, 캐리어(CAR 200)을 로딩 스테이션(LSR1)에 맞물릴 수 있도록 컨베이어의 초기 방향 설정(피처 CAR A로 표시됨)에서 원하는 로딩 방향 설정(피처 CAR A'로 표시됨)으로 (약 270° 시계 방향으로 회전)). 도 42A는 한 예로 캐리어(CAR 200)가 전형적인 로딩 스테이션(LSR2)에 인접한 곳에서 트래버서(3314)에 의해 잡혀 있는 상태의 인터페이스 이송 섹션(3300)의 갠트리(3310)의 부분 투시도이다. 캐리어(CAR 200)는 로딩 스테이션(LSR2)에 인접하여 로딩 스테이션의 페이싱에 일치하는 방향으로 설정되어 있는 갠트리에 의해 감아올려져 있다. 도시된 위치는 캐리어를 로딩 스테이션과 맞물리기 전 또는 맞물림을 해제한 후의 위치의 전형적인 예이다. 로딩 스테이션(LSR1, LSR2)을 로딩/언로딩하기 위해 도 50에 나오는 이동 경로(예를 들어, 이동 경로 C2L1)를 따라 이송 시스템(3000)이 이송한 캐리어는 도 42A에 나오는 것처럼 갠트리(3310)에 의해 배치된다. 본 실시예에서, 로드 스테이션과 맞물릴 수 있도록 캐리어(CAR 200)를 θ 회전하여 방향을 변경하는 것은 갠트리가 캐리어를 로딩 스테이션으로 이동시킬 때 "실시간으로" 실행된다. 캐리어의 θ 회전은 갠트리에 의해 캐리어를 이동시키는 동안 언제든지 실행할 수 있다. 다른 실시예에서는, 캐리어의 θ 회전을 "실시간으로" 실행할 수 없을 수도 있다. 본 실시예에서, 갠트리는 로딩 스테이션(LSR1, LSR2)에서 캐리어를 언로드하여 원하는 컨베이어(3100R1, 3100R2)로 이동시킬 수 있으며, 이동 중에 θ 회전으로 캐리어의 방향을 변경할 수 있다. 예를 들어, 캐리어를 회전시켜 으로 미리 배치할 수 있다. 예를 들면, 그 다음 예상 도착 스테이션이나 로딩 스테이션에 해당하는 방향으로 맞출 수 있다(다음 예상 도착 스테이션 방향 및 그에 해당하는 캐리어 방향은 이송 시스템 컨트롤러로 확인할 수 있다). 앞에서 언급한 것처럼, 컨베이어 이송 장치(3100R1, 3100R2)와 로딩 스테이션(LSR1, LSR2) 사이 또는 동일하거나 서로 다른 툴 로우(R1, R2)의 로딩 스테이션 사이에서 이동하는 캐리어(CAR 200)는 최소한 하나 이상의 캐리어 스토리지 스테이션(3000S)에 일시적으로 배치할 수 있다. 또한 스토리지 스테이션(들)에 배치된 캐리어의 방향은 로딩 스테이션과 맞물렸을 때 원하는 캐리어 방향과 다를 수 있다. 예를 들어, 인터페이스 이송 섹션(3300)(예를 들어, 갠트리(3310)나 피더 로봇(3320), 도 42, 44 참조)에 의해 스토리지 스테이션(3000S)에 배치된 캐리어는 일부 이전 기준에 따라 방향이 정해진다(즉, 캐리어가 가장 마지막에 언로드된 로딩 스테이션에 해당하는 방향으로 설정될 수 있음). 이 방향 설정은 캐리어를 맞물리게 될 그 다음 로딩 스테이션에 일치시키는 것과 다를 수 있다. 따라서, 본 실시예에서 갠트리는 캐리어를 스토리지 스테이션(3000S)에서 로딩 스테이션으로 이동시킬 때(예를 들어, 도 50에서 화살표 SL1으로 표시된 경로를 따라 이동시킬 때임) 캐리어의 θ 회전을 실행하여 방향 설정을 변경할 수 있다. 캐리어의 θ 회전은 앞에서 설명한 것과 비슷하게 "실시간으로" 실행된다. 또한 갠트리는 이후의 인터페이스를 위하여 원하는 방향으로 스토리지 스테이션(3000S) 안에 캐리어를 배치할 수 있다. 예를 들어, 로딩 스테이션에서 캐리어를 언로딩할 때 앞에서 설명한 것과 비슷한 방식으로 할 수 있다. 갠트리는 캐리어를 로딩 스테이션에서 스토리지 스테이션으로 이동시킬 때 θ 회전으로 캐리어의 방향을 변경할 수 있다. 본 실시예에서, 갠트리는 캐리어를 컨베이어 이송 장치에서 스토리지 스테이션으로 이동시킬 때 캐리어를 회전시켜 캐리어의 방향을 미리 설정할 수도 있다. 본 실시예에서, 캐리어를 각각 다른 로우(R1, R2)의 로딩 스테이션(LSR1, LSR2)으로 이송하도록 배치된 갠트리(3310)는 캐리어를 한 로우(R1, R2)의 로딩 스테이션에서 다른 로우(R1, R2)의 로딩 스테이션으로 (한 번의 이동 또는 일련의 이동임) 옮길 수 있으며, 이동 중에 θ 회전으로 캐리어의 방향을 (캐리어를 빼는 로딩 스테이션에 일치하는 초기 방향에서 캐리어가 도착지 로딩 스테이션에 일치하는 최종 방향으로) 변경할 수 있다.
이제 다시 도 42B-D를 보면, 본 실시예에서는 갠트리(3310)의 θ 구동 시스템(3600)을 트래버서 차량(3314)에 포함시킬 수 있다. 도시된 바와 같이 아래에서 설명하는 θ 구동 시스템의 구성은 한 가지 예일 뿐이며 다른 실시예에서는 θ 구동 시스템을 적절한 다른 형태로 구성할 수 있다. 본 실시예에서, 트래버서 차량(3314)에는 일반적으로 베이스 차량 섹션(3340), 호이스트 메커니즘(3342) 및 캐리어 그리퍼 섹션(3344)이 있다. 본 실시예에서는 베이스 차량 섹션(3340)을 갠트리의 이동 플랫폼에서 이동하면서 지지한다(도 42 참조). 호이스트 메커니즘(3342)은 캐리어 그리퍼 섹션(3344)을 베이스 차량 섹션(3340)에 부착시킨다. 호이스트 메커니즘(3342)을 올리거나 내려 캐리어 그리퍼 섹션을 베이스 차량 섹션을 기준으로 올리거나 내릴 수 있다. 캐리어와 연결하고 캐리어를 움켜 잡거나 풀어 놓도록 캐리어 그리퍼 섹션을 구성할 수 있다. 이제 도 42C-42D를 보면 각각 캐리어 그리퍼 섹션(3344)의 투시도와 상단 평면도가 나온다. 본 실시예에서는, θ 구동 시스템(3600)이 캐리어 그리퍼 섹션(3344)에 포함되어 있다. 다른 실시예에서는, θ 구동 시스템을 다른 방식으로 갠트리 트래버서에 포함시킬 수 있다. 본 실시예에서는, 캐리어 그리퍼 섹션(3344)에 상단부/하단부(3344F, 3344R)가 포함된다. 부품들은 (로타리 샤프트와 같은) 축에 의해 서로 결합되어 있어서 부품 사이에서 (도 42C에서 화살표 θ로 표시된 방향임) 상대적인 회전이 가능하다. 본 실시예에서는, 상단 부품(3344F)을 호이스트 밴드나 멤버(3342H)에 연결할 수 있다(도 42B 참조). 하단부(3344R)에는 캐리어와 결합하는 캐리어 그리퍼 메커니즘이 있다. 그리퍼 메커니즘에 잡힌 캐리어는 트래버서 캐리어 그리퍼 섹션의 하단부(3344R)를 기준으로 고정이 된다. 도 42B-D에 나오는 실시예에서 θ 구동 시스템(3600)은 일반적으로 모터(3602)(적절한 서보 모터나 스테퍼 모터), 샤프트(3604) 및 엔코더(3606)로 구성된다. 모터(3602)에는 캐리어 그리퍼 섹션의 상단부(3344F)에 고정된 고정자와 샤프트(3604)에 탑재된 회전자가 있다. 샤프트(3602)는 캐리어 그리퍼 섹션의 하단부(3344R)에 고정된다. 그렇기 때문에, 모터는 하단부(3344R)를 회전시키고, 그에 따라 캐리어 그리퍼가 잡고 있는 캐리어를 회전시킬 수 있다. (적절한 유형의) 엔코더(360)는 제어 시스템(미도시)을 기준으로 샤프트의 절대 위치와 진행 위치를 모두 식별한다. 앞에서 언급한 것처럼, 본 실시예에서는 약 270° 회전, 즉, θ 회전을 하도록 θ 구동 시스템을 배치할 수 있다. 따라서, 이렇게 하면 이송 시스템이 임의의 초기 방향 설정에서 캐리어를 최소한 약 ±90° 이상 회전시킬 수 있다. 다른 실시예에서는, θ 구동 시스템을 원하는 형태로 구성할 수 있으며 원하는 회전 범위 내에서 캐리어를 회전시킬 수 있다.
다른 실시예에서는, 원통형 캐리어 네스트를 배치하여 FAB의 스토리지 밀도를 더 높일 수 있다. 원통형 스토리지 네스트에는 캐리어를 차곡차곡 쌓을 수 있으며 캐리어를 지정된 높이로 높이거나 낮추는 메커니즘을 제공할 수 있다. 수직 이동 메커니즘은 공압식, 기계식 또는 자석식이다.
이제 도 51을 보면, 또 다른 구현 예에 따른 이송 시스템(4000)의 평면도가 나온다. 도 51에 나오는 실시예의 이송 시스템은 FAB 전체 이송 시스템의 베이간 부분과 같은 전형적인 한 섹션이며, 다른 실시예에서는 이송 시스템을 임의의 크기와 구성으로 배치할 수 있다. 도 51에 나오는 실시예의 이송 시스템(4000)은 앞에서 설명했고 도 41-50에 나오는 이송 시스템(3000)과 대체로 비슷하다. 피처에 매겨진 번호도 비슷하다. 이송 시스템(3000)과 비슷하게, 도 51에 나오는 실시예의 이송 시스템(4000)에는 대량 고속 이송 섹션(4100)(예를 들어, 컨베이어)과 인터페이스 섹션(4200)이 있다. 본 실시예에 나오는 인터페이스 섹션(4200)은 한 가지 예일 뿐이며, 다른 실시예에서는 원하는 만큼 많은 하위 섹션(예를 들어, 앞에서 설명한 것과 비슷한 스토리지 섹션, 셔틀링 섹션)이 존재하는 임의의 구성으로 배치할 수 있다. 일반적으로 인터페이스 섹션(4200)에는 대량 이송 시스템 섹션(4100)과 프로세스 툴 사이에서 캐리어를 연결할 수 있는 많은 수의 피더 로봇이 있다. 대량 이송 시스템 섹션(4100)은 앞에서 설명한 이송 시스템과 대체로 비슷하다. 이 시스템의 일부는 도 20에 나온다. 도 51에 나오는 실시예에서, 대량 이송 시스템 섹션(4100)은 솔리드 스테이트 컨베이어 시스템을 갖춘 트랙으로 구성된다. 이 트랙은 본 명세서와 앞에서 전체적으로 참조로서 결합한 미국 특허 출원번호 10/697,528, 및 출원번호 11/211,236에서 설명한 컨베이어 트랙과 비슷하다. 도 51에 나오는 실시예에서 이송 시스템(4100)은 이송 시스템의 캐리어 이송이 이송되는 다른 캐리어의 동작과 사실상 분리되어 있는 비동기식 이송 시스템(이송 시스템(500)과 비슷함)이다. 그렇기 때문에, 하나 이상의 캐리어가 이송 중에 서로 독립적인 동작(예를 들어, 가속/감속, 정지, 로드/언로드)을 할 수 있으며, 그로 인해 이송 시스템의 캐리어 이송 흐름에 속한 다른 인접한 또는 가까운 캐리어의 이송 속도가 영향을 받지 않는다.
도 51에 나오는 실시예에서, 대량 이송 시스템 섹션(이하에서 대량 이송 장치(4100)라고 함)은 일반적으로 주 이송 트랙(4100M)으로 구성된다. 대량 이송 장치(4100)에는 많은 수의 사이딩 트랙(4100S)이 있다. 도 51에 루프 형태(다른 실시예에서는 임의의 다른 형태가 될 수 있음)로 나오는 주 이송 트랙(4100M)에 따라 대량 이송 장치가 이송하는 캐리어의 주 이송 경로(또는 흐름)가 정해진다. 본 실시예의 설명은 특히 캐리어에 대한 내용이지만, 본 명세서에서 설명하는 피처는 (기판) 캐리어를 페이로드 플래튼 또는 대량 이송 장치에 의해 이송되는 다른 동력 장치에 올려 놓는 다른 실시예에도 똑같이 적용할 수 있다. 본 실시예에서 주 이송 경로는 연속적이며 거의 일정한 속도를 유지한다. 그렇기 때문에, 주 이송 트랙(들)(4100M)에서 이송된 캐리어는 이송 시스템 상에서 정지한 캐리어로 인해 지체되지 않고 주 이송 경로 전체에서 빠른 이동 속도를 지속할 수 있다. 사실상 사이딩 또는 브랜칭 트랙(4100S)은 대량 이송 장치 상의 캐리어들의 이송 속도에 영향을 주는 작업을 주 이송 경로에서 분리시킬 수 있다. 앞에서 언급한 것처럼, 속도에 영향을 주는 그런 작업은 사이딩 트랙에서 수행할 수 있으므로 주 이송 경로에 나쁜 영향을 주지 않는다. 따라서, 사이딩 트랙(4100S)은 캐리어 버퍼, 로딩/언로딩 스테이션 또는 경로 전환 장치의 영역을 지정할 수 있다. 본 실시예에는 한 사이딩 트랙이 예로 나오지만, 다른 실시예에서는 원하는 만큼 사이딩 트랙을 배치할 수 있다. 도시된 실시예의 사이딩 구성, 즉, 주 트랙의 거의 똑바른 세그먼트를 두 개로 분기시켰다가 다시 결합하는 것은 한 가지 예일 뿐이며, 다른 실시예에서는 사이딩 트랙을 임의의 다른 형태로 구성할 수 있다. 예를 들어, 사이딩 트랙은 (주어진 베이 내에서) 주 트랙 루프의 양쪽 측면 사이에서 방향을 바꿀 수도 있고 도 29A, 29B에 나오는 것처럼 서로 다른 베이 사이(예를 들어, 베이간-베이간)의 이송 섹션이나 베이간-베이내(또는 베이내-베이간) 이송 섹션의 주 트랙 사이에서 방향을 바꿀 수도 있다. 다른 실시예에서, 사이딩은 주 트랙이 아닌 다른 방향으로 설정하고 주 트랙 위나 밑으로 횡단할 수 있다. 다른 실시예에서는, 주 트랙과 사이딩 트랙 사이의 교차점을 원하는 방식(예를 들어, 거의 직각으로 교차하는 방식 또는 스위치)으로 배치할 수 있다.
본 실시예에서는, 주 트랙과 사이딩 트랙(4100M, 4100S)이 모듈식으로 서로 연결되어 대량 이송 장치 트랙을 구성하는 모듈형 트랙 세그먼트(A, B, C, D, L)로 구성된다. 캐리어는 리니어 모터에 의해 대량 이송 장치의 트랙(4100S, 4100M)에서 구동된다. 앞에서 설명한 트랙(500)과 비슷하게, 리니어 모터의 포서는 트랙(4100M, 4100S)에 배치되며 리니어 모터의 반응성 부분은 캐리어에 배치된다. 캐리어는 캐리어의 적절한 솔리드 스테이트 지지 멤버에 작용하는 트랙의 무접촉식/미끄러운 베어링(예를 들어, 에어/가스 베어링) 자기 부상 시스템이나 접촉식 베어링(예를 들어, 롤러, 볼/롤러 베어링)과 같은 적절한 장치에 의해 트랙 상에서 이동하면서 지지를 받는다. 다른 실시예에서는, 캐리어(들)에 휠, 롤러, 가스/에어 베어링과 같은 모티브 서포트를 내장할 수 있다. 이에 따라 주 트랙과 사이딩 트랙에서 캐리어를 지지하는 모티브 서포트는 각각의 캐리어를 트랙 위에서 안정적으로 지지할 수 있도록 트랙에 배치할 수 있으며, 트랙 상에서 캐리어를 자유롭게 움직일 수 있도록 주 트랙과 사이딩 트랙을 따라 분산시킬 수 있다. 본 실시예에서, 리니어 모터는 리니어 인덕션 모터(LIM), 리니어 무브러시 DC 모터(등등)이지만, 다른 실시예에서는 원하는 모든 리니어 모터 또는 다른 종류의 모터/구동 장치를 사용하여 대량 이송 장치의 주 트랙과 사이딩 트랙을 따라 캐리어(들)를 움직일 수 있다. 앞에서 언급한 것처럼, 본 실시예에서는 LIM의 포서(또는 페이즈 와인딩)(4120, 4120M, 4120S)가 이송 장치의 주 트랙과 사이딩 트랙을 구성하는 트랙 모듈(A, B, C, D, L)에 배치되며, 캐리어에는 아래에서 더 자세히 설명되는 LIM의 반응 속도/멤버가 있다. 다른 실시예에서는 모터 코일이 캐리어 또는 차량 플래튼에 탑재되며 자기 반응성 소자가 트랙에 탑재된다.
다시 도 51을 보면, 도시된 실시예의 주 트랙(4100M)과 사이딩 트랙(4100S)의 모듈형 세그먼트(A, B, C, D, L)는 전형적인 예이며 다른 실시예에서는 원하는 형태로 구성할 수 있다. 트랙 세그먼트(A, B, C, D, L)는 달리 언급된 경우 외에는 대체로 비슷하다. 도 51에 나오는 것처럼, 본 실시예에서 트랙 세그먼트(모듈)에는 일반적으로 단일 트랙 세그먼트(예를 들어, A, C, D, L) 및 정션(트랙 스위칭) 세그먼트가 포함된다. 다른 실시예에서는 다른 원하는 모듈형 트랙 섹션을 사용할 수 있다. 예를 들어, 다른 실시예에서는 주어진 트랙 모듈에 (각각 다른 캐리어 이송 경로를 구성함) 다수의 트랙이 포함되며, 이 트랙은 일반적으로 비-정션 다중 트랙 모듈이라고 하는 형태로 서로 나란히 뻗어 있다. 본 실시예에서는, 단일 트랙 세그먼트에 거의 똑바른 세그먼트(A, D, L) 및 곡선 세그먼트(C)가 포함된다. 물론, 다른 실시예에서는, 단일 트랙 세그먼트가 원하는 다른 형태가 될 수 있다. 도시된 실시예에서, 설명을 위하여 트랙 섹션이 거의 동일한 높이에 있는 것으로 그려져 있다. 다른 실시예에서는, 주 트랙과 사이딩 트랙에 각각 높이가 다른 섹션이 포함된다. 예를 들어 사이딩은 주 트랙 및/또는 다른 사이딩과 다른 높이에 배치할 수 있다. 또한 주 트랙 및/또는 사이딩 트랙에는 트랙 상에 높이가 서로 다른 트랙 섹션(예를 들어, 높은 트랙 부분과 낮은 트랙 부분)을 둘 수 있다. 적절한 램프(미도시)를 사용하여 높이가 서로 다른 트랙 섹션을 결합할 수 있으므로 트랙을 따라 이동하는 캐리어가 트랙 사이를 전환할 수 있다. 도 51에서 이해할 수 있듯이, 정션 세그먼트(B, 4102, 4102')는 사이딩 트랙 또는 브랜치 트랙(4100S)이 주 트랙(4100M)과 합류하는 곳 또는 정션이 필요한 곳에 배치할 수 있다. 도 51에 나오는 실시예에서, 두 개의 정션 트랙 세그먼트(4102, 4102')는 예로 든 것이다. 도 51에 나오는 정션 세그먼트(4102, 4102')의 구성은 단일 브랜칭 트랙이 주 트랙(4100M)의 한쪽 측면(예를 들어, 도 51에서는 축 X로 표시된 방향을 기준으로 왼쪽임)에서 합류/분기하는 하나의 예일 뿐이다. 다른 실시예에서는, 정션 세그먼트가 주 트랙의 오른쪽으로 분기할 수 있다. 다른 실시예에서는 정션 세그먼트를 다른 형태로 구성할 수 있다. 예를 들면, 한 세그먼트에서는 다중 분기를 하여 주 트랙의 반대쪽에 브랜치를 둘 수 있다. 그 브랜치들은 서로 거의 정반대가 되거나 엇갈리게 배치할 수도 있고, 주 트랙의 한쪽(예를 들어, 오른쪽 및/또는 왼쪽임)에서 다중 분기를 할 수도 있다. 본 실시예에서는, 단일 트랙 세그먼트(A, C, D, L)가 비록 형태는 서로 다르지만(예를 들어, 직선, 곡선 등등임) 그 외에는 대체로 비슷하다. 각각의 트랙 세그먼트(A, C, D, L)에는 모터 포서(4120)의 그에 상당하는 섹션이 포함된다. 도 51에서 볼 수 있듯이, 모듈형 트랙 세그먼트를 조립하면 (적절한 컨트롤러를 사용함) 작동이 되도록 통합된 (다양한 트랙 섹션의) 모터 포서 섹션에 의해 거의 연속적인 모터 포서(4120M, 4120S)가 정해지므로, 주 트랙과 사이딩 트랙이 캐리어(들)/플래튼(들)의 반응성 플레이트(들)에 작용하여 주 트랙과 사이딩 트랙의 길이 방향으로 캐리어/플래튼을 구동할 수 있다. 다른 실시예에서는, 트랙에 통합 포서 섹션이 없는 세그먼트가 하나 이상 포함될 수 있다.
포서(4120), 즉, 다른 경우 리니어 모터의 주 코일 어셈블리라고도 하는 것은 LIM 배치에서 일반적으로 얇은 강철판과 페이즈 와인딩으로 구성된다. 페이즈 와인딩은 트랙 세그먼트와 일체형으로 만들 수도 있고 트랙 세그먼트에 연결된 포서 하우징에 넣을 수도 있다. 본 실시예에서는, 트랙 세그먼트에 내장된 리니어 모터 포서의 페이즈 와인딩을 다른 적절한 형태로 배치할 수 있다. 각각의 세그먼트(A, C, D, L)(도 52의 세그먼트(C) 참조)의 포서 섹션은 그 자체가 세그먼트로 나뉘어져 있을 수도 있고 연속적일 수도 있다. 곡선형 트랙 세그먼트(C)에는 포서 섹션(4120C)가 있으며, 이 포서 섹션에는 코일 어셈블리에 의해 트랙의 만곡부에 비례하는 곡선이 정해지도록 페이즈 와인딩을 배치할 수도 있고 일반적으로 곡선인 포서 섹션이 정해지도록 배열된 세그먼트들로 분할된 포서 섹션이 있을 수도 있다. 다른 실시예서는 트랙 세그먼트의 포서 섹션을 원하는 다른 형태로 만들 수 있다. 트랙 세그먼트(A, C, D, L)의 포서 섹션은 트랙 및 트랙을 주행하는 캐리어(들)를 기준으로 대칭형으로 배치할 수 있다. 다른 실시예에서는, 포서를 트랙 및 트랙 위의 캐리어에 대해 비대칭형으로 배치할 수 있다.
도 54는 전형적인 트랙 세그먼트(A) 및 그 위에서 이동 중에 지지되는 전형적인 캐리어(5000)의 단면도이다. 이미 언급한 것처럼, 주 트랙(들)과 사이딩 트랙(들)(4100M, 4100S)은 일반적으로 캐리어(들) (5000)에 동력, 모티브 서포트 및 가이드를 제공하여 트랙 상에서의 캐리어의 이동을 통제한다. 전에 언급한 것처럼, 본 실시예에서는, LIM과 같이 캐리어(들)를 구동하는 리니어 모터는 캐리어의 리액션 플레이트(들)/소자를 이용하여 작동하는 포서(4120M, 4120S)를 한쪽으로 쏠리게 만든다. 다시 도 53을 보면, 전형적 캐리어(5000) 및 캐리어의 리액션 플레이트(들)(5100)의 저면도가 나온다. 도 53에 나오는 캐리어에서 리액션 플레이트(들)(5100)의 배치는 한 가지 예일 뿐이며, 다른 실시예에서는, 캐리어의 리액션 플레이트를 적절한 다른 형태로 배치할 수 있다. 다른 실시예에서는 리액션 플레이트의 수가 다를 수 있다. 본 실시예에서는, 리액션 플레이트(5100)가 캐리어의 하단에 표시되어 있다. 물론, 다른 실시예에서는 리액션 플레이트를 캐리어의 다른 측면이나 부분에 배치할 수 있다. 본 실시예에서는, LIM의 영역을 정하는 것과 같은 리액션 플레이트(들)(5100)를 강철이나 알루미늄과 같은 금속으로 만들지만, 다른 적절한 소재를 사용해도 무방하다. 하나 이상의 리액션 플레이트를 아래에서 설명하는 것처럼 철금속(자성) 소재로 만들 수 있다. 다른 실시예에서는, 리액션 소자에 리니어 무브러시 DC 모터 등의 모터 페이즈 와인딩과 연동하도록 배열된 영구 자석이 포함된다. 캐리어의 리액션 플레이트(들)에는 트랙(4100M, 4100S)의 포서(4120M, 4120S)에 해당하는 플레이트(5102)가 하나 이상 포함되어 주 트랙이나 사이딩 트랙을 따라 추진력을 보낼 수 있다. 이것이 도 54에 나오는 도면이다. 리액션 플레이트(5102)는 도 53에 하나의 플레이트로 그려져 있지만, 도 20C, 20D에 나오는 배치처럼 원하는 만큼 많은 수의 플레이트를 포함할 수 있다. 앞에서 설명한 것처럼, 트랙의 포서(4120) (및 해당 세그먼트의 포서 섹션(4120A, 4120C), 도 52, 54 참조) 및 해당 리액션 플레이트(들) (5102)를 캐리어 및 트랙을 기준으로 거의 대칭으로 배치할 수 있다. 다른 실시예에서는 모터 포서가 비대칭일 수 있다.
도 54에 나오는 실시예에서는, 캐리어(들)(5000)가 적절한 에어 베어링(4200)에 의해 트랙 상에서 이동 중에 지지된다. 도 54에 나오는 가스/에어/유체 베어링의 분포는 한 가지 예일 뿐이며, 다른 실시예에서는 기체 압력이 다른 원하는 형태로 분포되도록 배기 포트를 배치하여 트랙에서 나오는 캐리어를 안정적으로 지지할 수 있다. 다른 실시예에서는, 가스 포트를 캐리어 배기 가스에 들어가게 하여 캐리어를 트랙에서 들어 올리게 할 수 있다. 이미 언급한 것처럼, 다른 실시예에서는 캐리어와 트랙 사이의 모티브 서포트가 임의의 원하는 유형이며 트랙 세그먼트 또는 캐리어(들)와 독립적이다. 에어 베어링(4200)의 가스 포트 및/또는 캐리어의 가스 작용 영역은 트랙을 기준으로 캐리어를 수평으로 안내할 수 있는 방향성 힘이 생성되도록 구성할 수 있다. 또한 가스 포트는 적절한 가스 공급처(미도시)에 연결할 수 있다. 본 실시예에서는 트랙 섹션에 가스 공급 장치에서 유체성 베어링의 가스 포트로 가스를 공급하는 가스 도관이 있다. 트랙 상에서 캐리어가 존재하는 곳에서 인접한 곳에서 가스 포트가 작동하도록 적절한 밸브 작용 및 제어 기능을 포함할 수 있다. 제어 기능은 활성 상태이다(즉, 센서가 캐리어의 존재를 식별하고 캐리어가 작동 중인 것으로 알려진 트랙 섹션에 작용하는 가스 포트를 온/오프시킬 수 있다.
도 51-52 및 54에 나오는 실시예에서, 트랙(4100M, 4100S)에는 트랙을 따라 추진되는 캐리어의 이동을 안내하는 제어 및 안내 시스템(4130)을 포함시킬 수 있다. 안내 시스템(4130)은 주 트랙과 사이딩 트랙(4100M, 4100S)을 따라 뻗어 있는 비접촉식 시스템이다. 본 실시예에서는, 각각의 트랙 세그먼트(A, C, D, L)에 안내 시스템(4130A, 4130C)의 해당 섹션(도 52, 54 참조)을 포함시킬 수 있다. 이 안내 시스템은 세그먼트가 결합이 되면 서로 통합되어 트랙의 연속적인 안내 시스템을 구성한다. 다른 실시예에서는, 안내 시스템을 독립적으로 트랙에 탑재할 수 있다. 다른 실시예에서는, 안내 시스템이 적절한 다른 유형일 수 있으며, 트랙의 서포트 시스템(예를 들어, 트랙이나 캐리어에서 서로 연결해 주고 트랙을 따라 움직이는 캐리어의 방향과 수평 정렬 상태를 유지하는데 도움이 되는 롤러나 휠)과 일체형이며/이거나 (아래에 상세하게 설명함) 리니어 모터와 일체형이며/이거나 캐리어 서포트 및 리니어 모터와 독립적이다. 본 실시예에서, 트랙(4100M, 4100S)의 안내 시스템(4130)은 일반적으로 트랙의 리니어 모터 포서(4120)와 거의 평행으로 뻗어 있는 가이드 자석 트랙(4130M, 4130S)으로 구성된다. 예를 들어, 가이드 자석 트랙은 자석 트랙을 구성하도록 순차적으로 배열된 영구 자석으로 구성할 수 있다. OT 스위치/정션과 같은 트랙 부분에는 온/오프 하여 스위칭할 수 있는 전기-자석이 포함된다. 다른 실시예에서는, 안내 시스템에 트랙 섹션의 모터에 캐리어 상에서 유도력을 생성할 수 있는 와인딩을 제공하는 것이 포함된다. 해당 안내 와인딩은 리니어 포서에 통합할 수도 있고 트랙을 따라 추진시키는 포서와 독립적일 수도 있다. 트랙의 가이드 포서는 적절한 가이드 플레이트/소자(5104)(캐리어의 자성 소재(예를 들어, 철금속) 또는 영구 자석)와 상호작용하여 트랙(4100M, 4100S)을 기준으로 캐리어를 원하는 수평 위치로 유지시킬 수 있다. 다른 실시예에서는, 캐리어에 탑재된 유도력을 생성하는 잠금 장치가 트랙의 고정자 소자와 연동하여 캐리어를 안내한다. 앞에서 설명한 것처럼, 본 실시예에서는 트랙 세그먼트 모듈(A, C, D, L)에는 각각 도 52 및 54에 나오는 것처럼 가이드 트랙의 해당 섹션(1430A, 1430L)이 있다. 본 실시예에서는, 트랙 섹션(A, C, D, L)의 가이드 트랙 섹션(1430A, 1430L)을 포서(4120A) 상에 서로 정반대되는 쪽에 배치된 두 개의 가이드 트랙(4132, 4134)(한 예로 도 54 참조)으로 구성할 수 있다. 도시된 가이드 트랙의 위치는 한 가지 예이다. 다른 실시예에서는 더 적은 수의 가이드 트랙이나 더 많은 수의 가이드 트랙을 임의의 원하는 위치에 마련할 수 있다. 그 가이드 트랙과 상호작용하는 캐리어의 가이드 플레이트/소자는 아래에서 설명하는 리니어 모터의 다른 섹션의 경우 리니어 모터 리액션 플레이트(5104R, 5106R, 5104L, 5106L)(도 53 참조)의 (X축을 기준으로 하여) 축에서 벗어나 있을 수도 있고, 리니어 모터 리액션 플레이트와 관계 없는 다른 적절한 철금속 플레이트/소자일 수도 있다. 다른 실시예에서는, 캐리어가 자석 소자를 안내할 수 있으며, 트랙에는 캐리어 상의 자석과 상호작용하여 트랙 가이드 시스템의 영역을 정하도록 배치된 철금속/자성 소재 트랙이 있을 수 있다. 가이드 시스템에는 컨트롤러에 통신상으로 연결되어 트랙 상에서의 캐리어의 이동을 통제하는 홀 이펙트 센서, LVDT 등과 같은 포지셔닝/포지션 감지 시스템/장치가 포함될 수도 있다. 포지셔닝 시스템/장치는 본 명세서와 앞에서 전체적으로 참조로서 결합한 미국 특허 출원번호 11/211,236에서 설명한 것과 비슷하다. 예를 들어, 주 트랙 및 사이딩 트랙 상에서의 포지셔닝 피드백 정보는 LIM의 적절한 홀 이펙트 센서가 제공할 수 있다.
이제 다시 도 52를 보면, 위에서 설명한 트랙 세그먼트(C) 및 전형적인 정션 세그먼트(B)의 평면도가 나온다. 대량 이송 장치(4100)의 다른 정션 세그먼트는 일반적으로 정션 세그먼트(B)와 비슷하다. 본 실시예에서는, 정션 세그먼트에 주 트랙 및 사이딩 트랙(4120M, 4120S) 모두를 위한 포서 섹션이 있다. 본 실시예에서는 세그먼트(B)에 스위칭 리니어 모터 포서 섹션(4125)도 있다. 본 실시예에서는 주 트랙 및 사이딩 트랙의 리니어 모터와는 독립적인 별도의 리니어 모터가 정션에 배치되어 아래에서 설명하는 것처럼 주 트랙과 사이딩 트랙 사이에서 캐리어 스위칭을 실행한다. 본 실시예에서는, 스위칭 리니어 모터가 LIM이다. 물론, 무브러시 DC 모터와 같은 다른 적절한 리니어 모터를 사용할 수 있다. 다른 실시예에서는 다른 적절한 전기/기계식 스위칭 시스템을 사용할 수 있다. 도 52에 나오는 것처럼, 본 실시예에서는 포서(4125)(스위치 모터용)를 메인 트랙 및 사이딩 트랙의 포서(4120M, 4120S)에서 분리하여 배치한다. 주 트랙의 포서 섹션은 도시된 바와 같이 하위 섹션(4122, 4124, 4126)으로 더 나눌 수 있다. 주 트랙 포서의 하위 섹션(4122, 4124, 4126)은 도시된 바와 같이 물리적으로 분리되어 있을 수도 있고, 스위칭 LIM 포서(4125)에서 나온 섹션(4124)을 다른 인접한 주 트랙 LIM 포서 섹션(4122, 4126)과 독립적으로 전원을 차단할 수 있도록 컨트롤러를 통해 서로 분리되게 할 수도 있다. 도 52에 나오는 정션 세그먼트의 포서(4122, 4125, 4124, 4126) 및 안내 시스템의 구성은 한 가지 예일 뿐이며 다른 실시예에서는 정션 세그먼트를 원하는 다른 형태로 구성할 수 있다. 도 52에 나오는 것처럼, 본 실시예에서는 스위칭 포서(4125)가 사이딩 트랙이 합류/분기하는 방향(예를 들어, X축에서 왼쪽)으로 주 트랙 포서와 사이딩 트랙 포서 모두로부터 분리되어 있다. 본 실시예에서는 스위칭 포서(4125)의 한쪽 끝에 (X축으로 표시됨) 주 트랙의 방향과 일반적으로 평행으로 정렬되는 일단부(4125M)가 있으며, 다른 쪽 끝에는 (도 52에 축 b로 표시됨) 사이딩 트랙의 로컬 방향으로 일반적으로 평행으로 정렬되는 타단부(4125S)가 있다. 본 실시예에서는, 주 트랙의 출구/입구에서 사이딩의 국지적인 방향(축 b)이 주 트랙의 이동 방향(축 X)을 기준으로 급하게 꺽인다. 그렇기 때문에, 캐리어는 X축 상의 운동력을 활용하여 스위칭을 실행하며 사이딩으로 이동할 때 X 상의 운동력을 완전히 없애지 않는다(즉, 주 트랙에서 멈추지 않음). 또 다른 실시예(도 52C 참조)에 따라, 정션 세그먼트에는 스위칭 포서(4125) 대신 스위칭 가이드(4130S')(트랙(4132S', 4134S"))가 제공되며, 이동 축(즉, X축) 상에서 캐리어의 운동력을 사용하여 스위칭을 실행함으로써 모터(A') 없이 스위칭이 되게 만든다. 이 점은 아래에서 상세히 설명한다. 다른 실시예에서 설명한 것처럼, 사이딩의 출/입구와 주 트랙의 방향 사이의 각도를 원하는대로 정할 수 있다 (예를 들면, 직각으로 교차하는 경우. 그런 경우에도 스위칭 리니어 모터의 구성은 X 방향의 운동력을 활용할 수 있음). 도 52-53에 나오는 것처럼, 본 실시예에서는 스위칭 LIM 포서(4125)의 일단부(4125M)은 캐리어의 하나 이상의 리액션 플레이트(들)(5104, 5106)에서 작동하도록 배치된다(도 53 참조). 리액션 플레이트(5104, 5106)는 (Y축을 따라) 측방향으로 오프셋된다. 뿐만 아니라 리액션 플레이트(5106L, 5106R)도 캐리어의 원하는 기준점(즉, 가운데)에서 세로 방향으로 (X축을 따라) 오프셋된다. 본 실시예에서는, 리액션 플레이트가 측면 축 Y를 기준으로 각각 다른 각도 α, β에 배치된다. 다른 실시예에서는, 캐리어에서 리액션 플레이트가 더 많거나 더 적은 다른 원하는 리액션 플레이트 배치를 사용할 수 있다. 위에서 설명한 것처럼 하나 이상의 리액션 플레이트(들)(5104L, 5106L)를 스위칭 포서(4125)와 함께 사용하여 주 트랙(4100M)에서 사이딩(4100S)으로 (그리고 사이딩(4100S), 세그먼트(4102')의 다른쪽 끝에 있는 합류 정션에서는 그와 반대로(도 51 참조)) 캐리어를 스위칭할 수 있다.
도 52B에 잘 나타나 있듯이, 본 실시예에서 가이드 자석 섹션(4130)은 주 트랙과 사이딩 트랙 사이에서 스위칭이 이루어지도록 배치된다. 도 52B에 나타나 있듯이, 본 실시예에서 (사이딩 입구에 인접한 쪽에 있음) 가이드 자석 트랙(4134)이 중단되므로 해당 위치의 스위칭 가이드 트랙(4134S')의 일부가 트랙(4134M)과 서로 합류하게 된다. 도 52B에 나오는 가이드 트랙의 인터페이스는 한 가지 예일 뿐이며, 다른 실시예에서는 트랙 인터페이스/교환 장치를 다른 적절한 방식으로 배치할 수 있다. 반대쪽 가이드 자석 트랙(4132M)(사이딩 입구의 반대쪽에 있는 것임)은 도시된 바와 같이 그에 해당하는 스위칭 가이드(4132S')와 통합할 수 있다. 본 실시예에서는, 각각의 가이드 트랙(4130M, 4130S')에 섹션(4132J)(도 52 참조)이 포함되어 온/오프할 수 있는 작동이 가능한 자기장을 구성한다. 예를 들어, 가이드 트랙의 섹션(4132J)은 전자석 코일로 구성할 수 있다. 전자석 코일은 와인딩 주위에 영구 자석(들) 및 코일을 두어 코일을 통과하는 전류로 인해 가이드 자석 섹션의 자기장이 온/오프하면서 캐리어와 가이드 트랙 사이에 유도력을 방출하게 만든 마그네틱 척과 비슷하다. 다른 실시예에서는 실행이 가능한 마그네틱 섹션을 원하는 다른 형태로 배치할 수 있다. 원하는 가이드 트랙(4132M, 4132S', 4134S, 4134S')의 가이드 자석 섹션(4132J)을 "온(on)"/"오프(off)"하여 스위칭을 한다. 예를 들어, 캐리어가 계속 주 트랙 상에 있게 하려면, 주 가이드 트랙(4132M, 4134M)을 "온"으로 설정하고 스위칭 가이드는 "오프"시킨다. 캐리어가 사이딩으로 전환되는 도중에는 스위칭 가이드(4132S', 4134S')가 "온"이고 주 가이드가 "오프"이다. 가이드 자석 섹션(4132M, 4134M)을 "오프"로 설정하면 캐리어가 더 이상 주 트랙에 고정되지 않기 때문에 자유롭게 측방향으로 이동(주 트랙에서 분리)될 수 있다. 가이드 자석이 캐리어에 있는 다른 실시예에서는, 정션 세그먼트 가이드 시스템에 취소하는 자기장을 생성하여 캐리어 자석으로 보낼 수 있는 적절한 와인딩이 포함될 수 있다. 또한 정션 세그먼트에는 일반적으로 사이딩의 입구(축 b)에 맞추어 정렬되는 하나 이상의 작동이 가능한 가이드 자석(미도시)이 포함될 수 있다. 이 가이드 자석을 "온"으로 설정하면 (포서(4125)에 의해 이동됨) 캐리어를 사이딩 트랙(4100S)으로 유도한다. 캐리어가 정션 위로 이동하여 계속 주 트랙 상에 존재하면 이 가이드 자석 섹션을 "오프"시킨다. 따라서, 캐리어를 주 트랙에서 사이딩으로 전환하는 예로서, 본 실시예에서는 포서 섹션(4124)을 작동 해제하고 가이드 마그넷 섹션(4132M, 4134M)을 "오프"하고 가이드(4132S', 4134S')의 스위치를 "온"한다. 캐리어의 운동력은 스위칭 가이드와 함께 트랙을 따라 이동하면서 캐리어의 궤도를 사이딩 쪽으로 화살표 b의 방향으로 굴절시킨다(도 52 참조). 포서(4125)(제공된 경우임)는 캐리어를 주 트랙에서 사이딩 입구쪽으로 보낼 수 있다. 물론, 본 실시예에서는 사이딩 포서(4120s)가 해당 리액션 플레이트(들)(5102)에 작용하여 사이딩 트랙(4100S)을 따라 계속 움직이게 할 때까지 캐리어를 사이딩 쪽으로 움직이게 만들 수 있는 충분한 캐리어 운동력이 있어야 한다. 가이드 마그넷 트랙(4130S)은 캐리어의 자기 소자를 잡아서 캐리어를 사이드 트랙(4100S)을 따라 유도한다. 본 실시예에서는, 캐리어 스위칭이 대체로 수동적인 방식으로 이루어지기 때문에 스위칭을 위해 포지션 피드백를 사용하지 않는다. 액티브 스위칭을 사용하는 실시예에서는, 주 트랙에서 사이딩 트랙으로 캐리어를 스위칭하는 동안 안내/포지셔닝 시스템이 위치 피드백을 수행한다. 안내/포지셔닝 시스템은 스위칭 LIM 포서에 넘기기 전에 주 트랙에 있는 캐리어의 위치를 포착하도록 배치되어 캐리어가 스위칭 LIM을 통해 스위칭할 때 위치 피드백을 계속하면서 사이딩 트랙 LIM으로 넘길 수 있게 해 준다. 그렇기 때문에 포지셔닝 장치는 스위칭 중에 위치 피드백을 할 수 있도록 배치된 연속형 장치나 분산형 장치(예를 들어, 옵티컬, 마그네틱, 바 코드, 표준형 스트립, 레이저/빔 레인징 또는 무선 레인징) 등의 적절한 종류이다.
이제 도 52A를 보면, 또 하나의 실시예에 따른 대량 이송 장치의 정션 세그먼트(B')의 또 하나의 평면도가 나온다. 본 실시예의 정션 세그먼트(B')는 달리 언급된 것 외에는 도 52에 나오는 세그먼트(B)와 비슷하다. 도 52A에 가이드 마그넷 트랙은 명확하게 나타나지 않는다. 세그먼트(B')의 주 트랙 LIM 포서 섹션(4120M')은 인접한 포서(4122', 4126')에서 독립적으로 전력을 차단할 수 있는 하위 섹션(4124')도 있다. 본 실시예에서, 사이딩 LIM 포서(4120B')는 캐리어가 주 트랙에 있을 때 캐리어의 리액션 플레이트(5106L')에서 작동할 수 있도록 주 트랙 쪽으로 충분히 확대된다. 이것은 스위칭을 실행하도록 배치된 캐리어의 리액션 플레이트(5102', 5106L')가 (희미하게) 표시된 도 52A에 나온다. 예를 들어, 트랙 LIM의 리액션 플레이트(5102')는 주 트랙 포서 세그먼트(4124') 위에 배치되며 인접한 "업스트림" 주 트랙 포서(4122')에서 분리되어 있다. 그리고 리액션 플레이트(5106L')는 사이딩 LIM 포서(4120B')와 연동하도록 배치된다. 따라서, 전환을 하려면 주 트랙 세그먼트(4124')의 전력을 차단하고 사이딩 포서(4120B')에 전력을 공급하여 캐리어를 사이딩 트랙으로 보낸다. 사이딩에서 주 트랙으로 전환하는 것도 비슷한 방식으로 수행된다. 다른 실시예에서는, 주 트랙과 사이딩 트랙의 리니어 모터가 DC 무브러시 모터 또는 기타 무브러시 철심 모터와 같은 적절한 리니어 모터이다. 다른 실시예에서는, 영구 자석 리액션 소자가 캐리어에 있으며, 또 다른 실시예에서는, 영구 자석이 트랙 세그먼트(캐리어의 코어 모터)에 있다. 다른 실시예에서는, 페이즈 와인딩이 트랙에 (도 20A, 20B에 나오는 것과 비슷한 방식임) 배치되거나 캐리어에 배치되어 자석과 모터 코어 사이의 자기장을 소거시켜 모터의 자석/철심 소자의 상호작용으로 발생하는 유도력을 없앰으로써 캐리어가 한 트랙에서 다른 트랙으로 전환하게 만든다.
이제 다시 도 51을 보면, 본 실시예에서는 하나 이상의 트랙 세그먼트(L)에 인터페이스 섹션(4200)의 로봇에 의해 캐리어가 트랙에서 부양되는 영역(I)가 있다. 리프트 영역(I)에서 가이드 마그넷 트랙(4130S)에는 도 52에 나오는 섹션(4132J)과 비슷한 작동이 가능한 자기장이 있는 섹션이 제공된다. 본 실시예에서는 페이즈 와인딩을 이용해 트랙이나 캐리어에 있는 자석과 트랙이나 캐리어에 있는 리니어 모터 철심이나 철금속 리액션 플레이트 등의 자성 소재 사이에서 자기장을 소거한다. 따라서, 트랙에 잡혀 있는 캐리어가 "풀려 나오므로" 캐리어가 트랙에서 쉽게 부양할 수 있게 된다.
다시 도 53을 보면, 본 실시예에서는 하나 이상의 캐리어(5000)에 하나 이상의 캐리어를 캐리어 트레인으로 연결하는 커플링(5200)이 있다. 이 커플링은 작동 중에 컨트롤러에 연결하여 커플링을 설정하거나 해제할 수 있는 마그네틱 커플링과 같은 적절한 유형이다. 본 실시예에서는, 캐리어간 커플링이 기계식 커플링이다. 도 53에 도면이 나오는 커플링(5200)은 다른 실시예에서 캐리어에 원하는대로 배치할 수 있다. 캐리어간 커플링은 대량 이송 장치(4100)에 이송되는 동안 두 개 이상의 캐리어를 함께 싣는데 사용된다. 이렇게 하면 하나 이상의 함께 실린 캐리어가 해당 트레인의 엔진이 되고 그 트레인에 실린 다른 캐리어는 수동적이 될 수 있다. 도 51은 다른 실시예에 따른 캐리어 트레인이다. 이에 따라, 트레인에 실린 캐리어는 트레인 내에서 일괄 처리되므로 트레인의 "엔진" 캐리어(들)의 이동을 통제하여 모든 캐리어가 이동하게 만들 수 있다. 이렇게 하면 컨트롤러의 부담이 크게 줄어든다. 트레인의 임의의 캐리어의 위치 정보는 캐리어 트레인의 통제된 참조 정보(즉, "엔진" 캐리어의 기준 정보)에 등록된다. 따라서, 필요한 경우 컨트롤러는 하나의 트레인으로 이동하는 각각의 캐리어의 이동을 개별적으로 추적하지 않고도 원하는 캐리어를 식별하고 찾을 수 있으며, 트레인의 임의의 캐리어를 개별적으로 제어하고 싶다면 컨트롤러는 트랙 상의 트레인 위치를 찾아보고 트레인의 기준 정보에 근거한 해당 캐리어의 위치를 알아내어 트랙 상에서 캐리어의 개략적인 위치를 구분할 수 있다. 트랙 포지셔닝 시스템으로 정밀하게 포지셔닝할 수 있다. 다른 실시예에서는, 캐리어 트레인에서 연결을 해제할 때 원하는 방식으로 포지셔닝을 할 수 있다. 트레인 내의 각각의 캐리어는 엔진 캐리어가 될 수 있다. 캐리어 트레인 내에서 엔진 캐리어의 위치를 정하여 원하는 작동 매개변수를 지원할 수 있다. 또한, 엔진 캐리어를 작동 해제하고 트레인 내의 다른 캐리어를 엔진 캐리어로 작동시켜 엔진 위치를 전환할 수도 있다.
이제 도 55를 보면, 또 다른 구현 예에 따른 이송 시스템(A4000)의 단 입면도가 나온다. 도 55에 나오는 실시예의 이송 시스템 배치는 한 가지 예일 뿐이며, 다른 실시예에서는 이송 시스템을 적절하게 달리 배치할 수 있다. 도 55에 나오는 실시예의 이송 시스템(A4000)은 앞에서 설명했고 도 51에 나오는 이송 시스템(4000)과 대체로 비슷하며 피처에 매겨진 번호도 비슷하다. 이송 시스템(A4000)에는 일반적으로 고속 대량 이송 섹션(A4100) 및 인터페이스 섹션(4200)이 포함된다. 고속 대량 이송 섹션(A4100)에는 하나 이상의 고속 대량 이송 경로(A4102)(참고하도록 도 55에 나오는 실시예에는 두 개의 경로가 나옴)가 있다. 본 실시예에서는, 대량 이송 경로(A4102)는 전에 설명한 것과 비슷한 방식으로 FAB 내에서 캐리어(A5000)의 대량 이송을 실행하도록 구성할 수 있다. 본 실시예에서는, 대량 이송 섹션(A4100)의 대량 이송 경로(A4102)를 경로 이동 방향으로 (최소한 경로의 일부분에 대해서) 상당히 일정한 속도로 경로를 이동하는 캐리어를 이송하도록 배치할 수 있다. 대량 이송 섹션의 경로는 앞에서 설명한 것과 비슷한 방식으로 서로 연결할 수 있다. 도 55에 나오는 실시예의 인터페이스 섹션(A4200)은 앞에서 설명했고 도 51에 나오는 인터페이스 섹션(4200)과 대체로 비슷하다. 본 실시예에서는 인터페이스 섹션(A4200)이 대량 이송 장치와 프로세스 툴 사이에서 캐리어를 연결할 수 있다. 인터페이스 섹션(A4200)에는 일반적으로 셔틀링 섹션(A4202)과 스토리지 섹션(A4204)이 있다. 전에 언급한 것처럼 스토리지 섹션(A4204)을 스토리지 위치(A4204A)와 함께 배치하여 많은 프로세싱 툴에 대해 캐리어를 저장하거나 버퍼링할 수 있다. 스토리지 위치(A4204A)는 프로세싱 툴에 맞게 캐리어를 효율적으로 버퍼링하기 위해 임의의 원하는 방식으로 배치할 수 있다. 셔틀링 섹션(A4202)에는 스토리지 섹션(A4204)의 스토리지 위치와 프로세싱 툴의 로드 인터페이스(즉, 로드 포트) 사이에서 캐리어를 연결할 수 있는 많은 피더 로봇(A4202)이 있다. 본 실시예에서는 대량 이송 섹션 경로(A4100)에서 거의 일정한 속도로 이송 중인 캐리어(A5000)와 인터페이스 섹션(A4200)을 연결할 수 있는 이송 핸드오프 섹션(A4300)이 이송 시스템(A4000)에 있다. 그렇기 때문에, 본 실시예에서는 이송 시스템(A4000)이 이송 시스템 경로에서 그 경로를 따라 이동하는 캐리어가 거의 일정한 속도로 이송되는 부분에서도 비동기식 이송 시스템이 된다. 본 실시예에서는, 이송 핸드오프 섹션(A4300)이 이송 시스템(A4000)에 의해 캐리어가 거의 일정한 속도로 이동하는 이송 경로(A4102)에서 캐리어가 이송되고 있는 동안 캐리어의 이송 속도를 결정하는 동작을 연결 해제할 수 있다.
다시 도 55를 보면, 대량 이송 섹션의 경로(A4102)는 모든 종류의 대량 컨베이어 시스템으로 구성할 수 있다. 이제 도 55A를 보면, 도시된 실시예에서는 대량 이송 섹션(A4100)의 경로(A4102)가 벨트나 리본 컨베이어(A4103)로 그려져 있다. 벨트 컨베이어(A4103)에는 캐리어(A5000)의 이송을 위해 벨트(A4103)에서 지지하는 캐리어 지지면 또는 운반면(A4604)이 있다. 이에 따라, 벨트(A4103) 및 (벨트에 의해 정의되거나 벨트에 의존함) 캐리어 운반면은 도 55A에 화살표 X로 표시된 경로의 이송 방향을 따라 거의 일정한 이송 속도로 이동한다. 다른 실시예에서는, 대량 이송 시스템 섹션의 경로를 따라 캐리어를 운반하는 컨베이어 시스템을 원하는 형태로 구성할 수 있다. 예를 들어, 이 경로에는 앞에서 설명한 것처럼 솔리드 스테이트 컨베이어 시스템이 있을 수도 있고, 롤러, 유체 베어링 등과 같은 컨베이어 설비를 기계식으로 정의했을 수도 있다. 다른 실시예에서는, 경로가 자율형 또는 반자율형 차량을 위한 트랙일 수도 있다. 경로의 컨베이어 시스템은 이 시스템이 운반하는 캐리어가 거의 일정한 속도로 이송되도록 작동하도록 구성할 수도 있고, 원한다면 이송 속도가 가변적이 되도록 작동할 수도 있다. 결국, 이송 핸드오프 섹션을 이용하여 원하는 경로 (또는 그 일부)의 컨베이어 시스템을 작동하게 하여 컨베이어 시스템이 이송하는 캐리어의 이송 속도 결정 동작에 관계 없이 이송 속도를 거의 일정하게 유지할 수 있다.
도 55A에 나오는 실시예에서는, 대량 이송 섹션 경로(A4102)가 프로세싱 툴 위에 있는 오버헤드 시스템으로 그려져 있다. 다른 실시예에서는 대량 이송 섹션 경로가 툴 및 툴의 로딩 인터페이스(LP)를 기준으로 임의의 원하는 높이에 배치될 수 있다. 도 55, 55A-55C에 나오는 실시예의 캐리어(A5000)는 전형적인 예이다. 캐리어(A5000)는 전에 설명한 도 36A-36B의 캐리어(2000)와 비슷하다. 본 실시예에서 캐리어(A5000)에는 일반적으로 상단 인터페이스 섹션(A5002)(즉, 일반적으로 캐리어 위에서 캐리어를 연결 및 결합할 수 있도록 배치된 것)과 하단 인터페이스 섹션(즉, 일반적으로 캐리어 밑에서 캐리어를 연결 및 결합하도록 배치된 것)이 있다. 캐리어는 전에 설명한 것처럼 측면 열림식, 상단 열림식 또는 하단 열림식이다. 다른 실시예에서는, 캐리어에 이송 시스템 및 프로세싱 툴의 로딩 인터페이스로 캐리어를 연결할 수 있는 연결/결합면(예를 들어, 측면 결합)이 마련되어 있다. 도 55에 나오는 실시예에서 프로세싱 툴의 로딩 인터페이스(LP)는 전형적인 예이다. 본 실시예에서는, 로딩 인터페이스(LP)가 캐리어의 하단 인터페이스 섹션(A5004)과 연결하도록 배치된다. 물론, 다른 실시예에서는 툴 로딩 인터페이스가 캐리어의 다른 면에 있는 보완하는 캐리어 결합 피처와 결합하도록 구성할 수 있다. 도 55에 나오는 이송 시스템(A4000)을 기준으로 한 툴 로딩 인터페이스(LP)의 위치는 한 가지 예일 뿐이며, 다른 실시예에서는 툴 로딩 인터페이스를 이송 시스템을 기준으로 원하는대로 배치할 수도 있다. 도 55, 55A에 나오는 실시예에서는, 대량 이송 섹션 경로(A4102)의 컨베이어 시스템에 캐리어(A5000)의 상단 인터페이스 섹션(A5002)을 결합하도록 마련된 캐리어 서포트 A4104가 있다. 도 55, 55A에 나오는 캐리어 서포트의 구성은 전형적인 예이며, 캐리어 서포트는 캐리어 상단 인터페이스(A5002)의 결합 피처를 보완하고 그와 연동할 수 있도록 적절하게 구성하여 이송 중에 컨베이어에서 캐리어를 포착하여 잡고 있게 할 수 있다. 본 실시예에서는 캐리어(A5000)를 경로(A4102) 밑에 매달려 있는 경로의 컨베이어가 운반한다. 캐리어 하단 인터페이스(A5004)는 경로(A4102)에서 이송 중에 (캐리어의 밑에서 또는 캐리어의 측면에서) 접근할 수 있다. 다른 실시예에서는, 경로의 컨베이어에 있는 캐리어 서포트를 캐리어의 측면이나 표면에서 운반 중에 캐리어와 결합하여 지지하도록 임의로 구성할 수 있다(즉, 컨베이어가 캐리어 하단과 결합 또는 연결할 수 있음).
다시 도 55를 보면 앞에서 언급한 것처럼 이송 시스템의 인터페이스 섹션(A4200)이 앞에서 설명한 도 41-46 및 51의 인터페이스 시스템(3200, 3300, 4200)과 일반적으로 비슷한 오버헤드 갠트리 시스템이다. 인터페이스 시스템(A4200)에는 셔틀 및 피더 로봇(A4202)이 이동하는 선택적으로 가변적인 수의 이송 장치 이동 플레인(갠트리(A4201)에 의해 정해지는 것임)이 있다. 앞에서 언급한 것처럼, 다른 실시예에서는 인터페이스 시스템을 원하는 다른 형태로 구성할 수 있다. 본 실시예에서는, 갠트리(A4201) 및 스토리지 위치(A4204)가 대량 이송 섹션의 경로(A4102) 사이에 포개진다. 피더 로봇(A4204)은 캐리어 상단 인터페이스(A5002)에서 캐리어(A5000)와 결합하고 캐리어를 위에서 지지하도록 구성할 수 있다. 셔틀(미도시)은 캐리어를 위나 아래에서 지지할 수 있다. 다른 실시예에서는, 인터페이스 로봇 및 셔틀을 적절하게 배치할 수 있다. 앞에서 언급한 것처럼, 대량 이송 섹션(A4100)과 인터페이스 섹션(4200) 사이에서 캐리어를 전달하는 것은 아래에서 더 자세히 설명된 것처럼 핸드오프 섹션(A4300)에 의해 이루어진다.
도 55, 55A에 잘 나타나 있듯이, 핸드오프 섹션(A4300)에는 일반적으로 대량 이송 경로를 따라 (경로의 거의 일정한 이송 속도에 의함) 이송되는 캐리어에 접근하여 포착하고, 경로에서 캐리어를 분리시키고, 인터페이스 섹션(A4200)의 로봇/셔틀이 캐리어에 접근할 수 있는 드롭 스테이션에 캐리어를 올려 놓을 수 있는 전달면이 있다. 이제 도 55B-55D를 보면, 본 실시예에서는 핸드 오프 섹션(A4300)에 많은 수의 캐리어(A4302)(도면에는 참고로 하나가 나옴)가 있다. 도시된 바와 같이, 캐리지(A4302)는 차량 또는 다른 적절한 컨베이어 메커니즘이며, 이송 속도로 경로에서 이송되는 캐리어와 위치를 일치시킬 수 있는 것이다. 그렇기 때문에, 캐리지(A4302)는 캐리지가 캐리어와 결합하고 대량 이송 컨베이어 서포트(A4104)에서 캐리어를 분리시킬 수 있는 충분한 거리를 경로를 따라 (화살표 X로 표시됨) 이송 방향으로 이동할 수 있다. 본 실시예에서는, 캐리지(A4302)가 트랙 또는 경로(A4304)를 따라가는 차량으로 그려져 있다. 트랙(A4304)은 대량 이송 섹션의 경로(A4102) 밑에 있다(도 55 참조). 예를 들어 트랙(A4304)은 머리 위에서 행거에 매달려 있다. 도시된 실시예에서는, 트랙 및 트랙의 캐리지(A4302)가 인터페이스 섹션 밑에 있다. 앞에서 언급한 것처럼, 다른 실시예에서는 핸드오프 섹션 캐리지를 다른 적절한 형태로 구성할 수 있다. 본 실시예에서는, 캐리지가 경로 상의 각각의 부분에서 경로의 캐리어에 접근할 수 있도록 핸드오프 섹션을 배치한다. 핸드오프 섹션은 경로 상의 적절한 섹션들에 분산된다. 도 55D에 잘 나타나 있듯이, 캐리지(A4302)에는 경로 상의 캐리어와 결합하여 포착할 수 있는 캐리어 인터페이스(A4306)가 있다. 캐리지(A4302)의 캐리어 인터페이스(A4306)는 적절하게 배치할 수 있다. 본 실시예에서는, 캐리어 인터페이스(A4306)에 캐리어의 하단 인터페이스(A5004)를 결합하는 결합 피처가 있다(도 55A 참조). 예를 들어, 캐리지 인터페이스(A4306)에는 캐리어의 동적 커플링 피처를 보완하는 동적 커플링 피처가 있기 때문에 캐리어와 캐리지가 결합될 때 수동적으로 정렬을 하고 그 사이에서 확실한 수동적인 결합 상태를 만든다. 본 실시예에서는, 캐리지 인터페이스에 캐리어를 포착하는 다른 수동적 또는 능동적 커플링 즉, 결합 시스템(예를 들어, 클램프, 마그네틱 척 등등임)이 있다. 도 55B에 나오는 것처럼, 캐리지(A4302)는 트랙(A4304)에서 받쳐주므로 캐리지(A4302)의 캐리어 인터페이스(A4306)는 커플링이 이루어질 수 있도록 캐리어(A5000)와 충분히 정렬이 된다. 이에 따라, 캐리지 트랙(A4304)은 캐리지(A4302)가 경로(A4102)의 이동 속도에 맞추어 가속을 하고, 경로에 의해 전달된 캐리어(A5000)를 정렬 및 포착하고, 경로 서포트(A4204)에서 캐리어를 분리하는데 충분하다. 본 실시예에서는, 캐리지 트랙(A4304)이 캐리지가 원하는 속도로 감속하여 드롭오프 스테이션(DS)에서 인터페이스 시스템(A4200)으로 핸드오프하도록 허용하기에 충분하다. 본 실시예에서는, 드롭오프 스테이션(DS)가 정지 상태이다. 물론, 핸드오프 섹션 트랙(A4304)을 따라가면서 위치를 가변적으로 선택할 수는 있다. 본 실시예에서는 캐리지가 무한 루프 트랙과 같은 트랙에 배치되어 경로와 거의 일치하는 이동 속도로 이동한다.
도 55A, 55D에 잘 나타나 있듯이, 본 실시예에서 핸드오프 섹션(A4300)의 운송면은 경로 상의 캐리어(들)와 가까워지고 경로 서포트에서 캐리어를 로드/언로드하기 위해 Z 방향으로 움직인다. 도시된 실시예에서, 캐리지에는 Z 방향으로 캐리지 인터페이스(A4306)를 구동할 수 있는 적절한 Z 구동 장치(예를 들어, 리드 나사, 공압식, 전자기식)가 제공된다.
그렇기 때문에 예를 들어 경로에서 캐리어를 언로드하기 위하여, 캐리지 인터페이스(A4306)를 올려서 (캐리지와 캐리어를 나란히 일치시킴) 캐리어 인터페이스(A5004)와 접하게 한다. 예를 들어, 캐리어를 결합한 후에 캐리어 인터페이스를 더 올려서 캐리어(A5000)가 경로에서 분리되게 할 수 있다(예를 들어, 경로를 기준으로 한 캐리지 이동 속도를 더 빠르게 하거나 늦추어 경로 서포트에서 캐리어 분리가 원활하게 이루어지게 할 수 있음). 경로에서 분리된 캐리어는 캐리지(A4302)에 의해 내려지므로 캐리어가 경로에 의해 전달되는 캐리어의 이송 엔빌롭을 제거하게 된다. 핸드오프 섹션(A4300)을 사용하여 캐리어를 경로에 로딩하는 것은 거의 비슷하지만 정반대 방식으로 수행된다. 다른 실시예에서는, 캐리지 인터페이스의 Z 방향 이동을 원하는 다른 방식으로 실행할 수 있다. 예를 들어, 지지 트랙에는 Z 구동 장치나 리프트가 있을 수도 있고, 캐리지를 올리거나 내려 경로상의 캐리어와 접하게 만드는 업/다운 램프와 같이 높이가 가변적인 캐리지 지지면이 있을 수도 있다. 다른 실시예에서는, 적절한 구동 장치 또는 경로나 캐리어의 다른 이동 수단으로 사용하여 축을 따라 이동하면서 캐리어 및 캐리지와 가까워질 수 있다(예를 들어, 경로 서포트에 Z축 구동 장치가 있을 수 있음). 또 다른 실시예에서는, 핸드오프 섹션으로 캐리어를 경로와 결합/분리하기 위해 캐리어와 캐리지에 가까워지는 이동 축 또는 종결 축이 (기준 프레임을 기준으로 함) 원하는 방향으로 존재할 수 있다.
도 55, 55B-C에 잘 나타나 있고 앞에서 설명한 것처럼, 핸드오프 섹션(A4300)에는 인터페이스 섹션(A4200)의 로봇(A4202)이 접근할 수 있도록 배치된 드롭 스테이션(DS)이 있다. 본 실시예에서는, 드롭 스테이션(DS)이 Y 축에서와 같이 대량 이송 섹션 경로 및 그 경로 상에서 전달되는 캐리어의 이송 엔빌롭(TE)에서 분리되어 있다(물론 다른 실시예에서는 원하는 축을 따라 오프셋이 있을 수 있음). 드롭 스테이션(DS)의 오프셋(도 55B-55C에 잘 나타나 있음)은 일반적으로 경로에 의해 정해지는 세로 방향에서의 측방향 오프셋이라고 하며 인터페이스 섹션(A4200)이 상단 캐리어 인터페이스(A5002)에 접근하는 것을 수월하게 해 준다. 또한 본 실시예에서는 캐리어가 캐리지(A4302)에 의해 드롭 스테이션(DS)에 배치되면 캐리어의 상단 인터페이스(A500N)가 자유롭게 인터페이스 섹션(A4200)과 결합할 수 있다. 이것은 캐리지가 다른 캐리어 인터페이스(A5002)에서 캐리어와 연결되기 때문이다. 그렇기 때문에 본 실시예에서는 중간에 선택/배치 동작이 없이 캐리어를 캐리지(A4302)와 인터페이스 섹션 로봇(A4202) 사이에서 직접 이송할 수 있다. 본 실시예에서는, 캐리어가 스토리지 위치에 들어가도록 핸드오프 시스템 캐리지가 배치되며 인터페이스 섹션은 스토리지 위치에서 캐리어에 접근한다. 다른 실시예에서는, 핸드오프 섹션 캐리지 및 인터페이스 섹션 로봇이 공통 인터페이스에서 캐리어와 연결된다. 본 실시예에서는, 상단에서 캐리어에 접근하므로 인터페이스 섹션이 피더 로봇(A4202)을 사용하여 드롭 스테이션(DS)에서 캐리어에 연결할 수 있다. 다른 실시예에서는, 인터페이스 섹션이 드롭 스테이션의 캐리어에 접근하여 연결할 수 있도록 핸드오프 섹션의 드롭 스테이션을 이송 엔빌롭에서 적절한 방향으로 분리시킬 수 있다.
도 55B-55C에 잘 나타나 있듯이, 본 실시예에서는, 캐리지(A4302)가 캐리어(A5000)를 드롭 스테이션(DS)으로 또는 드롭 스테이션(DS)에서 이동시킬 수 있다. 예를 들어, 캐리지에는 캐리지가 캐리어를 드롭 스테이션으로 옮길 수 있는 적절한 Y 구동 장치가 있다(구동 장치는 캐리지, 적어도 캐리어가 오프셋 방향으로 자유롭게 이동하는 것을 연결/지지하는 부분을 제공할 수 있음). 예를 들어, 캐리지 인터페이스(A4306)는 Y 방향으로 이동할 수 있는 이동식 서포트 위에 있다. 다른 실시예에서는, 캐리지가 Y 방향으로 이동하면서 캐리어를 드롭 스테이션으로 옮길 수 있다(예를 들어, 캐리어가 있는 장치임). 또 다른 실시예에서는 트랙을 따라 이동하는 캐리지를 드롭 스테이션으로 유도하도록 트랙의 모양을 만들 수 있다(예를 들어, 이송 엔빌롭에서 바깥쪽으로 구부러지는 무한 루프임).
이제 다시 도 56-56A를 보면, 또 다른 실시예에 따른 전형적인 이송 시스템(A4000')의 입면도가 나온다. 도 56-56A에 나오는 실시예의 이송 시스템(A4000')은 앞에서 설명한 이송 시스템(A4000)과 거의 비슷하다(피처에 매겨진 번호도 비슷함). 이송 시스템(A4000')에는 일반적으로 많은 수의 경로(A4102')가 있는 대량 이송 섹션(A4100')이 있으며, 대량 이송 장치와 인터페이스 섹션 사이에서 캐리어(A5000')를 핸드오프하고 대량 이송 섹션 경로에 의해 전달된 캐리어가 거의 일정한 이동 속도를 유지하게 하는 인터페이스 섹션(A4200') (하나의 예로 갠트리로 그려져 있음)과 핸드오프 섹션(A4300')이 있다. 본 실시예에서는, 핸드오프 섹션(A4300')의 드롭 스테이션(DS')과 경로(A4102')의 이송 엔빌롭(TE') 사이의 분리 즉, 오프셋(이송 엔빌롭이 없는 상태에서 이송 속도 결정 캐리어 작업/동작을 수행할 수 있음)은 경로(A4102')의 방향을 변경하여 실행한다. 도 56에 잘 나타나 있듯이, 본 실시예에서는 경로에 서로에 대해 각각 방향이 다른 섹션(A4102A', A4102B', A4102C')이 있다. 예를 들어 이것은 션트/바이패스 섹션의 교차점, 경로의 종단 섹션에서 생길 수 있다(도 29A-29B 및 도 51 참조). 도 56에 나오는 예에서처럼 방향이 각각 다른 경로 섹션(A4102A', A4102B', A4102C')도 대량 이송 시스템 경로에서 캐리어를 로드/언로드하는 것이 바람직한 FAB 존에 마련할 수 있다. 도 56에 나오는 실시예에서 경로 섹션(A4102A', A4102B', A4102C')의 배치는 일반적으로 두 개의 구부러진 영역을 정한다. 각각의 영역은 이송 엔빌롭(TE')과 핸드오프 섹션 사이를 분리시켜 드롭 스테이션(DS)을 만들기에 충분한 크기이다. 앞에서 언급한 것처럼, 도시된 경로 섹션의 방향과 배치는 한 가지 예일 뿐이다. 본 실시예에서는, 각각의 섹션에 핸드오프 섹션 부분(A4300')이 있다. 이 부분은 앞에서 설명한 도 55A-55D에 나오는 것처럼 상호간 및 핸드오프 섹션(A4300)과 거의 비슷하다. 각각의 핸드오프 섹션 부분(A4300')에는 (앞에서 설명한 것과 비슷한 방식임) 캐리어(A5000')(도 56A 참조)를 경로(A4102')에서 로드/언로드하도록 배치된 캐리지 및 이동 트랙(A4304')이 있다. 각각의 핸드오프 섹션 부분(A4300D')에는 캐리어의 드롭 스테이션(DS')이 있다. 본 실시예에서는, 드롭 스테이션(DS')이 트랙(A4304') 및 도 56에 나오는 다운스트림이나 업스트림 부분의 경로의 이송 엔빌롭(TE')과 거의 일치한다. 본 실시예에서는, 핸드오프 섹션(A4300, A4300B)을 사용하여 경로에서 캐리어를 언로드할 수 있으며, 다른 부분을 사용하여 경로에 캐리어를 로드할 수 있다. 예를 들어 부분(A4300')은 경로 섹션(A4102A')에서 캐리어에 연결하여 잡을 수 있다. 언로드된 캐리어(A5000')는 트랙(A4304')의 끝에 있는 드롭 스테이션(DS')으로 옮겨져 인터페이스 섹션(A4200')에 넘겨진다. 경로로 로드하게 되어 있는 캐리어는 인터페이스 섹션(A4200')에 의해 부분(A4300B')의 드롭 스테이션(DSB')으로 옮겨져 핸드오프된다. 그 다음에 핸드오프 섹션 부분(A4300B')은 경로 섹션(A4102C')과 이송 속도 및 방향을 일치시켜 캐리어를 옮기면서 경로에 캐리어를 로드한다. 다른 실시예에서는, 핸드오프 섹션의 각각의 부분이 경로에서 캐리어를 로딩/언로딩할 수 있다(즉, 트랙에는 경로를 기준으로 캐리어를 로드/언로드하는 것을 지원하도록 배치된 다수의 드롭 스테이션이 있으며/있거나 캐리지가 트랙을 따라 돌면서 로딩과 언로딩을 모두 수행함). 그렇기 때문에 이송 시스템(A4000')은 비동기식이다.
공장 자동화는 웨이퍼 식별 기능을 사용하여 프로세스 전체에서 각각의 웨이퍼를 계획, 스케줄링 및 추적한다. ID는 기계가 판독할 수 있으며 호스트 서버의 데이터베이스로 관리한다. 데이터베이스 내의 웨이퍼 식별 정보는 웨이퍼가 손상되는 장비 고장 상황이나 소프트웨어 오류로 인해 영향을 받을 수 있다. 그렇기 때문에 각각의 프로세스 툴에서 반복하여 읽는 단계를 사용하여 이 문제를 극복한다. 기계가 웨이퍼를 판독하는 것은 일반적으로 캐리어를 로딩하고 웨이퍼를 제거한 다음 방향을 설정한 후에 진행된다. ID를 호스트로 다시 보고하여 검증을 한 다음 인증이 되면 프로세싱을 시작한다. 과거에는 로드된 부정확한 웨이퍼를 찾아내는데 많은 시간이 걸렸다. 뿐만 아니라, 실수로 툴이 내려가면 웨이퍼를 구해내어 캐리어/데이터베이스에 다시 입력해야 하는데, 그로 인해 실수가 발생할 가능성이 생기게 된다. 캐리어에는 웨이퍼 ID가 저장되어 있는 온보드 기록 가능 데이터 태그가 있으며, 로드 포트는 이 태그를 판독할 수 있다. 앞에서 설명한 실시예에 따라, 캐리어에는 캐리어가 기록할 수 있는 ID 태그를 로드 포트의 웨이퍼 ID와 연동시키는 인터록이 있다. 캐리어에 있는 기록 가능형 ID 태그에는 외부 디지털 입력/출력(I/O) 신호가 포함되어 있다. 이 신호는 포드 도어 제거를 감지할 수 있는 센서에 연결되어 있다. 이 센서는 옵티컬, 기계식, 음향식, 용량식 등의 적절한 유형이다. 예를 들어, 저전압 신호 라인이 포드 쉘과 포드 도어의 전도성 패드 속에 배선된다. 도어가 닫히면 패드가 국지적으로 접촉이 되어 전압 흐름이 완성된다. 도어가 분리되면 전압 흐름이 끊어져 캐리어 ID 태그에 신호가 기록된다.
한 실시예에 따라, 웨이퍼 판독 방법을 소프트웨어 무결성 태그 및 도어가 열리면 감지하는 방법과 함께 구현한다. 예를 들어, 웨이퍼가 로드되고 도어가 포드에 맞물린 후에 기록 가능형 캐리어 ID에 무결성 태그가 기록된다. 포드가 그 다음 툴 로드 포트에 도달하면 그 태그를 무결성 태그와 함께 판독한다. 무결성 태그가 유효하면 웨이퍼 ID가 변조되지 않은 유효한 것이라고 추정한다. 무결성 태그가 유효하지 않으면 도어가 분리된 적이 있는 것이므로 웨이퍼 ID 정확도를 의심할 수 있다. 이 정보를 근거로 호스트는 툴에서 웨이퍼 판독을 실시하여 무결성을 검증한다.
다른 실시예에 따르면, 무결성 웨이퍼 ID 판독 장치를 로드 포트에 내장할 수 있다. 이 판독 장치는 사이클 타임을 줄이기 위해 도어 열림 시퀀스 중에 ID를 읽는다. 본 실시예는 프로세스 툴 내에 내장하는 방식에 비해 사이클 타임이 짧다는 장점이 있으며 프로세스 툴 호스트 통신과 별도로 전체 검증 과정을 실행할 수 있다.
또 다른 실시예에 따르면, 캐리어 내의 각각의 웨이퍼 슬롯의 전용 영-숫자 디스플레이를 캐리어에 추가할 수 있다. 통합된 디스플레이는 캐리어 내에 존재하는 실제 웨이퍼 ID와 관련이 있다. 문자 높이는 작업자와 천장이 탑재된 스토리지 네스트 사이의 길이 정도의 먼 거리에서 판독할 수 있을 정도로 충분히 크다. 본 실시예에서는, 디스플레이에 ID 무결성이 그래픽 형태로 표시된다. 무결성 태그가 유효하지 않으면 뚜렷한 문자나 컬러로 디스플레이에 표시된다.
또 다른 실시예는 외부 웨이퍼 ID 판독 장치를 통합하는 것이다. 외부 웨이퍼 ID 판독 장치는 AMHS 시스템 내의 로드 포트 및 프로세스 툴 외부에 존재한다. 의문시되는 웨이퍼 ID가 있는 캐리어는 외부 판독 장치에 로드된다. 일단 작업이 완료되면 도어를 잠그고 기록 가능형 캐리어 ID에 무결성 태그를 기록한다. 이제 캐리어가 최종 도착지 스토리지/로드 포트 위치로 이동한다. 이 방법은 툴 프로세스 시간에 이어지는 것이 아니라 웨이퍼 캐리어 대기 시간에 병행하여 수행된다는 장점이 있다. 뿐만 아니라, 외부 판독 장치에 웨이퍼 방향 설정 방식을 포함할 수 있다.
*이제 도 57을 보면, 또 다른 구현 예에 따른 캐리어 도어의 입면도가 나온다. 도 57에 나오는 실시예의 캐리어 도어는 달리 언급된 점 외에는 앞에서 설명한 도면에 나오는 실시예의 캐리어와 비슷하다. 예를 들어 캐리어 도어의 모양이나 경계선은 기존처럼 둥글거나 원통형이다(도 36C의 캐리어(2000)와 비슷함). 물론, 다른 실시예에서는 캐리어가 평평한 경계 측면과 같이 원하는 다른 모양이 될 수 있다. 아래에서 자세히 설명한 것처럼, 캐리어 도어(6070)는 캐리어 쉘(6060)과 분리가 가능한 방식으로 결합되어 쉘의 웨이퍼 (또는 다른 작업 영역) 개구부를 닫고 캐리어 내부를 격리시킨다. 도면에는 하나의 예로 캐리어 도어(6070)가 캐리어(6000)의 하단에 있는 것으로 그려져 있다. 다른 실시예에서는, 캐리어 도어를 캐리어의 다른 측면이나 표면에 배치할 수 있다. 앞에서 언급한 것처럼, 캐리어는 금속(비철) 소재로 만들 수도 있고, 열가소성 소재와 같은 비금속 소재로 만들 수도 있다.
전에 언급한 것처럼, 캐리어 도어(6070)가 닫히면, 도어(6070) 및 쉘(6060)은 아래에서 설명하는 것처럼 캐리어 도어와 쉘 사이의 인터페이스에서 밀봉(6080)이 되어 캐리어의 내부를 외부 공기로부터 격리시킨다. 캐리어 도어(6070)는 이 쉘(6060)에 물리며, 도어는 쉘에 단단히 고정되어 래칭 시스템(6072)에 의해 캐리어 이송을 허용한다. 래칭 시스템(6072)은 본 실시예에서 솔리드 스테이트(즉, 움직이는 부품이 전혀 없는 상태임)이다. 물론, 다른 실시예에서는 래칭 시스템에 솔리드 스테이트 상태와 기계식으로 작동하는 부품이 모두 있을 수 있다. 도 57에 나오는 실시예에서는, 래칭 시스템(6072)에 자석식 래칭 시스템(6074)이 포함되어 있다. 자석식 래칭 시스템(6074)에는 일반적으로 자석 또는 필드 섹션(6074M)이 있으며, 필드 섹션과 반응하여 잠금력을 생성하고 도어를 쉘에 맞물리게 만드는 반응성, 즉, 플래튼 섹션(6074R)이 있다. 본 실시예에서는 마그넷 섹션(6074M)이 컨테이너 쉘의 경계선 주위, 또는 도어-쉘 인터페이스의 경계선 주위에 분포되어 캐리어 쉘의 웨이퍼 개구부에 거의 고르게 분포된 자기장을 생성한다. 본 실시예에서는, 마그넷 섹션(6074M)이 유연한(즉, 다극성) 자석띠 또는 리본에 의해 형성된다. 유연한 마그넷 스트립의 도면이 도 57A이다. 다른 실시예에서는 마그넷 섹션 또는 솔리드 스테이트 래치 시스템을 다른 적절한 다른 방식으로 구성할 수 있다. 마그넷 섹션은 연속적이거나 세그먼트로 분할되어 있으며 캐리어에 설치되어 원하는 모양을 형성할 수 있다. 도 57A에 나오는 실시예에서는, 다극성 자석을 복원력이나 유연성이 있는 유연한 비자성 소재(예를 들어, 전자기 소재)에 부착한다. 본 실시예에서, 마그넷 섹션(6074M)은 쉘의 거의 평평한 표면에 탑재된 것으로 그려져 있다. 철금속 소재의 적절한 링이나 세그먼트인 반응성 섹션(6074R)은 캐리어 도어의 반대쪽 표면에 탑재된 것으로 그려져 있다. 다른 실시예인 마그넷 섹션은 도어에 탑재되며 반응성 섹션은 쉘에 탑재된다. 도어와 쉘의 다른 표면에 배치할 수도 있다. 도어가 닫히면, 반응성 섹션이 마그넷 섹션의 유연한 자석 쪽으로 쏠리면서 개구부 주위의 도어 밀봉이 형성된다.
이제 도 58을 보면, 또 다른 구현 예에 따른 캐리어(6000') 및 로드 포트(6300)의 입면도가 나온다. 캐리어는 대체로 도 57의 캐리어(6000)와 비슷하다. 캐리어는 로드 포트(6300)와의 결합된 상태로 그려지거나 로드 포트(6300)와의 커플링에 인접한 위치에 있는 것으로 그려진다. 로드 포트(6300)는 일반적으로 아래에서 달리 설명한 점 외에는 앞에서 설명한 도면에 나오는 로드 포트와 비슷하다. 본 실시예의 로드 포트는 캐리어를 로드 포트와 맞물리게 하고 캐리어 도어를 도어 포트와 맞물리게 만드는 솔리드 스테이트 래칭 시스템이 있다. 예를 들어, 로드 포트에는 캐리어의 부분에 작용하는 자석(즉, 전자석)이 있다. 비슷하게 도어 포트에는 캐리어 도어의 자석 부분에 작용하는 자석(즉, 전자석)이 있다(예를 들어 도 60-62 참조). 본 실시예에서는, 로드 포트 도어와 캐리어 도어 사이의 결합으로 인해 캐리어 도어가 캐리어 쉘에서 분리된다. 예를 들어, 로드 포트 도어와 캐리어 도어 사이의 마그네틱 래치는 캐리어 도어와 캐리어 사이의 마그네틱 래치의 힘을 이길 수 있을 정도로 강하기 때문에 캐리어 도어의 잠금 상태가 해제되어 로드 포트 도어에 의해 열리게 된다. 반대로, 포트 도어가 닫힌 위치로 돌아가면 캐리어 도어가 자동으로 캐리어에 걸리게 된다. 도 59A-D는 많은 수의 다양한 실시예에 따른 캐리어 쉘, 캐리어 도어, 로드 포트 쉘 및 로드 포트 도어 사이의 4-방향(즉, "X") 인터페이스의 도면이다.
이제 도 60-62를 보면 캐리어(6000')와 로드 포트(6300) 사이의 자력 상호작용이 나온다. 위에서 설명한 것처럼, 로드 포트에는 캐리어(6000')를 로드 포트 림(6310)에 맞물리게 하고 캐리어 도어(6070')를 로드 포트 도어(6320)와 맞물리게 만드는 솔리드 스테이트 래칭 시스템이 포함되어 있다. 솔리드 스테이트 래칭 시스템에는 캐리어 쉘(6060')에 있는 철금속 소재(6301)와 상호작용하는 로드 포트 림(6310)의 전자석(6302)과 같은 자석이 포함되어 있다. 다른 실시예에서는 자석(6302)이 임의의 적절한 자석이다. 철금속 소재는 위에서 설명한 섹션(6074m)과 거의 비슷한 마그넷 섹션이며, 캐리어 쉘(6060')의 경계선 주위에 배치된다. 다른 실시예에서는 마그넷 섹션이 임의의 적절한 모양으로 된 적절한 철금속 소재이다. 또 다른 실시예에서는, 마그넷 섹션이 연속적이거나 세그먼트로 분할되어 있으며 캐리어에 설치되어 원하는 모양을 형성할 수 있다. 또한 로드 포트 도어(6320)에는 자석(6302)과 거의 비슷한 자석(6304)이 포함되며, 캐리어 도어에는 자석(6301)과 거의 비슷한 자석(6303)이 포함된다. 다른 실시예에서는, 자석(6302, 6304)이 캐리어 쉘 및 캐리어 도어 안에 있으며 자석(6301, 6303)은 로드 포트 림 및 로드 포트 도어에 있다.
로드 포트(6300)에는 캐리어의 Z축 동작을 로드 포트로 안내하는 정렬 피처가 포함되어 있다. 캐리어 정렬 피처는 예를 들면 캐리어 도어(6070')와 상호작용하는 마찰판(6330)과 동적 핀(6330')이다. 다른 실시예에서는 적절한 정렬 피처를 활용할 수 있다. 다른 실시예에서는, 정렬 피처가 캐리어(6000')의 일부와 상호작용할 수 있다. 정렬 피처는 도면에 나오는 것처럼 스프링 방식일 수도 있고 정지형일 수도 있다. 이런 정렬 피처는 자석(6301-6304)과 연계되어 캐리어(6000')를 로드 포트(6300)에 일치시킨다. 다른 실시예에서는 자석이나 정렬 피처를 사용하여 캐리어를 로드 포트에 일치시킨다. 다른 실시예에서는, 캐리어와 로드 포트를 다른 적절한 방법으로 정렬시킬 수 있다. 캐리어(6000')와 로드 포트(6300) 사이의 상호작용은 솔리드 스테이트이기 때문에(즉, 캐리어를 로드 포트에 맞물리는 움직이는 부품이 없기 때문임) 캐리어를 로드 포트에 일치시키는데 거의 가이드가 필요하지 않다는 점에 유의해야 한다. 뿐만 아니라, 솔리드 스테이트 래칭 시스템이기 때문에 캐리어를 로드 포트에 맞물리는데 힘이 별로 들지 않는다. 따라서, 정렬 피처는 크기가 최소 수준이며 캐리어가 로드 포트 표면에 닿으면 거의 동시에 캐리어(6000')와 접하게 된다. 가이드가 거의 필요하지 않고 정렬 피처도 최소화되기 때문에 맞물리는 동안 캐리어와 로드 포트 사이에 발생하는 마찰이 감소하게 되어 입자 생성도 줄어든다는 점도 유의해야 한다.
동작 중에 로드 포트 림(6310)과 로드 포트 도어(6320)의 자석(6302, 6304)은 작동하지 않거나 "오프" 된다. 자석(6302, 6304)이 작동하지 않기 때문에 래칭 시스템(6074)에 의해 형성된 캐리어 쉘(6060')과 캐리어 도어(6070') 사이의 래치/밀봉을 파손하지 않고 로드 포트(6300)에 캐리어를 배치할 수 있다. 캐리어(6000')가 로드 포트(6300)에 접하면 동시에 자석(6302, 6304)이 활성화 즉, "온"이 되기 대문에 입자가 캐리어로 들어올 수 없다. 도 63에서 볼 수 있듯이, 캐리어 내부를 외부 공기로부터 차단하는 밀봉을 제공한다. 이 밀봉은 캐리어를 로드 포트에 맞물리게 만드는 래칭 시스템이 작동 즉, "온"이 되기 전에 접한다. 예를 들어, 밀봉은 캐리어 쉘(6060')과 로드 포트 림(6310) 사이, 그리고 캐리어 도어(6070')와 로드 포트 도어(6320) 사이에 배치되는 평평한 밀봉이다. 이 밀봉은 캐리어 쉘(6060')과 캐리어 도어(6070') 사이, 그리고 로드 포트 림(6310)과 로드 포트 도어(6320) 사이에 배치되는 오링 형태이다. 다른 실시예에서는 평평한 밀봉 및/또는 오링을 임의로 조합하여 활용한다. 밀봉은 변형이 가능한 소재(예를 들어, 폼, 고무 등등)로 만든다. 다른 실시예에서는 밀봉을 임의의 적절한 소재로 만든다. 또 다른 실시예에서는, 도 64C-64E에 나오는 자석(7040)으로 밀봉을 형성할 수 있다.
한 실시예에서는, 도 64A-643에 나오는 것처럼 밀봉이 여러 표면에 동시에 접할 수 있는 모양의 몰드형 밀봉(7050)의 형태이다. 예를 들어 도 64A에서 볼 수 있듯이 밀봉에는 구부러진 상단 부분과 꺽어진 하단 부분이 있다. 밀봉(7050)은 변형이 가능하므로 캐리어(6000')를 아래로 내리면 구부러진 상단 부분이 변형되어 캐리어 도어(6070') 및 로드 포트 림(6310)이 모두 각각 접점(7060B)과 접점(7060A)에 접하게 된다. 캐리어 쉘(6060')과 로드 포트 림(6310) 사이, 그리고 캐리어 쉘(6060')과 캐리어 도어(6070) 사이의 밀봉은 자석, 오링, 평평한 밀봉 등으로 제공한다. 다른 실시예에서는 임의의 적절한 방법으로 밀봉을 제공한다. 접점(7060A) 외에도, 로드 포트 도어(6320)가 닫힌 위치가 되어 프로세싱 툴/로드 포트의 내부를 외부 공기로부터 차단하면 밀봉의 꺽인 하단부가 로드 포트 림(6310)에 접하게 된다. 이 도면에서, 밀봉(7050)은 로드 포트 도어(6320)에 부착되어 있는 것으로 그려져 있지만, 다른 실시예에서는 밀봉을 캐리어 쉘(6060'), 캐리어 도어(6070'), 로드 포트 림(6310), 로드 포트 도어(6320) 또는 그 외의 다른 적절한 위치에 부착할 수 있다. 다른 실시예에서는, 밀봉(7050)에 캐리어 쉘(6060')/캐리어 도어(6070) 및 로드 포트 사이에 작용하는 피처와 같은 암이 있을 수 있다. 다른 실시예에서는 밀봉을 적절한 다른 형태로 구성할 수 있다. 작동 중에 밀봉(7050)은 7060A 지점에 형성된 밀봉을 통해 프로세싱 툴을 격리시킨다. 로드 포트 도어(6320)가 열리면 밀봉(7040)을 분리해 캐리어 도어(6070')를 캐리어(6000')에서 분리시킨다. 7060B 지점에서 밀봉(7050)에 의해 형성된 밀봉은 캐리어 도어(6070')와 로드 포트 도어(6320) 사이에 있는 오염물/공기가 캐리어(6000') 또는 프로세싱 툴/로드 포트로 들어오지 못하게 할 수 있다.
이제 캐리어를 여는 동작을 설명한다. 위에서 설명한 것처럼 캐리어(6000')가 로드 포트(6300)에 도달한다. 캐리어(6000')는 Z-축 정렬 피처(6330, 6330')를 통해 로드 포트(6300)와 기계적으로 결합한다. 캐리어 쉘(6060')이 기계식 커플링 중에 떠오르도록 허용한다. 캐리어/로드 포트 래칭 시스템이 작동하는 동안 정렬 피처는 캐리어(6000')를 최소 수준으로 안내한다. 캐리어 도어(6070')가 자석(6303, 6304)을 통해 로드 포트 도어(6320)에 걸린다. 캐리어 쉘(6060')이 자석(6301, 6302)을 통해 로드 포트 림(6310)에 걸린다. 자석(6303, 6304)이 캐리어 도어(6070')를 캐리어 쉘(6060')에 맞물리게 하는 자석의 힘을 이기고 캐리어 도어(6070')가 캐리어 쉘(6060')에서 풀려나 쉘(6060')에서 분리되게 한다. 웨이퍼를 로봇 이송 높이로 낮추어 캐리어(6000')에서 뺀다. 본 실시예에서는, 하단 로드 캐리어를 활용하지만, 다른 실시예에서는 적절한 임의의 캐리어(예를 들어, 프론트 로드 등등임)를 활용할 수 있다.
캐리어를 닫으려면, 웨이퍼를 로봇 이송 높이에서 캐리어(6000')의 내부로 올린다. 캐리어 도어(6070')를 캐리어 쉘(6060')에 삽입한다. 캐리어 도어를 위에서 설명한 캐리어 도어/캐리어 쉘 래칭 시스템을 통해 건다. 자석(6302, 6304)이 오프되면서 캐리어 쉘(6060')과 캐리어 도어(6070')가 동시에 풀린다. 다른 실시예에서는, 캐리어 쉘과 캐리어 도어가 각각 다른 시간에 무작위로 풀린다. 이제 캐리어를 로드 포트에서 분리할 수 있다.
이상의 설명은 발명을 이해하도록 돕기 위한 것이라는 점에 유의해야 한다. 능숙한 기술자는 발명 원리에 근거한 다양한 대안 및 개선안을 창안할 수 있다. 따라서, 현재 발명은 출원된 특허 범위 내에 해당되는 모든 대안, 개선안 및 변이형을 포함하게 되어 있다.

Claims (7)

  1. 반도체 기판들을 프로세싱하기 위한 적어도 하나의 기판 프로세싱 툴;
    장형(elongated)이고, 이동 방향을 정의하고, 기판 홀딩 컨테이너와 인터페이스되는 부분들을 가지며, 상기 이동 방향을 따라 상기 기판 홀딩 컨테이너를 지지하여 이송하는 제1 이송 섹션;
    상기 제1 이송 섹션과 분리되고 구별되는 제2 이송 섹션으로서, 상기 제2 이송 섹션은 상기 제1 이송 섹션과 인터페이스하여 상기 제1 이송 섹션과 상기 적어도 하나의 기판 프로세싱 툴 사이에서 상기 기판 홀딩 컨테이너를 이송하도록 구성되며, 상기 제2 이송 섹션은 상기 적어도 하나의 기판 프로세싱 툴 위로 배치된 적어도 하나의 오버헤드 갠트리(overhead gantry)를 포함하는, 제2 이송 섹션;
    을 포함하는 반도체 가공품 프로세싱 시스템.
  2. 청구항 1에 있어서,
    상기 적어도 하나의 오버헤드 갠트리는 적어도 3 자유도(degrees of freedom)를 갖는 적어도 하나의 오버헤드 캐리어를 포함하는 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
  3. 청구항 2에 있어서,
    상기 기판 홀딩 컨테이너는 액세스 측(access side)을 가지며, 상기 적어도 하나의 오버헤드 캐리어는 상기 액세스 측이 마주보는 방향을 변화시키기 위해 상기 적어도 하나의 오버헤드 캐리어에 의해 이동된 상기 기판 홀딩 컨테이너를 회전시키도록 구성된 회전 드라이브를 포함하는 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
  4. 청구항 1에 있어서,
    상기 적어도 하나의 기판 프로세싱 툴 위로 배치된 적어도 하나의 오버헤드 스토리지 스테이션을 더 포함하며, 상기 제2 이송 섹션은 기판 홀딩 컨테이너들을 상기 적어도 하나의 오버헤드 스토리지 스테이션을 향하여 그리고 상기 적어도 하나의 오버헤드 스토리지 스테이션으로부터 이송하도록 구성된 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
  5. 청구항 1에 있어서,
    상기 적어도 하나의 갠트리는 상기 적어도 하나의 기판 프로세싱 툴의 마주 보며 배열된 로드 포트들을 제공하도록 구성된 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
  6. 청구항 5에 있어서,
    상기 적어도 하나의 오버헤드 갠트리는, 상기 기판 홀딩 컨테이너의 지향이 상기 마주보며 배열된 로드 포트들의 각각의 지향에 대응하도록 상기 캐리어에 의해 이동된 기판 홀딩 컨테이너를 회전시키도록 구성된 적어도 하나의 캐리어를 포함하는 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
  7. 청구항 6에 있어서,
    상기 적어도 하나의 캐리어는 실시간으로(on the fly) 상기 기판 홀딩 컨테이너의 회전을 위해 구성된 것을 특징으로 하는 반도체 가공품 프로세싱 시스템.
KR1020147012729A 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템 KR20140069354A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US83890606P 2006-08-18 2006-08-18
US60/838,906 2006-08-18
US11/803,077 2007-05-11
US11/803,077 US8267634B2 (en) 2005-11-07 2007-05-11 Reduced capacity carrier, transport, load port, buffer system
US11/891,835 2007-08-13
PCT/US2007/017924 WO2008024225A2 (en) 2006-08-18 2007-08-13 Reduced capacity carrier, transport, load port, buffer system
US11/891,835 US8272827B2 (en) 2005-11-07 2007-08-13 Reduced capacity carrier, transport, load port, buffer system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097005502A Division KR20090053915A (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020157030855A Division KR101707925B1 (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템

Publications (1)

Publication Number Publication Date
KR20140069354A true KR20140069354A (ko) 2014-06-09

Family

ID=39107293

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157030855A KR101707925B1 (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
KR1020147012729A KR20140069354A (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
KR1020177003883A KR101841753B1 (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157030855A KR101707925B1 (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177003883A KR101841753B1 (ko) 2006-08-18 2007-08-13 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템

Country Status (5)

Country Link
US (3) US8272827B2 (ko)
JP (2) JP5930985B2 (ko)
KR (3) KR101707925B1 (ko)
CN (1) CN101578700B (ko)
WO (1) WO2008024225A2 (ko)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
WO2008024225A2 (en) 2006-08-18 2008-02-28 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP5398595B2 (ja) * 2010-03-04 2014-01-29 東京エレクトロン株式会社 基板収納装置
TWI585892B (zh) * 2010-09-17 2017-06-01 昕芙旎雅股份有限公司 卡匣轉接器
US9008884B2 (en) * 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
US8827618B2 (en) * 2011-12-02 2014-09-09 Brooks Automation, Inc. Transport system
WO2013149117A2 (en) 2012-03-29 2013-10-03 Siemens Healthcare Diagnostics Inc. Module transport system that can be combined into an automation system
US9558978B2 (en) * 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US9385019B2 (en) 2012-06-21 2016-07-05 Globalfoundries Inc. Overhead substrate handling and storage system
US10254299B2 (en) 2012-10-11 2019-04-09 Siemens Healthcare Diagnostics Inc. Multiple slot place and pick carrier
JP6104559B2 (ja) * 2012-10-22 2017-03-29 日本電子株式会社 自動分析装置
US20140119858A1 (en) * 2012-10-31 2014-05-01 Sandisk 3D Llc Semiconductor Device Manufacturing Line
TWI627696B (zh) 2013-01-22 2018-06-21 布魯克斯自動機械公司 基材運送
US9454158B2 (en) 2013-03-15 2016-09-27 Bhushan Somani Real time diagnostics for flow controller systems and methods
WO2014154196A2 (de) * 2013-03-28 2014-10-02 Kiefel Gmbh Transportsystem, kunststoffverarbeitende fügeanlage, verfahren zum betreiben einer kunststoffverarbeitenden fügeanlage sowie damit hergestelltes produkt
EP3050825B1 (en) * 2013-09-27 2021-09-01 Murata Machinery, Ltd. Storage system and method for placing two types of articles on a support device
CN107512581B (zh) 2013-11-26 2020-08-25 科磊股份有限公司 用于拾取工件的取放头及方法
DE102014212553B3 (de) * 2014-06-30 2015-07-09 Siemens Aktiengesellschaft Modulares Türantriebssteuerungssystem sowie modulares Türantriebssystem
US9698038B2 (en) * 2014-08-28 2017-07-04 Infineon Technologies Ag Adapter tool and wafer handling system
JP6311579B2 (ja) * 2014-11-12 2018-04-18 株式会社ダイフク 物品搬送設備
US10177020B2 (en) 2015-02-07 2019-01-08 Kla-Tencor Corporation System and method for high throughput work-in-process buffer
JP6456177B2 (ja) * 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10131020B2 (en) * 2015-03-10 2018-11-20 James P. Sullivan Part orienter with removable rotating fixture
US11569138B2 (en) 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
US9630619B1 (en) 2015-11-04 2017-04-25 Zoox, Inc. Robotic vehicle active safety systems and methods
US10401852B2 (en) 2015-11-04 2019-09-03 Zoox, Inc. Teleoperation system and method for trajectory modification of autonomous vehicles
WO2017079341A2 (en) 2015-11-04 2017-05-11 Zoox, Inc. Automated extraction of semantic information to enhance incremental mapping modifications for robotic vehicles
US9632502B1 (en) 2015-11-04 2017-04-25 Zoox, Inc. Machine-learning systems and techniques to optimize teleoperation and/or planner decisions
US9606539B1 (en) 2015-11-04 2017-03-28 Zoox, Inc. Autonomous vehicle fleet service and system
US11283877B2 (en) * 2015-11-04 2022-03-22 Zoox, Inc. Software application and logic to modify configuration of an autonomous vehicle
SG11201804593PA (en) * 2015-12-08 2018-06-28 Murata Machinery Ltd Conveyance system and conveyance method
JP6504266B2 (ja) * 2015-12-09 2019-04-24 村田機械株式会社 搬送システム及び搬送方法
TWI710046B (zh) * 2016-09-30 2020-11-11 美商恩特葛瑞斯股份有限公司 具有磁性閂鎖輔助之基板容器
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
CN114121769A (zh) * 2016-10-12 2022-03-01 朗姆研究公司 用于半导体处理的晶片定位基座的垫升高机制
KR101854046B1 (ko) * 2016-10-31 2018-05-02 세메스 주식회사 Oht 장치
US11142219B2 (en) 2016-11-14 2021-10-12 Murata Machinery, Ltd. Ceiling conveyance system, and relay conveyance apparatus and conveyance method used therefor
WO2018155716A1 (ja) * 2017-02-27 2018-08-30 丸市倉庫株式会社 情報処理装置
US10983537B2 (en) 2017-02-27 2021-04-20 Flow Devices And Systems Inc. Systems and methods for flow sensor back pressure adjustment for mass flow controller
CN107513689A (zh) * 2017-10-11 2017-12-26 新乡市百合光电有限公司 一种镜头保护片上的油膜蒸镀装置
CN109964308B (zh) * 2017-10-25 2023-12-19 应用材料公司 用于真空腔室的载体、测试系统和方法、真空处理系统
EP3553814A1 (de) 2018-04-10 2019-10-16 VAT Holding AG Positioniervorrichtung, be- und/oder entladesystem und verfahren zum betrieb einer positioniervorrichtung
US10948905B2 (en) * 2018-06-11 2021-03-16 Middlesex Industries, SA. High volume autonomous material handling system to improve IC factory throughput and cycle time
DE102018211839A1 (de) * 2018-07-17 2020-01-23 Bausch + Ströbel Maschinenfabrik Ilshofen GmbH + Co. KG Transportsystem
JP2020017645A (ja) * 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7127696B2 (ja) * 2018-11-02 2022-08-30 村田機械株式会社 コンベヤシステム
US11876009B2 (en) * 2018-11-06 2024-01-16 Murata Machinery, Ltd. Overhead transport vehicle
US11469124B2 (en) 2019-03-05 2022-10-11 Applied Materials, Inc. Contactless latch and coupling for vacuum wafer transfer cassette
WO2021095252A1 (ja) 2019-11-15 2021-05-20 キオクシア株式会社 ストレージデバイスおよびストレージシステム
KR102388390B1 (ko) 2020-01-06 2022-04-21 세메스 주식회사 로드 포트 유닛, 이를 포함하는 저장 장치 및 배기 방법
KR20220060028A (ko) * 2020-11-02 2022-05-11 삼성디스플레이 주식회사 적재부 및 그것을 포함하는 윈도우 제조 시스템
KR102443618B1 (ko) * 2020-11-12 2022-09-16 한국생산기술연구원 생산 관리 시스템을 이용한 지연 예측 모델 생성 방법과 이를 이용한 물류의 지연 예측 방법
CN112284460A (zh) * 2020-11-25 2021-01-29 中铁五局集团有限公司 一种地铁疏散平台限界检测装置及其使用方法
CN117174632B (zh) * 2023-11-03 2024-01-30 泓浒(苏州)半导体科技有限公司 一种半导体晶圆的定位校正装置及定位校正方法

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US39011A (en) 1863-06-23 Improved safety-guard for railway-cars
US3769913A (en) 1971-09-30 1973-11-06 Transyt Corp High mass flow transportation system
JPS63100256A (ja) 1986-10-15 1988-05-02 Kawasaki Heavy Ind Ltd エアクリ−ナの排塵装置
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH03215960A (ja) 1990-01-22 1991-09-20 Ebara Corp 容器開閉機構
DE4024973C2 (de) 1990-08-07 1994-11-03 Ibm Anordnung zum Lagern, Transportieren und Einschleusen von Substraten
CA2053028C (en) 1990-10-23 1996-04-09 Hideichi Tanizawa Carriage running control system
JPH0821610B2 (ja) 1990-11-20 1996-03-04 株式会社荏原製作所 キャリヤボックス内容物の収容取出方法及び装置
JPH0582623A (ja) * 1991-09-20 1993-04-02 Shinko Electric Co Ltd 機械式インターフエース装置
JPH05181527A (ja) 1991-12-27 1993-07-23 Mitsubishi Electric Corp 自動搬送装置
US5339952A (en) 1992-06-19 1994-08-23 International Business Machines Corporation Transfer container for transferring flimsy circuit panels under clean room conditions
JPH0616206A (ja) * 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
DE69205573T2 (de) 1992-08-04 1996-06-13 Ibm Fertigungsstrasse Architektur mit vollautomatisierten und rechnergesteuerten Fördereinrichtungen geeignet für abdichtbaren tragbaren unter Druck stehenden Behältern.
ATE129359T1 (de) 1992-08-04 1995-11-15 Ibm Verteilungseinrichtung mit gaszufuhr- abgabevorrichtung zum handhaben und speichern von abdichtbaren tragbaren unter druck stehenden behältern.
FR2697004B1 (fr) * 1992-10-16 1994-11-18 Commissariat Energie Atomique Système de stockage et de transport d'objets plats tels que des boîtes extra-plates et son ratelier portatif.
US5417537A (en) 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
EP0663686B1 (en) 1994-01-14 1997-06-18 International Business Machines Corporation Automatic assembler/disassembler apparatus adapted to pressurized sealable transportable container
US5511749A (en) * 1994-04-01 1996-04-30 Canac International, Inc. Remote control system for a locomotive
US5517924A (en) * 1994-07-27 1996-05-21 The United States Of America As Represented By The United States Department Of Energy Double row loop-coil configuration for high-speed electrodynamic maglev suspension, guidance, propulsion and guideway directional switching
JPH08172120A (ja) * 1994-12-16 1996-07-02 Hitachi Ltd 半導体装置の製造方法および搬送インターフェース装置
US5653565A (en) 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5740845A (en) 1995-07-07 1998-04-21 Asyst Technologies Sealable, transportable container having a breather assembly
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5980183A (en) 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5904101A (en) * 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
JP3587957B2 (ja) 1997-06-12 2004-11-10 日立建機株式会社 建設機械のエンジン制御装置
EP0972716A1 (en) 1997-06-13 2000-01-19 Kakizaki Manufacturing Co., Ltd. Cover-carrying thin sheet storage container
JPH1116984A (ja) 1997-06-27 1999-01-22 Hitachi Ltd 半導体ウェハ搬送システム
US6579052B1 (en) 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1167868A (ja) 1997-08-11 1999-03-09 Dainippon Screen Mfg Co Ltd 基板処理システム、基板搬送方法、基板処理装置および搬送容器
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
JP4100585B2 (ja) 1998-01-20 2008-06-11 株式会社石川製作所 半導体製造装置におけるポッド供給装置
US6223886B1 (en) * 1998-06-24 2001-05-01 Asyst Technologies, Inc. Integrated roller transport pod and asynchronous conveyor
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6261044B1 (en) 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
JP4056141B2 (ja) 1998-08-07 2008-03-05 松下電器産業株式会社 基板搬送装置
US6604624B2 (en) * 1998-09-22 2003-08-12 Hirata Corporation Work conveying system
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6435330B1 (en) 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
JP2000188319A (ja) 1998-12-22 2000-07-04 Toshiba Corp 搬送装置
JP2000188316A (ja) 1998-12-24 2000-07-04 Hitachi Ltd 搬送方法および装置ならびにそれを用いた半導体装置の製造方法
DE19921246C2 (de) * 1999-05-07 2003-06-12 Infineon Technologies Ag Anlage zur Fertigung von Halbleiterprodukten
JP3193026B2 (ja) 1999-11-25 2001-07-30 株式会社半導体先端テクノロジーズ 基板処理装置のロードポートシステム及び基板の処理方法
JP2001267395A (ja) * 2000-01-13 2001-09-28 Mitsubishi Electric Corp 半導体ウエハキャリア及び半導体ウエハキャリア自動搬送システム並びに半導体装置の製造方法
TW514618B (en) 2000-04-12 2002-12-21 Samsung Electronics Co Ltd A transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
US20020025244A1 (en) 2000-04-12 2002-02-28 Kim Ki-Sang Transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
JP3556185B2 (ja) 2000-06-13 2004-08-18 信越ポリマー株式会社 シール部材、密封容器及びそのシール方法
KR20020019414A (ko) 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
US6419438B1 (en) 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
US6848876B2 (en) 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
JP2003142547A (ja) * 2001-08-24 2003-05-16 Hirata Corp ワーク搬送装置
US6821082B2 (en) 2001-10-30 2004-11-23 Freescale Semiconductor, Inc. Wafer management system and methods for managing wafers
JP2003172454A (ja) 2001-12-10 2003-06-20 Nok Corp ガスケット
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4220173B2 (ja) * 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
US7232286B2 (en) 2002-04-05 2007-06-19 Ebara Corporation Seal device and method for operating the same and substrate processing apparatus comprising a vacuum chamber
JP2005294280A (ja) 2002-04-12 2005-10-20 Hirata Corp 密閉容器搬送システム
US6881020B2 (en) 2002-04-26 2005-04-19 Taiwan Semiconductor Manufacturing Co., Ltd Pod transfer system having retractable mast and rotatable and vertically movable hoist
JP4354675B2 (ja) 2002-06-04 2009-10-28 ローツェ株式会社 薄板状電子部品クリーン移載装置および薄板状電子製品製造システム
US20050158152A1 (en) * 2002-06-07 2005-07-21 Tetsunori Otaguro Container conveying system
EP2790210A3 (en) * 2002-06-19 2014-12-31 Murata Machinery, Ltd. Automated material handling system
US6871597B1 (en) * 2002-07-17 2005-03-29 Lockheed Martin Corporation Magnetically levitated transporter
KR101028065B1 (ko) 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
KR100880291B1 (ko) * 2002-10-11 2009-01-23 브룩스 오토메이션, 인크. 자동 재료 핸들링 시스템
JP2004140278A (ja) * 2002-10-21 2004-05-13 Ulvac Japan Ltd 移動式収納装置及び基板搬入装置
JP3991852B2 (ja) * 2002-12-09 2007-10-17 村田機械株式会社 天井搬送車システム
JP2004227060A (ja) 2003-01-20 2004-08-12 Murata Mach Ltd 無人搬送車システム
US7077264B2 (en) * 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US7221993B2 (en) 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
TWI246501B (en) * 2003-02-03 2006-01-01 Murata Machinery Ltd Overhead traveling carriage system
US6990721B2 (en) 2003-03-21 2006-01-31 Brooks Automation, Inc. Growth model automated material handling system
US6745102B1 (en) 2003-04-10 2004-06-01 Powerchip Semiconductor Corp. Automatic transporting system and method for operating the same
JP4470576B2 (ja) 2003-05-20 2010-06-02 ムラテックオートメーション株式会社 搬送システム
JP4487302B2 (ja) 2003-05-20 2010-06-23 株式会社安川電機 ロードポート
TWI246848B (en) * 2003-07-03 2006-01-01 Fuji Photo Film Co Ltd Image formation device
US7145157B2 (en) 2003-09-11 2006-12-05 Applied Materials, Inc. Kinematic ion implanter electrode mounting
JP4259968B2 (ja) 2003-09-22 2009-04-30 大日本スクリーン製造株式会社 基板処理装置
US7325667B1 (en) * 2003-10-10 2008-02-05 Damick Keith D Systems and methods for feeding articles to and removing articles from an automatic washer
US20050095087A1 (en) 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
JP2005136294A (ja) 2003-10-31 2005-05-26 Murata Mach Ltd 移載装置
US7101138B2 (en) 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
JP4045451B2 (ja) * 2003-12-26 2008-02-13 村田機械株式会社 天井走行車システム
JP4705757B2 (ja) 2004-02-04 2011-06-22 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2005243729A (ja) 2004-02-24 2005-09-08 Asyst Shinko Inc 搬送システム
US20050191162A1 (en) * 2004-02-26 2005-09-01 Simon Chang Method for integrating interbay and intrabay material transportation systems within an integrated circuit factory
US7379779B2 (en) * 2004-03-31 2008-05-27 Gregg O Ekberg Method and system for manufacturing
JP2006051886A (ja) * 2004-08-12 2006-02-23 Murata Mach Ltd 天井走行車システム
KR101233101B1 (ko) 2004-08-19 2013-02-14 브룩스 오토메이션 인코퍼레이티드 저용량 캐리어 및 그 사용방법
EP1803151B1 (en) 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
EP1789630A4 (en) * 2004-08-24 2009-07-22 Brooks Automation Inc TRANSPORT SYSTEM
JP2006103795A (ja) 2004-09-10 2006-04-20 Nippon Valqua Ind Ltd ガラス基板収納ケース、ガラス基板入替装置、ガラス基板管理装置、ガラス基板流通方法、シール部材及びこのシール部材を用いたシール構造
WO2006035473A1 (ja) * 2004-09-24 2006-04-06 Hirata Corporation 容器搬送装置
JP2006096427A (ja) * 2004-09-28 2006-04-13 Murata Mach Ltd 物品保管設備
JP4850404B2 (ja) 2004-11-04 2012-01-11 トーヨーカネツソリューションズ株式会社 基板搬送設備及び天井搬送装置
KR20060075556A (ko) * 2004-12-28 2006-07-04 동부일렉트로닉스 주식회사 스탠더드 메커니컬 인터페이스 시스템의 파드
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4915051B2 (ja) * 2005-03-28 2012-04-11 ムラテックオートメーション株式会社 自動搬送システム
US7228218B2 (en) * 2005-05-02 2007-06-05 Hbb Assets, Ltd. Power collection switching for automated driverless vehicles
US7771151B2 (en) * 2005-05-16 2010-08-10 Muratec Automation Co., Ltd. Interface between conveyor and semiconductor process tool load port
US7677180B2 (en) * 2005-06-09 2010-03-16 International Business Machines Corporation Apparatus and method for steering transport vehicles in semiconductor processing
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
JP4632091B2 (ja) * 2005-08-30 2011-02-16 株式会社ダイフク 物品搬送設備
US8529185B2 (en) * 2005-08-31 2013-09-10 Hirata Corporation Work handling apparatus
US7661919B2 (en) * 2005-09-28 2010-02-16 Muratec Automation Co., Ltd. Discontinuous conveyor system
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
JP2007191235A (ja) * 2006-01-17 2007-08-02 Murata Mach Ltd 天井走行車システム
KR100743194B1 (ko) * 2006-03-22 2007-07-27 삼성전자주식회사 이송시스템
US7281623B1 (en) 2006-04-18 2007-10-16 Aquest Systems Corporation Transport system including vertical rollers
FR2902235B1 (fr) 2006-06-09 2008-10-31 Alcatel Sa Dispositif de transport, de stockage et de transfert de substrats
WO2008024225A2 (en) 2006-08-18 2008-02-28 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
KR101080483B1 (ko) 2006-09-06 2011-11-07 올림푸스 가부시키가이샤 의료 장치 제어 시스템
US20080191155A1 (en) 2007-02-09 2008-08-14 Intevac, Inc. Magnetically coupled valve actuator

Also Published As

Publication number Publication date
KR20150126065A (ko) 2015-11-10
KR101841753B1 (ko) 2018-03-23
US20080063496A1 (en) 2008-03-13
KR101707925B1 (ko) 2017-02-17
US8272827B2 (en) 2012-09-25
CN101578700B (zh) 2012-11-14
JP5930985B2 (ja) 2016-06-08
CN101578700A (zh) 2009-11-11
US10679882B2 (en) 2020-06-09
JP6409018B2 (ja) 2018-10-17
US20130089396A1 (en) 2013-04-11
JP2013145898A (ja) 2013-07-25
US9224628B2 (en) 2015-12-29
WO2008024225A2 (en) 2008-02-28
KR20170018984A (ko) 2017-02-20
US20160111308A1 (en) 2016-04-21
WO2008024225A3 (en) 2008-10-16
JP2016174167A (ja) 2016-09-29

Similar Documents

Publication Publication Date Title
KR101841753B1 (ko) 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP7405699B2 (ja) 半導体被加工物処理システム
JP6896027B2 (ja) 半導体部品処理システム
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
US8328495B2 (en) Reduced capacity carrier, transport, load port, buffer system
US20030129045A1 (en) Universal modular wafer transport system
JP5543205B2 (ja) 半導体ワークピース処理システム
TWI557838B (zh) 容量縮小之承載具、運輸機、裝載埠、緩衝系統

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL NUMBER: 2015101006351; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20151026

Effective date: 20170106