TWI413179B - 用於溝槽與介層洞輪廓修飾之方法 - Google Patents

用於溝槽與介層洞輪廓修飾之方法 Download PDF

Info

Publication number
TWI413179B
TWI413179B TW098139358A TW98139358A TWI413179B TW I413179 B TWI413179 B TW I413179B TW 098139358 A TW098139358 A TW 098139358A TW 98139358 A TW98139358 A TW 98139358A TW I413179 B TWI413179 B TW I413179B
Authority
TW
Taiwan
Prior art keywords
substrate
etchant
top opening
sacrificial layer
flow rate
Prior art date
Application number
TW098139358A
Other languages
English (en)
Other versions
TW201027619A (en
Inventor
Mei Chang
Chien-The Kao
Xinliang Lu
Zhenbin Ge
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201027619A publication Critical patent/TW201027619A/zh
Application granted granted Critical
Publication of TWI413179B publication Critical patent/TWI413179B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Semiconductor Memories (AREA)
  • Weting (AREA)

Description

用於溝槽與介層洞輪廓修飾之方法
本發明之實施例大致係關於處理半導體基材之設備與方法。明確地說,本發明之實施例係關於在填充溝槽與介層洞之前用於溝槽與介層洞輪廓之修飾的方法與設備。
隨著半導體元件尺寸持續縮小,製造過程中形成於半導體基材上之溝槽或介層洞結構變得越來越窄而深寬比則越來越高。窄開口與高深寬比通常造成隨後材料填充處理的困難與挑戰。因此,由於填充處理過程中會封閉(pinch-off)窄開口之故,空隙更可能形成於填充材料中。
問題在填充處理需要襯墊層、阻障層或晶種層時變得更加顯著。通常利用物理氣相沉積(PVD)處理將襯墊層、阻障層或晶種層沉積於溝槽或介層洞結構上。為了達成完全覆蓋溝槽或介層洞表面,突出部分通常會在溝槽或介層洞的入口附近形成。突出部分使隨後材料填充中的封閉作用更加惡化而造成更多空隙形成於填充物中。
第1A-1B圖示意性說明溝槽與介層洞填充中的問題。第1A圖示意性說明基材10之部分剖面側視圖。溝槽結構2係形成於第一材料1中。阻障層3接著沉積於溝槽結構2上。阻障層3在溝槽結構2之入口8附近較厚並在入口8附近形成突出部分。突出部分4進一步使入口8變窄。
第1B圖示意性說明第1A圖之基材10的材料填充結果。入口8在填充溝槽結構2剩餘部分前便由填充材料5所堵塞而在溝槽結構2中形成空隙6。空隙6通常係不樂見的,特別是填充材料5為互連的導電材料(銅或鋁)、相變化記憶體單元的鍺-硒-碲(GST)填充、金屬閘極的閘極金屬填充。
傳統製造處理通常在沉積填充材料5之前應用濺射處理來修飾入口8。如第1C圖所示,電漿腔室中產生之正離子6(例如,正氬離子)係加速朝向基材10。正離子6在加速過程中獲得動量並攻擊基材10之表面。離子6物離性移開突出部分4以打開入口8,如修飾之輪廓7所示。然而,離子6亦攻擊突出部分4以外的其他區域而傷害基材10。此外,濺射過程中產生之移開微粒需要額外的清潔處理並仍可能變成隨後處理的潛在污染源。
因此,需要一種在材料填充前修飾溝槽與介層洞輪廓之效率提高且傷害減少的方法與設備。
本發明之實施例大致係關於處理半導體基材之設備與方法。明確地說,本發明之實施例係關於在填充溝槽與介層洞之前用於溝槽與介層洞輪廓之修飾的方法與設備。
一實施例提供處理基材之方法,該方法包括在基材中形成溝槽結構,其中溝槽結構的側壁包括第一材料;藉由讓基材接觸蝕刻劑而形成犧牲層以封閉溝槽結構之頂部開口,其中犧牲層包括蝕刻劑與第一材料間之反應的副產物;藉由持續讓基材接觸蝕刻劑而讓蝕刻劑與第一材料進一步反應;並自基材移除犧牲層。
另一實施例提供處理基材之方法,該方法包括在基材上形成溝槽結構,其中溝槽結構之側壁包括第一材料;拓寬溝槽結構之頂部開口,其中拓寬上方開口的步驟包括藉由讓基材接觸蝕刻劑而形成犧牲層來封閉溝槽結構之頂部開口,其中犧牲層包括蝕刻劑與第一材料間之反應的副產物;藉由持續讓基材接觸蝕刻劑而讓蝕刻劑與第一材料進一步反應;自基材移除犧牲層;並沉積第二材料來填溝槽結構。
又另一實施例提供處理基材之方法,該方法包括將基材置於處理腔室中,其中基材具有溝槽結構,而溝槽結構之側壁包括第一材料;將第一處理氣體流至處理腔室以形成犧牲層來封閉溝槽結構之頂部開口;在已經封閉頂部開口後持續第一處理氣體之流動;並退火基材以自溝槽結構移除犧牲層。
本發明之實施例大致係關於處理半導體基材之設備與方法。明確地說,本發明之實施例係關於在填充溝槽與介層洞之前用於溝槽與介層洞輪廓修飾的方法與設備。
本發明之一實施例包括藉由讓溝槽結構接觸蝕刻劑以形成犧牲層來封閉溝槽結構之頂部開口。一實施例中,蝕刻劑係設以藉由與第一材料反應並產生形成犧牲層之副產物而移除第一材料。犧牲層阻止蝕刻劑接近溝槽結構之側壁而讓更多溝槽結構之頂部開口附近的材料被移除。在自基材移除犧牲層後,溝槽結構之輪廓係經修飾以具有變寬的開口。
與傳統濺射溝槽輪廓修飾相比,本發明方法並沒有讓接受處理之基材受到物理傷害。一實施例中,乾燥蝕刻方法係用來形成犧牲層。可藉由在相同乾燥蝕刻腔室退火而移除犧牲層,藉此提高效率並降低交叉污染。
本發明之方法與設備可用來修飾裸露的溝槽與介層洞結構、以及具有襯墊層、阻障層與/或晶種層沉積於上之溝槽與介層洞結構的輪廓。
第2A-2B圖示意性說明根據本發明一實施例在材料填充之前修飾溝槽輪廓的方法。第3圖係顯示修飾第2A-2B圖中之溝槽輪廓之處理30的示意性流程圖。
處理30之文字塊32包括如第2A圖所示般在基材20之底層21中形成溝槽結構22。一實施例中,底層21以及由此而來之溝槽結構之側壁22包括第一材料。另一實施例中,形成溝槽結構22的步驟可包括沉積具有第一材料之襯墊層、阻障層或晶種層23,其可沉積於基材20上。
如第2A圖所示,溝槽結構22具有形成於頂部開口24附近的突出部分24a。
處理30之文字塊34包括藉由讓基材20接觸蝕刻劑以形成犧牲層25來封閉溝槽結構22之頂部開口24。一實施例中,蝕刻劑係設以藉由與第一材料反應並產生來自與第一材料反應之副產物來蝕刻掉第一材料。犧牲層25包括蝕刻劑與第一材料間之反應產生的副產物。
一實施例中,形成犧牲層25的步驟包括提高第一材料與蝕刻劑間之反應速率。第一材料與蝕刻劑之間提高的反應速率造成在副產物能夠均勻地形成於溝槽結構22中之前副產物快速地形成於頂部開口24附近。
一實施例中,可藉由提高一或更多蝕刻劑之處理氣體的流率來達成第一材料與蝕刻劑之間反應速率的提高。
一實施例中,形成犧牲層25的步驟包括根據目標溝槽輪廓確定第一材料與蝕刻劑之間的反應速率。較高的反應速率對應著溝槽結構22之上部與溝槽結構22之下部之間較高的移除數量比。較低的反應速率對應著上部與下部之間較低的移除數量比。因此,提高反應速率可提高側壁的開口角度而降低反應速率可降側壁的開口角度。
處理30之文字塊36包括藉由持續讓基材20接觸蝕刻劑好讓蝕刻劑與第一材料進一步反應,如第2A圖所示。
犧牲層25封閉頂部開口之後,蝕刻劑中的活性物種26依舊能夠自犧牲層25之頂表面擴散通過犧牲層25並與下方之第一材料反應。然而,擴散距離沿著溝槽結構之側壁22有顯著的變化。因此,這造成頂部開口24附近的快速蝕刻且明顯地向下減少溝槽結構之側壁22的蝕刻。
一實施例中,在犧牲層25已經封閉頂部開口24之後蝕刻劑之流率仍保持相同。另一實施例中,在封閉之後可調整蝕刻劑之流率。
一實施例中,可藉由改變蝕刻時間來達成所欲之頂部開口拓寬。
處理30之文字塊38包括移除犧牲層25並暴露溝槽結構22的修飾之輪廓27。
一實施例中,移除犧牲層25的步驟包括退火基材20以蒸發犧牲層25。一實施例中,移除犧牲層25的步驟可執行於形成犧牲層25與接連執行蝕刻之相同腔室中。
另一實施例中,移除犧牲層25的步驟包括將犧牲層25溶解於溶劑中。一實施例中,溶劑可為水。
雖然,第2A圖顯示之實例係溝槽結構具有形成於頂部開口附近之突出部分,但本發明之實施例可適用於修飾不具有突出部分的溝槽結構,例如具有相對筆直壁的溝槽結構。
如上所述,任何產生可移除之副產物的蝕刻方法可與本發明之實施例一同應用。明確地說,可根據即將蝕刻之材料挑選蝕刻劑。亦可藉由處理需求確定蝕刻劑之組成。
一實施例中,根據本發明之實施例應用乾燥蝕刻方法。本發明之實施例可應用蝕刻劑(可為含氟、氮與氫源)。
一實施例中,蝕刻劑包括三氟化氮(NF3 )與氨(NH3 )之混合物、三氟化氮(NF3 )與氫氣(H2 )之混合物、三氟化氮(NF3 )、氫氣(H2 )與氮氣(N2 )之混合物、三氟化氮(NH3 )與氟化氫(HF)之混合物、或其他類似的含氟、氮與氫源之一者。
一實施例中,蝕刻劑包括含氟源,諸如ClF3 、CH3 F、CHF3 與相似化合物。
本發明之一實施例包括產生乾燥蝕刻蝕刻劑(包括三氟化氮(NF3 )與氨(NH3 )之混合物)之電漿;並接觸三氟化氮與氨之電漿以修飾側壁包括氧化矽、矽或氮化矽之溝槽與/或介層洞結構。一實施例中,可遠端產生電漿並流至蝕刻腔室。另一實施例中,可原位地在蝕刻腔室中產生電漿。
第4圖係根據本發明一實施例修飾溝槽輪廓之處理腔室100的示意性側視圖。
處理腔室100包括蓋組件200,配置於腔室主體112之上端;及支撐組件300,至少部分地配置於腔室主體112中。處理腔室亦包括遠端電漿產生器140,其具有U-型剖面之遠端電極。處理腔室100與相關之硬體較佳係由一或更多處理-相容材料所構成,諸如鋁、電鍍鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不鏽鋼、以及上述之組合與合金。
支撐組件300係部分配置於腔室主體112中。支撐組件300係由軸314所提高與降低,而軸314係由波紋管333所封裝。腔室主體112包括形成於其之側壁中之狹縫閥開口160,以進出腔室100之內部。狹縫閥開口160係經選擇性打開與關閉以藉由晶圓搬運機器人(未顯示)進出腔室主體112之內部。晶圓搬運機器人係熟悉技術人士所習知,並可應用任何適當的機器人。一實施例中,可透過狹縫閥開口160將晶圓傳送進入與離開處理腔室100至相鄰的傳送室與/或負載鎖定腔室(未顯示)、或群集工具中的另一腔室。示意性群集工具包括(但不限於)PRODUCERTM 、CENTURATM 、ENDURATM 與ENDURASLTM 平臺,可自Applied Materials,Inc.(Santa Clara,CA)取得。
腔室主體112亦包括形成於其中之通道113以經此流動傳熱流體。傳熱流體可為加熱流體或冷卻劑,且係可用來在處理與基材傳送過程中控制腔室主體112之溫度。腔室主體112之溫度對用來避免腔室壁上氣體或副產物不欲的聚集係重要的。示範性傳熱流體包括水、乙二醇或上述之混合物。示範性傳熱流體亦包括氮氣。
腔室主體112更包括襯墊層133,其圍繞支撐組件300並可被移除好進行保養與清潔。襯墊層133較佳係由例如鋁之金屬或陶瓷材料所構成。然而,可應用任何處理相容之材料。襯墊層133可經噴珠處理(bead blasted)以提高任何沉積於其上之材料的附著力,藉此避免造成腔室100污染之材料剝落。襯墊層133通常包括一或更多形成於其中之孔135與抽吸通道129,其與真空系統流體連通。孔135提供氣體進入抽吸通道129之流動路徑,而抽吸通道提供通過襯墊層133之流動路徑以便氣體能夠離開腔室100。
真空系統可包括真空泵125與節流閥127以調控腔室100中之氣體流動。真空泵125係耦接至配置於腔室主體112上之真空埠131,並與形成於襯墊層133中之抽吸通道129流體連通。真空泵125與腔室主體112係選擇性由節流閥127所隔離以調控腔室100中之氣體流動。除非另由明示,否則可交替應用詞彙「氣體(gas或gases)」以代表一或更多前驅物、反應物、催化物、載體、清除物、清潔物、上述之組合以及任何導入腔室主體112中之其他流體。
蓋組件200包括多種堆疊在一起之組件。例如,蓋組件200包括蓋緣210、氣體輸送組件220與頂板250。蓋緣210係經設計以支撐構成蓋組件200之部件的重量,並耦接至腔室主體112之上表面以提供內部腔室部件的存取。氣體輸送組件220係耦接至蓋緣210之上表面並經配置以與其達成最小的熱接觸。舉例而言,蓋組件200之部件較佳係由導熱性高且熱阻低之材料(例如,具有高度拋光表面之鋁合金)所構成。部件之熱阻較佳係低於約5x10-4 m2 K/W。
氣體輸送組件220可包括氣體分配板225或噴頭。氣體供應面板(未顯示)係通常用來提供一或更多氣體至腔室100。應用之獨特氣體取決於即將執行於腔室100中之處理。例如,典型氣體包括一或更多前驅物、還原劑、催化劑、載體、清除物、清潔物或上述之任何混合物或組合。一般而言,將一或更多氣體引導至腔室100進入蓋組件200,接著透過氣體輸送組件220進入腔室主體112。可應用電子操控之閥與/或流量控制機制(未顯示)來控制氣體自氣體供應器流入腔室100。
一態樣中,將氣體自氣體供應面板輸送至腔室100,氣體管線於腔室100中以T字型分成兩個單獨氣體管線,其如上述般供給氣體至腔室主體112。取決於處理,可用此種方式輸送任何數目的氣體並可在腔室100中或在其輸送至腔室100之前混合。
再度參照第4圖,蓋組件200可進一步包括電極240,以在蓋組件200中產生反應物種之電漿。此實施例中,電極240係支撐於頂板250上並與其電隔離。絕緣填充環(未顯示)係配置於電極240下部周圍以分隔電極240與頂板250。環狀絕緣體(未顯示)係配置於絕緣填充環上部周圍並坐落於頂板250之上表面,如第3圖所示。環狀絕緣體(未顯示)接著係配置於電極240上部周圍以致電極240與蓋組件200之其他部件電絕緣。各個這些環、絕緣填充體與環狀絕緣體可由氧化鋁或任何其他絕緣、處理相容之材料所構成。
電極240係耦接至功率源340而氣體輸送組件220係接地。因此,在電極240與氣體輸送組件220間形成之空間中擊發一或更多處理氣體之電漿。電漿亦可容納於阻隔板形成之空間中。阻隔板組件不存在的情況下,電漿係擊發與容納於電極240與氣體輸送組件220之間。任一實施例中,電漿係充分地侷限或容納於蓋組件200中。
可應用任何能夠激發氣體成為反應物種並維持反應物種之電漿的功率源。舉例而言,可應用射頻(RF)、直流電(DC)、交流電(AC)或微波(MW)式功率放電技術。亦可藉由熱式技術、氣體分解技術、高強度光源(例如,UV能量)、或接觸X-射線源來產生激發作用。或者,可應用遠端激活源(例如,遠端電漿產生器)來產生反應物種之電漿,其接著被輸送至腔室100。示範性遠端電漿產生器係可自諸如MKS Instruments,Inc.與Advanced Energy Industries,Inc之廠商取得。RF功率供應器較佳係耦接至電極240。
可取決於處理氣體與即將執行於腔室100中之操作來加熱氣體輸送組件220。一實施例中,例如將加熱元件270(例如,電阻式加熱器)耦接至氣體輸送組件220。一實施例中,加熱元件270係管狀件且被按壓進入氣體輸送組件220之上表面。氣體輸送組件220之上表面包括溝槽或凹入式通道,其之寬度略小於加熱元件270之外直徑,以致利用干涉配合將加熱元件270固持於溝槽中。
由於輸送組件220之部件(包括氣體輸送組件220與阻擋組件230)係傳導性耦接於彼此,加熱元件270可調控氣體輸送組件220之溫度。處理腔室之額外詳情可見於2005年2月22日申請之美國專利申請案11/063,645,其以參考資料在此併入本文中。
處理腔室100係特別有用於執行電漿輔助之乾燥蝕刻處理,其需要加熱與冷卻基材表面而不破壞真空。一實施例中,處理腔室100可用來選擇性移除基材上之一或更多氧化物。
為了簡化及方便描述,現將描述執行於處理腔室100中利用氨(NH3 )與三氟化氮(NF3 )氣體混合物來移除一或更多氧化矽之示範性乾燥蝕刻處理。一般認為處理腔室100對任何能自基材加熱與冷卻兩者(包括退火處理)均在單一處理環境中之電漿處理受益之乾燥蝕刻處理係有利的。
參照第4圖,乾燥蝕刻處理開始於將基材110(例如,半導體基材)置於處理腔室100中。通常係透過狹縫閥開口160而將基材置入腔室主體112,並將基材配置於支撐構件310之上表面。可將基材110吸附於支撐構件310之上表面。較佳係藉由抽真空而將基材110吸附於支撐構件310之上表面。若尚未處於處理位置中,接著將支撐構件310提高至腔室主體112中之處理位置。腔室主體112較佳係維持於50℃與80℃之間的溫度,更加係約65℃。藉由將傳熱媒介流過通道113以維持腔室主體112之溫度。
藉由將傳熱媒介或冷卻劑流過形成於支撐組件300中之流體通道而將基材110冷卻至低於65℃,例如15℃與50℃之間。一實施例中,將基材維持低於室溫下。另一實施例中,將基材維持於22℃與40℃之間的溫度下。一般而言,將支撐構件310維持在低於約22℃下以達到上方指出之所欲基材溫度。為了冷卻支撐構件310,將冷卻劑流過形成於支撐組件300中之流體通道。連續流動的冷卻劑係較佳的,以便更好地控制支撐構件310之溫度。冷卻劑較佳係50體積百分比的乙二醇與50體積百分比的水。當然,只要可維持所欲之基材溫度,可應用任何比例的水與乙二醇。
將蝕刻氣體混合物引導至腔室100以選擇性移除基材110表面上之不同氧化物。一實施例中,接著將氨與三氟化氮氣體導入腔室100以形成蝕刻氣體混合物。導入腔室中之各個氣體數量係可改變的且可經調整以符合諸如即將移除之氧化物層的厚度、即將清潔之基材的幾何形狀、電漿之容積量(volume capacity)、腔室主體112之容積量、以及耦接至腔室主體112之真空系統的性能。
可預先確定蝕刻氣體混合物之比例以選擇性移除基材表面上之不同氧化物。一實施例中,蝕刻氣體混合物中之成分比例可經調整以均勻地移除不同的氧化物,諸如熱氧化物、沉積之氧化物與/或原生氧化物。一實施例中,蝕刻氣體混合物中之氨相對三氟化氮的莫爾比例可經設定以均勻地移除不同的氧化物。一態樣中,添加氣體以提供氨相對三氟化氮的莫爾比例至少1:1之氣體混合物。另一態樣中,氣體混合物的莫爾比例係至少約3:1(氨相對三氟化氮)。較佳係以5:1(氨相對三氟化氮)至30:1的莫爾比例將氣體導入腔室100。氣體混合物的莫爾比例更佳係約5:1(氨相對三氟化氮)至10:1。氣體混合物的莫爾比例亦可位於約10:1(氨相對三氟化氮)與約20:1之間。
亦可將淨化氣體或載氣添加至蝕刻氣體混合物。舉例而言,可應用任何適當的清除氣體/載氣,諸如氬、氦、氫、氮或上述之混合物。一般而言,整體的蝕刻氣體混合物係約0.05%至約20%體積的氨與三氟化氮。剩餘部分係載氣。一實施例中,首先在活性氣體之前將清除氣體或載氣導入腔室主體112以穩定腔室主體112中的壓力。
腔室主體112中的操作壓力係可以改變的。一般而言,壓力係維持於約500mTorr與約30Torr之間。較佳係將壓力維持於約1Torr與約10Torr之間。更佳係將腔室主體112中的操作壓力維持於約3Torr與約6Torr之間。
將約5與約600瓦特之間的RF功率施加於電極240以在容納於氣體輸送組件220中之空間261、262與263中點燃氣體混合物的電漿。RF功率較佳係低於100瓦特。更佳的是施加功率之頻率係非常低,例如低於100kHz。頻率範圍較佳係約50kHz至約90kHz之間。
電漿能量分離氨與三氟化氮氣體成為反應物種,其經化合而形成高度活性的氣相氟化銨(NH4 F)化合物與/或氟化氫銨(NH4 F‧HF)。這些分子接著透過氣體分配板225之孔225A流過氣體輸送組件220以與即將處理之基材表面反應。一實施例中,首先將載氣導入腔室100、產生載氣之電漿、並接著將活性氣體(氨與三氟化氮)添加至電漿。
不受限於理論,但咸信蝕刻劑氣體(NH4 F與/或NH4 F‧HF)與氧化矽表面反應以形成六氟矽酸銨(NH4)2 SiF6 、NH3 與H2 O產物。NH3 與H2 O在處理條件下係氣相並藉由真空泵125自腔室100移除。明確地說,在氣體通過真空埠131離開腔室100進入真空泵125之前,揮發性氣體透過形成於襯墊層133中之孔135流入抽吸通道129。將(NH4)2 SiF6 之薄膜留在基材表面上。此反應機制可概述如下:
NF3 +3NH3 →NH4 F+NH4 F‧HF+N2
6NH4 F+SiO2 →(NH4)2 SiF6 +2H2 O+4NH3
(NH4)2 SiF6 +熱→2NH3 +2HF+SiF4
在基材表面上形成薄膜之後,可將支撐構件310提高至相當接近加熱之氣體分配板225的退火位置。氣體分配板225輻射之熱量可分離或昇華(NH4 )2 SiF6 之薄膜成為揮發性SiF4 、NH3 與HF產物。接著藉由真空泵125而如同上述般將這些揮發性產物自腔室100移除。一般而言,75℃或更高的溫度係用來有效地自基材110昇華與移除薄膜。較佳係應用100℃或更高的溫度,例如約115℃與約200℃之間。
用於將(NH4 )2 SiF6 之薄膜分離成其之揮發性成分的熱能係由氣體分配板225對流或輻射而來。如上所述,加熱元件270係直接耦接至分配板225,並經啟動以加熱分配板225以及與其熱接觸之部件至約75℃與250℃之間的溫度。一態樣中,分配板225係加熱至100℃與150℃之間的溫度,例如約120℃。
具有薄膜於其上之基材110上表面與分配板225之間的距離並非關鍵性的且係例行實驗之問題。熟悉技術人士可輕易確定有效率與有效地蒸發薄膜而不傷害下方基材所需之間隔。然而,咸信約0.254 mm(10密爾)與5.08 mm(200密爾)之間的間隔係有效的。
一但已經自基材移除薄膜之後,可清除與排空處理腔室100。接著藉由降低支撐組件300至傳送位置、釋放基材、並傳送基材通過狹縫閥開口160而自腔室主體112移除處理之基材。
實施例:
蝕刻過程中,將2 sccm的NF3、10 sccm的NH3與2,500 sccm的氬之氣體混合物導入真空腔室。利用100瓦特之 功率點燃氣體混合物之電漿。供應1,500 sccm的氬至腔室下部以用於底部清除。供應50 sccm的氬至基材支撐件之邊緣部分附近以用於邊緣清除。腔室壓力係維持於約6 Torr下,而基材溫度係約22℃。基材係經蝕刻長達120秒。
退火過程中,基材與加熱之腔室蓋之間的間距係750密爾而蓋溫度係120℃。基材係經退火長達約60秒。自基材表面移除約50埃的材料。並無發現退火效應。蝕刻速度係約0.46埃/秒(28Å/分)。觀察到的蝕刻均勻性對50Å蝕刻係約5%。
除非另有明示,否則本說明書與申請專利範圍中用來表示成分數量、特性、反應條件等等之所有數字應理解為近似值。這些近似值係以本發明企圖得到之所欲特性與測量誤差為基礎,並應至少依照記述之有效數字並藉由通常循環應用之技術而加以理解。再者,任何本文陳述之數量(包括溫度、壓力、間距、莫爾比例、流率等等)可進一步最佳化以達到所欲之蝕刻選擇性與特定性能。
Siconi蝕刻方法與設備的額外描述可見於美國專利申請公開案2007/0123051「Oxide Etch with NH3 -NF3 Chemistry」,其藉由參考資料併入本文中。
第5A-5B圖示意性描述根據本發明另一實施例修飾溝槽輪廓。
如第5A圖所示,溝槽結構52係形成於基材50中。溝槽結構52係形成穿過包括第一材料之第一層53,並進入包括第二材料之第二層51。溝槽結構52之側壁52a包括上部的第一材料與下部的第二材料。
藉由讓基材50接觸蝕刻劑以形成犧牲層54於基材50上好封閉溝槽結構52之頂部開口52b。一實施例中,蝕刻劑係設以藉由與第一及第二材料反應並產生來自與第一材料及第二材料反應之副產物來蝕刻第一材料與第二材料兩者。犧牲層54包括蝕刻劑與第一材料之間以及蝕刻劑與第二材料之間反應產生的副產物。
一實施例中,蝕刻劑蝕刻第一材料遠快於蝕刻劑蝕刻第二材料。如第5A圖所示,蝕刻劑與第一材料快速地反應而犧牲層54封閉包括第一材料之頂部開口52b,而蝕刻劑與第二材料反應相對較慢而犧牲層54在溝槽結構52底部係非常薄的。
一實施例中,第一材料包括氧化矽、第二材料包括低-k材料、而蝕刻劑包括氨與三氟化氮之混合物,其蝕刻氧化矽的速度遠快於其蝕刻低-k材料。
類似於上述之處理30,蝕刻劑可持續流過基材50以進一步與第一材料反應並藉由擴散通過犧牲層54而與第二材料反應。因此,可蝕刻更多的材料。然而,蝕刻速率沿著側壁52向下而減緩。一實施例中,可針對理想溝槽拓寬而改變蝕刻時間與/或蝕刻劑流率。
接下來,如第5B圖所示般移除犧牲層54而暴露修飾之溝槽輪廓57。原始的溝槽輪廓以第5B圖之虛線顯示。比較原始的溝槽輪廓與修飾之溝槽輪廓57,顯示出已經自溝槽結構52之上部移除更多的材料而提供變寬之頂部開口。
一實施例中,犧牲層54的形成、持續蝕刻、及犧牲層54的移除可執行於相同的處理腔室中,例如第4圖的處理腔室100。
第5C圖示意性描繪基材50接下來形成之襯墊膜55與填充材料56。拓寬之溝槽輪廓可讓填充材料56形成於溝槽結構52中而不形成空隙。
雖然此處描述係一種乾燥蝕刻方法,但可依照本發明實施例應用任何可藉由與即將蝕刻材料反應並產生副產物而作用之適當蝕刻劑。
本發明之實施例可用於任何需要溝槽與/或介層洞輪廓修飾作用之情況。例如,本發明之實施例可用來在沉積導電材料(諸如,銅或鋁)之前修飾溝槽與介層洞輪廓以形成晶片互連。本發明之實施例亦可在製造相變化記憶體單元的鍺-硒-碲(GST)填充之前用來修飾溝槽與介層洞輪廓。本發明之實施例亦可在製造電晶體中之閘極金屬填充之前用來修飾溝槽與/或介層洞輪廓。
雖然上述係針對本發明之實施例,但可在不悖離本發明之基本範圍下設計出本發明之其他與更多實施例,而本發明之範圍係由下方之申請專利範圍所界定。
1‧‧‧第一材料
2、22、52‧‧‧溝槽結構
3‧‧‧阻障層
4、24a‧‧‧突出部分
5‧‧‧填充材料
6‧‧‧空隙
7、27‧‧‧修飾之輪廓
8‧‧‧入口
10、20、50、110‧‧‧基材
21‧‧‧底層
23‧‧‧晶種層
24、52b‧‧‧頂部開口
25、54‧‧‧犧牲層
26‧‧‧活性物種
30‧‧‧處理
32、34、36、38‧‧‧文字塊
51‧‧‧第二層
52a‧‧‧側壁
53‧‧‧第一層
55‧‧‧襯墊膜
56‧‧‧填充材料
57‧‧‧溝槽輪廓
100‧‧‧處理腔室
112‧‧‧腔室主體
113‧‧‧通道
125‧‧‧真空泵
127‧‧‧節流閥
129‧‧‧抽吸通道
131‧‧‧真空埠
133‧‧‧襯墊層
135‧‧‧孔
140‧‧‧遠端電漿產生器
160‧‧‧狹縫閥開口
200‧‧‧蓋組件
210‧‧‧蓋緣
220‧‧‧氣體輸送組件
225A‧‧‧孔
225‧‧‧氣體分配板
230‧‧‧阻擋組件
240‧‧‧電極
250‧‧‧頂板
261、262、263‧‧‧空間
270‧‧‧加熱元件
300‧‧‧支撐組件
310‧‧‧支撐構件
314‧‧‧軸
333‧‧‧波紋管
340‧‧‧功率源
為了更詳細地了解本發明之上述特徵,可參照實施例(某些描繪於附圖中)來理解本發明簡短概述於上之特定描述。然而,需注意附圖僅描繪本發明之典型實施例而因此不被視為其之範圍的限制因素,因為本發明可允許其他等效實施例。
第1A-1B圖示意性描述溝槽與介層洞填充中之問題。
第1C圖示意性描述修飾溝槽與介層洞輪廓的傳統方法。
第2A-2B圖示意性描述根據本發明一實施例在材料填充之前修飾溝槽輪廓之方法。
第3圖係顯示根據本發明一實施例修飾溝槽輪廓之處理的示意性流程圖。
第4圖係根據本發明一實施例用於修飾溝槽輪廓之腔室的示意性側視圖。
第5A-5C圖示意性描述根據本發明另一實施例修飾溝槽輪廓之方法。
為了促進理解,盡可能應用相同的元件符號來標示圖示中相同的元件。預期一實施例揭露之某些元件可有利地用於其他實施例而不需特別列舉。
30...處理
32、34、36、38...文字塊

Claims (20)

  1. 一種用於一基材上溝槽與介層洞輪廓修飾之方法,包括:於該基材上之一底層中形成一結構,其中該結構具有一底部、一頂部開口與數個側壁,該些側壁自該底部延伸至該頂部開口,且該結構之該底部與該些側壁包括一第一材料;以一第一流率流動一蝕刻劑而形成一犧牲層來封閉(pinch off)該頂部開口,其中該犧牲層包括該蝕刻劑與該第一材料間之一反應的一副產物;藉由持續讓該基材接觸該蝕刻劑而讓該蝕刻劑與該第一材料進一步反應;及自該基材移除該犧牲層。
  2. 如申請專利範圍第1項所述之方法,其中該讓該蝕刻劑進一步反應的步驟係設以藉由與該第一材料反應並產生該副產物而移除該第一材料。
  3. 如申請專利範圍第2項所述之方法,其中該流動一蝕刻劑而形成一犧牲層的步驟包括:提高該第一流率以提高該結構之頂部開口附近的一蝕刻速率與該結構之一底部附近的一蝕刻速率之一比例。
  4. 如申請專利範圍第3項所述之方法,其中該蝕刻劑包括一第一處理氣體與一第二處理氣體,而提高該第一流率的步驟包括提高該第一處理氣體的流率。
  5. 如申請專利範圍第2項所述之方法,其中該第一材料包括氧化矽、氮化矽或上述之組合之一者,而該蝕刻劑包括一含氟、含氮與含氫源。
  6. 如申請專利範圍第2項所述之方法,其中該流動一蝕刻劑而形成一犧牲層的步驟包括讓該基材接觸一由該蝕刻劑產生之電漿。
  7. 如申請專利範圍第6項所述之方法,其中該電漿係產生於遠端並流至一處理腔室,該處理腔室中配置有該基材。
  8. 如申請專利範圍第6項所述之方法,其中該電漿係原位產生於一處理腔室中,該處理腔室中配置有該基材。
  9. 一種用於一基材上溝槽與介層洞輪廓修飾之方法,包括:於該基材上之一底層中形成一結構,其中該結構具有一底部、一頂部開口與數個側壁,該些側壁自該底部延 伸至該頂部開口,且該結構之該底部與該些側壁包括一第一材料;拓寬該結構之該頂部開口,其中該拓寬該結構之該頂部開口的步驟包括:藉由讓該基材接觸一蝕刻劑而形成一犧牲層來封閉該結構之該頂部開口,其中該犧牲層包括該蝕刻劑與該第一材料間之一反應的一副產物;藉由持續讓該基材接觸該蝕刻劑而讓該蝕刻劑與該第一材料進一步反應;及自該基材移除該犧牲層;及沉積一第二材料以填充該結構。
  10. 如申請專利範圍第9項所述之方法,其中該讓該蝕刻劑進一步反應的步驟係設以藉由與該第一材料反應以產生該副產物來移除該第一材料。
  11. 如申請專利範圍第10項所述之方法,其中該形成一犧牲層的步驟包括:確定該蝕刻劑之一第一流率好讓該副產物封閉該頂部開口;及在該第一流率下流動該蝕刻劑以封閉該頂部開口。
  12. 如申請專利範圍第11項所述之方法,其中該拓寬一頂部開口的步驟更包括: 提高該第一流率以增加拓寬程度。
  13. 如申請專利範圍第11項所述之方法,其中:該第一材料包括氮化矽、氧化矽、或上述之組合之一者,及該蝕刻劑包括三氟化氮(NF3 )與氨(NH3 )之一混合物、三氟化氮(NF3 )與氫氣(H2 )之一混合物、三氟化氮(NF3 )、氫氣(H2 )與氮氣(N2 )之一混合物、或三氟化氮(NH3 )與氟化氫(HF)之一混合物之一者。
  14. 如申請專利範圍第13項所述之方法,其中該第二材料包括一用於互連結構之導電材料、用於相變化記憶體之鍺-硒-碲(GST)填充、或用於金屬閘極之閘極金屬填充之一者。
  15. 如申請專利範圍第13項所述之方法,其中該移除該犧牲層的步驟包括退火該基材。
  16. 如申請專利範圍第9項所述之方法,更包括:在拓寬該頂部開口之前,沉積一襯墊層於該基材上,其中該襯墊層包括該第一材料。
  17. 一種用於一基材上溝槽與介層洞輪廓修飾之方法,包 括:將該基材置於一處理腔室中,其中該基材具有一結構,該結構形成於該基材上之一底層中,其中該結構具有一底部、一頂部開口與數個側壁,該些側壁自該底部延伸至該頂部開口,且該結構之該底部與該些側壁包括一第一材料;流動一第一處理氣體至該處理腔室,以形成一犧牲層來封閉該結構之該頂部開口;在已經封閉該頂部開口之後持續該第一處理氣體之流動;及退火該基材以自該結構移除該犧牲層。
  18. 如申請專利範圍第17項所述之方法,更包括:確定該第一處理氣體之一第一流率,好讓該副產物封閉該結構之該頂部開口,其中該第一處理氣體係設以藉由與該第一材料反應以產生該副產物而移除該第一材料。
  19. 如申請專利範圍第18項所述之方法,其中該確定一第一流率的步驟包括:提高該第一流率以在移除該犧牲層之後得到一更加拓寬之頂部開口;及降低該第一流率以在移除該犧牲層之後得到一較沒拓寬之頂部開口。
  20. 如申請專利範圍第19項所述之方法,其中該第一處理氣體包括三氟化氮(NF3 )與氨(NH3 )之一混合物、三氟化氮(NF3 )與氫氣(H2 )之一混合物、三氟化氮(NF3 )、氫氣(H2 )與氮氣(N2 )之一混合物、或三氟化氮(NH3 )與氟化氫(HF)之一混合物之一者。
TW098139358A 2008-11-24 2009-11-19 用於溝槽與介層洞輪廓修飾之方法 TWI413179B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11753108P 2008-11-24 2008-11-24

Publications (2)

Publication Number Publication Date
TW201027619A TW201027619A (en) 2010-07-16
TWI413179B true TWI413179B (zh) 2013-10-21

Family

ID=42196677

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098139358A TWI413179B (zh) 2008-11-24 2009-11-19 用於溝槽與介層洞輪廓修飾之方法

Country Status (6)

Country Link
US (2) US7994002B2 (zh)
JP (2) JP5319782B2 (zh)
KR (1) KR101148252B1 (zh)
CN (2) CN103824746B (zh)
TW (1) TWI413179B (zh)
WO (1) WO2010059868A2 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110180905A1 (en) * 2008-06-10 2011-07-28 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRYSTALLINITY
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
GB2487716B (en) 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102800577B (zh) * 2011-05-26 2015-07-08 中芯国际集成电路制造(上海)有限公司 金属栅极及mos晶体管的形成方法
US8815734B2 (en) 2011-11-07 2014-08-26 International Business Machines Corporation Use of gas cluster ion beam to reduce metal void formation in interconnect structures
CN102709188A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
CN102709173A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
JP2015012243A (ja) * 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法
CN104425710B (zh) * 2013-08-20 2017-05-17 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
WO2015199640A1 (en) * 2014-06-23 2015-12-30 Applied Materials, Inc. Method of depositing a layer in a via or trench and products obtained thereby
CN105742231B (zh) * 2014-12-11 2020-04-24 中国科学院微电子研究所 形成纳米线阵列的方法
CN106033714A (zh) * 2015-03-10 2016-10-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10170300B1 (en) * 2017-11-30 2019-01-01 Tokyo Electron Limited Protective film forming method
US11195759B2 (en) * 2018-11-30 2021-12-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
JP7346218B2 (ja) 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
CN109545963B (zh) * 2018-12-12 2022-09-30 北京时代全芯存储技术股份有限公司 制造相变化记忆体的方法
CN109706066B (zh) * 2018-12-29 2022-08-26 赛纳生物科技(北京)有限公司 基因测序芯片微坑表面修饰方法
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
KR20220041358A (ko) 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
CN113506771B (zh) * 2021-07-23 2022-12-09 长江存储科技有限责任公司 半导体结构的制作方法以及半导体结构
US20230136499A1 (en) * 2021-10-31 2023-05-04 Applied Materials, Inc. Selective Passivation Of Damaged Nitride

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
EP0376252A2 (en) * 1988-12-27 1990-07-04 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20020137337A1 (en) * 2001-03-23 2002-09-26 Jiong-Ping Lu Use of a sacrificial layer to facilitate metallization for small features
CN1822328A (zh) * 2005-02-18 2006-08-23 东京毅力科创株式会社 立式分批处理装置
US20060223323A1 (en) * 2001-10-09 2006-10-05 Liang-Yuh Chen Method of forming an interconnect structure

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3613181C2 (de) * 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
TW304293B (en) * 1996-11-18 1997-05-01 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6127237A (en) * 1998-03-04 2000-10-03 Kabushiki Kaisha Toshiba Etching end point detecting method based on junction current measurement and etching apparatus
JP3178412B2 (ja) * 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
US6271147B1 (en) * 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6670278B2 (en) * 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
KR20040066170A (ko) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6500728B1 (en) * 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US20040256353A1 (en) * 2003-04-24 2004-12-23 Tokyo Electron Limited Method and system for deep trench silicon etch
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
JP2009094307A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd エッチング方法及び記録媒体
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
EP0376252A2 (en) * 1988-12-27 1990-07-04 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US20020137337A1 (en) * 2001-03-23 2002-09-26 Jiong-Ping Lu Use of a sacrificial layer to facilitate metallization for small features
US20060223323A1 (en) * 2001-10-09 2006-10-05 Liang-Yuh Chen Method of forming an interconnect structure
CN1822328A (zh) * 2005-02-18 2006-08-23 东京毅力科创株式会社 立式分批处理装置

Also Published As

Publication number Publication date
JP2013258408A (ja) 2013-12-26
JP5518239B2 (ja) 2014-06-11
CN103824746A (zh) 2014-05-28
CN103824746B (zh) 2017-03-01
JP5319782B2 (ja) 2013-10-16
KR20110097884A (ko) 2011-08-31
WO2010059868A2 (en) 2010-05-27
US7994002B2 (en) 2011-08-09
CN102224573B (zh) 2014-03-19
US20110294258A1 (en) 2011-12-01
WO2010059868A3 (en) 2010-08-19
US8268684B2 (en) 2012-09-18
CN102224573A (zh) 2011-10-19
TW201027619A (en) 2010-07-16
US20100129958A1 (en) 2010-05-27
JP2012510164A (ja) 2012-04-26
KR101148252B1 (ko) 2012-05-21

Similar Documents

Publication Publication Date Title
TWI413179B (zh) 用於溝槽與介層洞輪廓修飾之方法
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US10283353B2 (en) Method of reforming insulating film deposited on substrate with recess pattern
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
US8748322B1 (en) Silicon oxide recess etch
US9754800B2 (en) Selective etch for silicon films
JP6440716B2 (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
US9093390B2 (en) Conformal oxide dry etch
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
US9508561B2 (en) Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
JP2002517901A (ja) 抵抗率の低下のための堆積膜の処理方法
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
JP3887123B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees