JP2012510164A - トレンチ及びビアの断面形状を変形させる方法及び装置 - Google Patents

トレンチ及びビアの断面形状を変形させる方法及び装置 Download PDF

Info

Publication number
JP2012510164A
JP2012510164A JP2011537631A JP2011537631A JP2012510164A JP 2012510164 A JP2012510164 A JP 2012510164A JP 2011537631 A JP2011537631 A JP 2011537631A JP 2011537631 A JP2011537631 A JP 2011537631A JP 2012510164 A JP2012510164 A JP 2012510164A
Authority
JP
Japan
Prior art keywords
substrate
etchant
sacrificial layer
trench structure
upper opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011537631A
Other languages
English (en)
Other versions
JP2012510164A5 (ja
JP5319782B2 (ja
Inventor
メイ チャン,
チェン−テク カオ,
ジン リャン リュ,
チェンビン ガー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012510164A publication Critical patent/JP2012510164A/ja
Publication of JP2012510164A5 publication Critical patent/JP2012510164A5/ja
Application granted granted Critical
Publication of JP5319782B2 publication Critical patent/JP5319782B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/066Shaping switching materials by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Weting (AREA)

Abstract

本発明の実施形態は、概して、半導体基板を処理する装置及び方法に関するものである。具体的には、本発明の実施形態は、トレンチ及びビアの断面形状を、トレンチ及びビアへの充填を行なう前に変形させる方法及び装置に関するものである。本発明の一実施形態では、トレンチ構造をエッチング液に曝すことにより、犠牲層を形成してトレンチ構造の上部開口部を閉塞する。一実施形態では、エッチング液は、第1材料と反応して犠牲層を形成する副生成物を生成することにより、第1材料を除去するように調製される。

Description

本発明の実施形態は、概して、半導体基板を処理する装置及び方法に関するものである。具体的には、本発明の実施形態は、トレンチ及びビアの断面形状を、トレンチ及びビアへの充填を行なう前に変形させる方法及び装置に関するものである。
半導体素子の寸法が縮小し続けていることにより、製造中に半導体基板に形成されるトレンチ構造又はビア構造が益々狭くなっており、アスペクト比が高くなっている。開口部が狭くなり、かつアスペクト比が高くなると、普通、後続の材料充填プロセスが困難となり、且つ非常に難しい解決課題が生じる。その結果、狭い開口部が充填プロセス中に閉塞されてしまうため、ボイドが充填材料に形成され易くなる。
問題は、ライナー層、バリア層、又はシード層が充填プロセスに必要とされる場合に大きくなる。ライナー層、バリア層、又はシード層は、普通、トレンチ構造又はビア構造に、物理化学気相堆積(PVD)プロセスを使用して堆積させる。トレンチ表面又はビア表面を被覆するカバレッジを完全にするために、普通、オーバーハングがトレンチ又はビアの入口近傍に形成される。オーバーハングは、次の材料充填を行なう際の閉塞状態を悪化させて、充填時に発生するボイドを増加させてしまう。
図1A〜1Bは、トレンチ及びビアの充填における問題を模式的に示している。図1Aは、基板10の部分側部断面図を模式的に示している。トレンチ構造2は第1材料1内に形成される。次に、バリア層3を、トレンチ構造2を覆うように堆積させる。バリア層3の厚みは、トレンチ構造2の入口8の近傍で大きく、バリア層はオーバーハング4を入口8の近傍に形成する。オーバーハング4は入口8を更に狭くする。
図1Bは、図1Aの基板10の材料充填結果を模式的に示している。入口8は、トレンチ構造2の残りの部分への充填が行なわれる前に充填材料5によって閉塞されて、トレンチ構造2内にボイド6を形成してしまう。ボイド6は、普通、充填材料5が配線用の導電材料(銅又はアルミニウム)である場合、ゲルマニウム−セレン−テルル(GST)を充填して相変化メモリセルを形成する場合、及びゲート金属を充填して金属ゲートを形成する場合に、特に望ましくない。
従来の形成プロセスでは、普通、スパッタリングプロセスを使用して、充填材料5を堆積させる前に、入口8を変形させる。図1Cに示すように、プラズマチャンバ内で生成される正に帯電したアルゴンイオンのような正イオン6が基板10に向かって加速される。これらの正イオン6は、加速中に運動量を獲得し、基板10の上面を叩く。これらのイオン6は、オーバーハング4を物理的に削って、変形後断面形状7に示すように、入口8の幅を広げる。しかしながら、これらのイオン6は、オーバーハング4の外側にある他の領域も叩いて基板10にダメージを与える。更に、剥離したパーティクルがスパッタリング中に発生すると、クリーニングプロセスを追加する必要があり、後続の処理の潜在的な汚染源になりうる。
したがって、トレンチ及びビアの断面形状を材料充填前に、効率を高めながら、かつダメージを小さくしながら変形させる方法及び装置が必要になる。
本発明の実施形態は、概して、半導体基板を処理する装置及び方法に関するものである。具体的には、本発明の実施形態は、トレンチ及びビアへの充填を行なう前に、トレンチ及びビアの断面形状を変形させる方法及び装置に関するものである。
一実施形態は基板を処理する方法を提供し、本方法は、基板上にトレンチ構造を形成する工程であって、トレンチ構造の側壁が第1材料を含むトレンチ構造を形成する工程と、基板をエッチング液に曝すことにより、犠牲層を形成してトレンチ構造の上部開口部を閉塞する工程であって、犠牲層が、エッチング液と第1材料との反応副生成物を含む工程と、基板をエッチング液に曝し続けることにより、エッチング液を第1材料と更に反応させる工程と、犠牲層を基板から除去する工程とを含む。
別の実施形態は基板を処理する方法を提供し、本方法は、基板上にトレンチ構造を形成する工程であって、トレンチ構造の側壁が第1材料を含む工程と、トレンチ構造の上部開口部を広げる工程であって、基板をエッチング液に曝すことにより、犠牲層を形成してトレンチ構造の上部開口部を閉塞するもので、犠牲層が、エッチング液と第1材料との反応副生成物を含む工程と、基板をエッチング液に曝し続けることにより、エッチング液を第1材料と更に反応させる工程と、犠牲層を基板から除去する工程と、第2材料を堆積させてトレンチ構造に充填する工程とを含む。
更に別の実施形態は基板を処理する方法を提供し、本方法は、トレンチ構造を有する基板を処理チャンバ内に配置する工程であって、トレンチ構造の側壁が第1材料を含む工程と、第1処理ガスを処理チャンバに流して犠牲層を形成することにより、トレンチ構造の上部開口部を閉塞する工程と、上部開口部を閉塞した後、第1処理ガスを流し続ける工程と、基板をアニールしてトレンチ構造から犠牲層を除去する工程とを含む。
本発明の上述の特徴を詳細に理解することができるように、上に簡単に要約した本発明の更に詳細な説明を、添付図面に幾つかが示されている実施形態を参照することにより行う。しかしながら、添付図面は、本発明の代表的な実施形態を示しているに過ぎず、したがって本発明の範囲を限定するものとして解釈されるべきではなく、本発明は他の同様に効果的な実施形態を包含することができる。
図1Aは、トレンチ及びビアの充填における問題を模式的に示している。 図1Bは、トレンチ及びビアの充填における問題を模式的に示している。 図1Cは、トレンチ及びビアの断面形状を変形させる従来の方法を模式的に示している。 図2A及びBは、本発明の一実施形態によりトレンチ断面形状を材料充填前に変形させる方法を模式的に示している。 図3は、本発明の一実施形態による、トレンチ断面形状を変形させるプロセスを示す模式的フローチャートである。 図4は、本発明の一実施形態による、トレンチ断面形状を変形させるチャンバの模式側面図である。 図5A〜Cは、本発明の別の実施形態による、トレンチ断面形状を変形させる方法を模式的に示している。
理解を容易にするために、同じ参照番号を出来る限り使用して、複数の図に共通する同じ構成要素を示している。一実施形態において開示される構成要素は、特に断らなくとも他の実施形態において有利に利用できる。
本発明の実施形態は概して、半導体基板を処理する装置及び方法に関するものである。具体的には、本発明の実施形態は、トレンチ及びビアの断面形状を、トレンチ及びビアへの充填を行なう前に変形させる方法及び装置に関するものである。
本発明の一実施形態では、トレンチ構造をエッチング液に曝すことにより、犠牲層を形成してトレンチ構造の上部開口部を閉塞する。一実施形態では、エッチング液は、当該エッチング液を第1材料と反応させ、犠牲層を形成する副生成物を生成することにより第1材料を除去するように調製される。犠牲層でエッチング液がトレンチ構造の側壁に達するのを抑制することにより、トレンチ構造の上部開口部の近傍から更に多量の材料を除去することができる。トレンチ構造の断面形状を変形させて、犠牲層を基板から除去した後にトレンチ構造が幅広の開口部を有するようにする。
従来のスパッタリングによるトレンチ断面形状の変形と比較すると、本発明の方法では、処理対象の基板が物理的なダメージに曝されることがない。一実施形態では、ドライエッチング方法を使用して犠牲層を形成する。犠牲層は、同じドライエッチングチャンバ内でアニールすることにより除去することができるので、効率が高まり、かつ相互汚染が低減される。
本発明の方法及び装置を使用することにより、その上にライナー層、バリア層、及び/又はシード層が堆積されたトレンチ構造及びビア構造上の、形成直後のトレンチ構造及びビア構造の断面形状を変形させることができる。
図2A〜2Bは、本発明の一実施形態によるトレンチ断面形状を、材料充填前に変形させる方法を模式的に示している。図3は、図2A〜2Bに示すトレンチの断面形状を変形させるプロセス30を示す模式フローチャートである。
プロセス30のブロック32は、図2Aに示すように、基板20のベース層21内にトレンチ構造22を形成する工程を含む。一実施形態では、ベース層21、従ってトレンチ構造22の側壁は第1材料を含む。別の実施形態では、トレンチ構造22を形成する工程は、第1材料を有するライナー層、バリア層、又はシード層23を、基板20を覆うように堆積させる工程を含むことができる。
図2Aに示すように、トレンチ構造22は、上部開口部24の近傍に形成されるオーバーハング24aを有する。
プロセス30のブロック34は、基板20をエッチング液に曝すことにより、犠牲層25を形成してトレンチ構造22の上部開口部24を閉塞する工程を含む。一実施形態では、エッチング液は、当該エッチング液を第1材料と反応させ、第1材料との反応に由来する副生成物を生成することにより、第1材料をエッチング除去するように調製される。犠牲層25は、エッチング液と第1材料との反応により生成される副生成物を含む。
一実施形態では、犠牲層25を形成する工程は、第1材料とエッチング液との反応速度を大きくする工程を含む。第1材料とエッチング液との反応速度を大きくすると、副生成物がトレンチ構造22内に一様に形成される前に、副生成物が上部開口部24の近傍に迅速に形成されるようになる。
一実施形態では、第1材料とエッチング液との反応速度を大きくする工程は、エッチング液の1種類以上の処理ガスの流量を大きくすることにより行なうことができる。
一実施形態では、犠牲層25を形成する工程は、目標とするトレンチ断面形状に従って、第1材料とエッチング液との反応速度を決定する工程を含む。反応速度を大きくすることは、トレンチ構造22の上部とトレンチ構造22の下部との間の除去量の比が高くなることに相当する。反応速度を小さくすることは、上部と下部との間の除去量の比が低くなることに相当する。したがって、反応速度を大きくすると、側壁の開口角度が大きくなり、反応速度を小さくすると、側壁の開口角度が小さくなる。
プロセス30のブロック36では、図2Aに示すように、基板20をエッチング液に曝し続けることにより、エッチング液を第1材料と更に反応させることができる。
犠牲層25で上部開口部が閉塞された後、エッチング液の活性種26は、犠牲層25を通って犠牲層25の上面から拡散し、当該犠牲層下の第1材料と反応し続けることができる。しかしながら、拡散距離は、トレンチ構造22の側壁に沿って大きく変化する。したがって、これにより上部開口部24の周りのエッチングが高速に進行し、トレンチ構造22の側壁に沿ったエッチングが大幅に遅れる。
一実施形態では、エッチング液の流量は、犠牲層25で上部開口部24が閉塞された後も同じままでよい。別の実施形態では、エッチング液の流量は、閉塞後に調整してもよい。
一実施形態では、上部開口部の幅は、エッチング時間を変化させることにより所望の広さとすることができる。
プロセス30のブロック38では、犠牲層25を除去し、トレンチ構造22の変形断面形状27を露出させる。
一実施形態では、犠牲層25を除去することは、基板20をアニールして犠牲層25を蒸発させることを含むことができる。一実施形態では、犠牲層25を除去することは、犠牲層25が形成され、且つエッチングが継続されるのと同じチャンバ内で行なうことができる。
別の実施形態では、犠牲層25を除去することは、犠牲層25を溶媒に溶解させることを含む。一実施形態では、溶媒は水とすることができる。
図2Aは、上部開口部の近傍に形成されるオーバーハングを有するトレンチ構造の一実施例を示しているが、本発明の実施形態は、比較的真っ直ぐな壁を有するトレンチ構造のような、オーバーハングの無いトレンチ構造を変形させるために適用することができる。
上述のように、除去可能な副生成物を生成するいずれのエッチング方法も、本発明の実施形態に使用することができる。具体的には、エッチング液は、エッチング対象の材料に応じて選択することができる。エッチング液の組成は、プロセス要件により決定することもできる。
一実施形態では、ドライエッチング方法を本発明の実施形態に従って使用する。本発明の実施形態では、フッ素、窒素、及び水素を含むソースガスとすることができるエッチング液を使用することができる。
一実施形態では、エッチング液は、三フッ化窒素(NF)及びアンモニア(NH)の混合物、三フッ化窒素(NF)及び水素(H)の混合物、三フッ化窒素(NF)、水素(H)、及び窒素(N)の混合物、三フッ化窒素(NF)及びフッ化水素(HF)の混合物のうちの1つ、又は他の同様のフッ素、窒素、及び水素を含むソースガスを含む。
一実施形態では、エッチング液は、ClF3、CH3F、CHF3、及び同様の化合物のようなフッ素含有ソースガスを含む。
本発明の一実施形態では、三フッ化窒素(NF3)及びアンモニア(NH3)の混合物を含むドライエッチングエッチング液のプラズマを生成し、三フッ化窒素及びアンモニアのプラズマに曝して、酸化シリコン、シリコン、又は窒化シリコンを含む側壁を有するトレンチ構造及び/又はビア構造を変形させる。一実施形態では、プラズマは、離れた場所で生成し、エッチングチャンバに流し込むことができる。別の実施形態では、プラズマは、エッチングチャンバ内でその場で発生させることができる。
図4は、本発明の一実施形態による、トレンチ断面形状を変形させる処理チャンバ100の模式側面図である。
処理チャンバ100は、チャンバ本体112の上端に配置される蓋アセンブリ200と、チャンバ本体112内に少なくとも部分的に配置される支持アセンブリ300とを備える。処理チャンバは更に、U字形断面のリモート電極を有するリモートプラズマジェネレータ140を含む。処理チャンバ100及び関連ハードウェアは、1種類以上のプロセスに適合した材料、例えばアルミニウム、陽極酸化アルミニウム、ニッケルめっきアルミニウム、ニッケルめっきアルミニウム6061−T6、ステンレス鋼、ならびにこれらの材料の組み合わせ、及び合金により形成されることが好ましい。
支持アセンブリ300は、チャンバ本体112内に部分的に配置される。支持アセンブリ300は、ベローズ333で覆われたシャフト314により上下させる。チャンバ本体112は、当該チャンバ本体の側壁に形成されるスリットバルブ開口部160を含むことにより、チャンバ100の内部への進入を可能にしている。スリットバルブ開口部160は、選択的に開閉して、ウェハハンドリングロボット(図示せず)のチャンバ本体112内部への進入を可能にしている。ウェハハンドリングロボットは当業者には公知であり、いずれの適切なロボットを使用してもよい。一実施形態では、スリットバルブ開口部160を介して、ウェハを、プロセスチャンバ100に搬入すること、及びプロセスチャンバ100から隣接する搬送チャンバ及び/又はロードロックチャンバ(図示せず)に、又はクラスターツール内の別のチャンバに搬送することができる。例示的なクラスターツールとして、限定されないが、カリフォルニア州サンタクララ市のアプライドマテリアルズ社から市販されているPRODUCERTMプラットフォーム、CENTURATMプラットフォーム、ENDURATMプラットフォーム、及びENDURASLTMプラットフォームを挙げることができる。
チャンバ本体112は更に、当該チャンバ内に形成される流路113を含み、この流路を通して熱伝導流体を流すことができる。熱伝導流体は加熱流体又は冷媒とすることができ、熱伝導流体を使用して処理中及び基板搬送中にチャンバ本体112の温度を制御する。チャンバ本体112の温度は、ガス又は副生成物がチャンバ側壁に凝縮する望ましくない事態を防止するために重要である。例示的な熱伝導流体には、水、エチレングリコール、又はこれらの混合物が含まれる。例示的な熱伝導流体として窒素ガスも挙げられる。
チャンバ本体112は更に、支持アセンブリ300を取り囲み、かつ修理及び清掃のために取り外すことができるライナー133を含む。ライナー133は、アルミニウムのような金属、又はセラミック材料により作製されることが好ましい。しかしながら、プロセスに適合するいずれの材料を使用してもよい。ライナー133は、ビーズを吹き付けて、当該ライナーの上に堆積するどのような材料の接着力も強化することができるので、チャンバ100を汚染してしまう材料の剥離を防止することができる。ライナー133は、通常、1つ以上の開口部135と、当該ライナー内に形成されて真空システムと流体連通する排気流路129とを含む。開口部135が流路となって、ガスを排気流路129に流し込むことができ、排気流路がライナー133を貫通する流路となるので、ガスをチャンバ100から流出させることができる。
真空システムは、真空ポンプ125及びスロットルバルブ127を備えることにより、チャンバ100内のガス流を調整することができる。真空ポンプ125は、チャンバ本体112に配置される真空ポート131に接続されて、ライナー133内に形成される排気流路129と流体連通する。真空ポンプ125及びチャンバ本体112は、スロットルバルブ127によって選択的に遮断されて、チャンバ100内のガス流を調整する。「ガス」という用語は、特に断らない限り、単数形も複数形も同じ意味に使用され、1種類以上の前駆体ガス、反応ガス、触媒ガス、キャリアガス、パージガス、クリーニングガス、これらの組み合わせ、ならびにチャンバ本体112に導入される他のあらゆる流体を指す。
蓋アセンブリ200は、互いに積層された多数の構成部品を含む。例えば、蓋アセンブリ200は、蓋周縁部210と、ガス供給アセンブリ220と、上部プレート250とを備える。蓋周縁部210は、蓋アセンブリ200を構成する部品の重量を支えるように設計され、チャンバ本体112の上面に接続されて内部チャンバ部品への接近を可能にする。ガス供給アセンブリ220は、蓋周縁部210の上面に接続されて、蓋周縁部210と最小限の熱接触を行なうように配置される。蓋アセンブリ200の構成部品は、高い熱伝導性及び低い熱抵抗を有する材料、例えば高い仕上げ面を有するアルミニウム合金で構成されることが好ましい。好ましくは、これらの部品の熱抵抗は約5×10−4K/W未満である。
ガス供給アセンブリ220は、ガス供給プレート225又はシャワーヘッドを備えることができる。ガス供給パネル(図示せず)は、通常、1種類以上のガスをチャンバ100に供給するために使用される。使用される一又は複数の特定のガスは、チャンバ100内で行なわれるプロセスに応じて決定される。例えば、代表的なガスとして、1種類以上の前駆体ガス、還元ガス、触媒ガス、キャリアガス、パージガス、クリーニングガス、又はこれらのいずれかの混合物、又は組み合わせを挙げることができる。通常、1種類以上のガスがチャンバ100に導入されて、蓋アセンブリ200、次いでチャンバ本体112に、ガス供給アセンブリ220を通って流れ込む。電子式開閉バルブ及び/又は流量制御機構(図示せず)を使用して、ガス供給部からチャンバ100へのガスの流れを制御することができる。
一態様では、ガスはガス供給パネルからチャンバ100に供給され、この場合、ガスラインが2つの別のガスラインに分かれ、これらのガスラインで、上述のように、複数種類のガスをチャンバ本体112に供給する。プロセスによって変わるが、どのような多種類のガスもこのようにして供給することができ、かつチャンバ100内で混合することができるか、又はこれらのガスがチャンバ100に供給される前に混合することができる。
図4を更に参照するに、蓋アセンブリ200は、更に、蓋アセンブリ200内に反応種のプラズマを生成する電極240を含むことができる。この実施形態では、電極240は、上部プレート250に支持されて、当該プレート250から電気的に絶縁されている。アイソレータフィラーリング(図示せず)を電極240の下部の周りに配置して、電極240を上部プレート250から分離する。図4に示すように、環状アイソレータ(図示せず)をアイソレータフィラーリングの上部の周りに配置して上部プレート250の上面に載せる。次に、環状アイソレータ(図示せず)を電極240の上部の周りに配置して、電極240を、蓋アセンブリ200の他の構成部品から電気的に絶縁する。これらのリング、アイソレータフィラー、及び環状アイソレータの各々は、酸化アルミニウム又は他のいずれかの絶縁性のプロセス適合材料により作製することができる。
電極240が電源340に接続されるのに対し、ガス供給アセンブリ220は接地される。したがって、1種類以上のプロセスガスのプラズマが、電極240とガス供給アセンブリ220との間に形成される容積内で衝突する。プラズマは、ブロッカープレートにより形成される容積内に収容することもできる。ブロッカープレートアセンブリが無い状態では、プラズマは、電極240とガス供給アセンブリ220との間で衝突し、且つその間に収容される。いずれの実施形態においても、プラズマは、蓋アセンブリ200内に適切に閉じ込められるか、又は収容される。
ガスを活性化して反応種を生成し、反応種のプラズマを維持することができるいずれの電源も使用することができる。例えば、高周波(RF)、直流(DC)、交流(AC)、又はマイクロ波(MW)を利用する高出力放電技術を使用することができる。活性化は、熱変換法、ガスブレークダウン法、高強度光源(例えば、UVエネルギー)により、又はX線源に曝露することにより行なうこともできる。別の構成として、リモートプラズマジェネレータのようなリモート活性化源を使用して、反応種のプラズマを生成することができ、これらの反応種は次いでチャンバ100内に供給される。例示的なリモートプラズマジェネレータは、MKSインスツルメンツ社、及びアドバンストエネルギーインダストリーズ社のようなベンダーから入手することができる。好適には、RF電源が電極240に接続される。
プロセスガス、及びチャンバ100内で行なわれる処理によっては、ガス供給アセンブリ220は加熱してもよい。一実施形態では、例えば抵抗加熱ヒータのような加熱素子270がガス供給アセンブリ220に接続される。一実施形態では、加熱素子270は管状部材であり、ガス供給アセンブリ220の上面に圧入される。ガス供給アセンブリ220の上面は、加熱素子270の外径よりもわずかに短い幅を有する溝又は陥没通路を含むので、締まりばめを利用して加熱素子270が溝内に保持される。
ガス供給アセンブリ220及びブロッカーアセンブリ230を含む供給アセンブリの構成部品のそれぞれは互いに対して熱伝導可能に接続されているので、加熱素子270によってガス供給アセンブリ220の温度が調整される。処理チャンバについての更なる詳細は、2005年2月22日に出願された米国特許出願第11/063,645号を参照することができ、この特許出願は、本明細書において参照されることにより本明細書に組み込まれる。
処理チャンバ100は、真空を破壊することなく基板表面の加熱及び冷却を行なう必要があるプラズマ支援ドライエッチングプロセスを実行するために特に有用である。一実施形態では、処理チャンバ100を使用して、基板上の1種類以上の酸化物を選択的に除去することができる。
説明を簡単かつ容易にするために、アンモニア(NH)及び三フッ化窒素(NF)のガス混合物を使用して処理チャンバ100内で行なわれる、1種類以上の酸化シリコンを除去する例示的なドライエッチングプロセスを次に説明する。処理チャンバ100は、単一の処理環境内で加熱及び冷却の全てが行なわれ、かつアニールプロセスを含む基板加熱及び基板冷却の両方に有利である他に、プラズマ処理の利点を生かした全てのドライエッチングプロセスに有利であると考えられる。
図4を参照するに、ドライエッチングプロセスは、例えば半導体基板のような基板110を処理チャンバ100に搬入することにより始まる。基板は通常、チャンバ本体112にスリットバルブ開口部160を通って搬入され、支持部材310の上面に配置される。基板110は、支持部材310の上面に吸着させることができる。好適には、基板110は、支持部材310の上面に真空引きすることにより吸着させることができる。次に、支持部材310がまだ処理位置に配置されていない場合、支持部材310をチャンバ本体112内の処理位置へと上昇させる。チャンバ本体112は、50℃〜80℃の温度、更に好ましくは約65℃の温度に維持されることが好ましい。チャンバ本体112のこの温度は、熱伝導媒質を流路113に流すことにより維持される。
基板110は、65℃以下の温度、例えば15℃〜50℃度に、支持アセンブリ300内に形成される流路に熱伝導媒質又は冷媒を流すことにより冷却される。一実施形態では、基板は室温未満の温度に維持される。別の実施形態では、基板は22℃〜40℃の温度に維持される。通常、支持部材310を約22℃未満の温度に維持して、上述の所望の基板温度に到達させる。支持部材310を冷却するために、支持アセンブリ300内に形成される流路に冷媒を流す。冷媒を継続的に流すことが、支持部材310の温度の制御を向上させるために好ましい。冷媒は、50容積パーセントのエチレングリコール及び50容積パーセントの水であることが好ましい。言うまでもなく、基板の所望の温度が維持される限り、水及びエチレングリコールは、どのような比でも使用することができる。
エッチングガス混合物をチャンバ100に導入して、基板110の表面上の種々の酸化物を選択的に除去する。一実施形態では、次に、アンモニアガス及び三フッ化窒素ガスをチャンバ100に導入して、エッチングガス混合物を生成する。チャンバに導入される各ガスの量は変えることができ、かつ例えば除去する厚さ、クリーニングされる基板の形状寸法、プラズマの閉じ込め性能、チャンバ本体112の閉じ込め性能、並びにチャンバ本体112に接続される真空システムの性能を向上させるために調整することができる。
エッチングガス混合物の比を予め決定しておくことにより、基板表面上の種々の酸化物を選択的に除去することができる。一実施形態では、エッチングガス混合物に含まれる成分の比を調整することにより、熱酸化物、堆積酸化物、及び/又は自然酸化物のような種々の酸化物を一様に除去することができる。一実施形態では、エッチングガス混合物に含まれる三フッ化窒素に対するアンモニアのモル比は、種々の酸化物を一様に除去するために設定することができる。一態様では、複数種のガスを添加して、三フッ化窒素対アンモニアのモル比が少なくとも1:1であるガス混合物を供給することができる。別の態様では、ガス混合物のモル比は、少なくとも約3:1(アンモニア:三フッ化窒素)である。好適には、複数種のガスをチャンバ100に、5:1(アンモニア:三フッ化窒素)〜30:1のモル比で導入する。更に好適には、ガス混合物のモル比は、約5:1(アンモニア:三フッ化窒素)〜約10:1である。ガス混合物のモル比は、約10:1(アンモニア:三フッ化窒素)〜約20:1の範囲でもよい。
パージガス又はキャリアガスをエッチングガス混合物に添加してもよい。例えば、アルゴン、ヘリウム、水素、窒素、又はこれらのガスの混合物のようないずれの適切なパージ/キャリアガスも使用することができる。通常、エッチングガス混合物全体は、約0.05容積%〜約20容積%のアンモニア及び三フッ化窒素である。残りの部分がキャリアガスである。一実施形態では、反応ガスの前に、まずパージガス又はキャリアガスをチャンバ本体112に導入して、チャンバ本体112内の圧力を安定させる。
チャンバ本体112内の作動圧力は変えることができる。通常、この圧力は、約500mTorr〜約30Torrに維持される。好適には、圧力は、約1Torr〜約10Torrに維持される。更に好適には、チャンバ本体112内の作動圧力は、約3Torr〜約6Torrに維持される。
約5〜約600ワットのRF電力を電極240に印加して、ガス供給アセンブリ220に含まれる容積部261、262、及び263内でガス混合物のプラズマを励起する。好適には、RF電力は100ワット未満である。更に好適には、電力を印加するときの周波数は非常に低く、例えば100kHz未満である。好適には、この周波数は約50kHz〜約90kHzの範囲である。
プラズマエネルギーによりアンモニアガス及び三フッ化窒素ガスが解離して反応種を生成し、これらの反応種が混合して、非常に高い反応性を示すフッ化アンモニア(NH4F)化合物及び/又はフッ化水素アンモニウム(NH4F・HF)がガス相で形成される。次に、これらの分子を、ガス供給プレート225の孔225Aを介してガス供給アセンブリ220に流し、処理対象の基板表面と反応させる。一実施形態では、まずキャリアガスをチャンバ100に導入し、キャリアガスのプラズマを生成し、次に、反応ガス、すなわちアンモニアガス及び三フッ化窒素ガスをプラズマに添加する。
理論に拘束されないが、エッチング液ガス、すなわちNH4F及び/又はNH4F・HFが酸化シリコン表面と反応して、ヘキサフルオロケイ酸アンモニウム(NH4)2SiF6、NH3、及びH2O生成物を形成すると考えられる。NH3及びH2Oは、処理条件では蒸気であり、チャンバ100から真空ポンプ125により排気される。具体的には、揮発性ガスは、チャンバ100から真空ポート131を通って真空ポンプ125に流入する前に、ライナー133内に形成された開口部135を通って排気流路129に流入する。(NH4)2SiF6から成る薄膜が基板表面に残される。この反応メカニズムは以下のように要約することができる。
NF+3NH→NHF+NHF・HF+N
6NHF+SiO→(NHSiF+2HO+4NH
(NHSiF+熱→2NH+2HF+SiF
薄膜が基板表面に形成された後、支持部材310を、加熱ガス供給プレート225に極めて近いアニール位置に上昇させることができる。ガス供給プレート225から放出される熱で、(NH4)2SiF6から成る薄膜が分解又は昇華し、揮発性のSiF4、NH3、及びHF生成物が形成される。次に、これらの揮発性の生成物を、上述のように、真空ポンプ125によりチャンバ100から排気する。通常、75℃以上の温度を使用して、薄膜を基板110から効果的に昇華させるか、又は除去する。好適には、約115℃〜約200℃のような100℃以上の温度を使用する。
(NH4)2SiF6から成る薄膜を分解して当該薄膜の揮発性成分を生成するための熱エネルギーをガス供給プレート225から循環又は放出させる。上述のように、加熱素子270は、ガス供給プレート225にじかに接続されており、作動されると供給プレート225及び当該供給プレート225と熱接触する部品を約75℃〜250℃の温度に加熱する。一態様では、供給プレート225は、100℃〜150℃の温度に、例えば約120℃に加熱される。
上面に薄膜を有する基板110の上面と供給プレート225との間の距離は、臨界値ではなく、日常的に得られる経験値である。当業者であれば、下地基板にダメージを与えることなく、効率的かつ効果的に薄膜を蒸発させるために必要な間隔を容易に決定することができる。しかしながら、約0.254mm(10ミル)〜5.08mm(200ミル)の間隔が効果的であると考えられる。
膜を基板から除去した後、処理チャンバ100をパージし、排気する。次に、支持アセンブリ300を搬出入位置に下降させ、基板の吸着を解除し、スリットバルブ開口部160を通って基板を搬出することにより、処理済み基板をチャンバ本体112から取り出す。
エッチングの間に、2sccmのNF3、10sccmのNH3、及び2,500sccmのアルゴンから成るガス混合物を真空チャンバに導入した。ガス混合物のプラズマを、100ワットの電力を使用して励起した。1,500sccmのアルゴンをチャンバの下部に供給して底部をパージする。50sccmのアルゴンを基板支持体の周縁領域近傍に供給して周縁部をパージする。チャンバ圧力は約6Torrに維持され、基板温度は約22℃であった。基板を120秒間エッチングした。
アニール中、基板と加熱チャンバ蓋との間隔は750ミルであり、蓋温度は120℃であった。基板を約60秒間アニールした。約50オングストローム分の材料を基板表面から除去した。アニールによる影響は観察されなかった。エッチング速度は約0.46オングストローム/秒(28Å/分)であった。観察されたエッチング均一性は、50Åをエッチングする場合に約5%であった。
特に断らない限り、本明細書及び請求項において使用される成分、特性、反応条件などの量を表わす全ての数値は概略値と理解するべきである。これらの概略値は、本発明によって得られるように探求される所望の特性、及び測定誤差に基づいており、少なくとも、報告される有効数字の桁数で且つ通常の丸め演算を適用することにより解釈されるべきである。更に、温度、圧力、間隔、モル比、流量などを含む本明細書において表現される種々の量の全てを更に最適化して、所望のエッチング選択性及びパーティクル除去性能を達成することができる。
シリコンエッチング方法及び装置に関する更に別の記載は、「Oxide Etch with NH3-NF3 Chemistry」と題する米国特許出願公開第2007/0123051(代理人整理番号8802.P02)を参照することができ、この特許出願は、本明細書において参照されることにより本明細書に組み込まれる。
図5A〜5Bは、本発明の別の実施形態によるトレンチの断面形状の変形を模式的に示している。
図5Aに示すように、トレンチ構造52が基板50に形成される。トレンチ構造52は、第1材料を含む第1層53を貫通するように、かつ第2材料を含む第2層51に食い込むように形成される。トレンチ構造52の側壁52aは、上部に第1材料を、下部に第2材料を含む。
基板50をエッチング液に曝すことにより、基板50を覆うように犠牲層54を形成して、トレンチ構造52の上部開口部52bを閉塞する。一実施形態では、エッチング液は、当該エッチング液を第1及び第2材料と反応させ、この第1材料及び第2材料との反応により副生成物を生成することにより、第1材料及び第2材料の両方をエッチングするように調製される。犠牲層54は、エッチング液と第1材料との反応、及びエッチング液と第2材料との反応により生成される副生成物を含む。
一実施形態では、エッチング液は、第2材料をエッチングするよりもずっと速く第1材料をエッチングする。図5Aに示すように、エッチング液が第1材料と速く反応し、犠牲層54が、第1材料を含む上部開口部52bを閉塞するのに対し、エッチング液は第2材料とゆっくりと反応するので、犠牲層54は、トレンチ構造52の底面部分に近づくにつれて非常に薄くなる。
一実施形態では、第1材料は酸化シリコンを含み、第2材料は低k材料を含み、エッチング液はアンモニア及び三フッ化窒素から成る混合物を含み、この混合物は、低k材料をエッチングするよりもずっと速く酸化シリコンをエッチングする。
上述のプロセス30と同様に、エッチング液を基板50に継続的に流して、犠牲層54を通り抜けて拡散させることにより、第1材料及び第2材料と更に反応させることができる。したがって、更に多くの量の材料をエッチングすることができる。しかしながら、エッチング速度は側壁52に沿って下降するにつれて遅くなる。一実施形態では、エッチング時間及び/又はエッチング液流量を変えて、トレンチ幅を理想的に広げることができる。
次に、犠牲層54を除去すると、図5Bに示すように、変形トレンチ断面形状57が露出する。元のトレンチ断面形状は、図5Bの破線で示される。元のトレンチ断面形状と変形トレンチ断面形状57とを比較すると、相対的に多くの量の材料がトレンチ構造52の上部から除去されて、上部開口部の幅が広くなっていることが分かる。
一実施形態では、犠牲層54の形成、エッチングの継続、及び犠牲層54の除去は、図4の処理チャンバ100のような同じ処理チャンバ内で行なうことができる。
図5Cは、続いてライナー膜55及び充填材料56を形成したときの基板50を模式的に示している。トレンチ断面形状の幅が広がると、ボイドを発生することなくトレンチ構造52内に充填材料56を形成することができる。
本明細書においてドライエッチング方法を説明したが、エッチング対象の材料と反応して副生成物を生成することにより作用する全ての適切なエッチング液を、本発明の実施形態に従って使用することができる。
本発明の実施形態は、トレンチ及び/又はビアの断面形状の変形を必要とするあらゆる状況において使用することができる。例えば、本発明の実施形態を使用して、銅又はアルミニウムのような導電材料を堆積させてチップ配線を形成する前に、トレンチ及びビアの断面形状を変形させることができる。本発明の実施形態を使用して、ゲルマニウム−セレン−テルル(GST)を充填して相変化メモリセルを形成する前に、トレンチ及びビアの断面形状を変形させることもできる。本発明の実施形態を使用して、ゲート金属を充填してトランジスタを形成する前に、トレンチ及び/又はビアの断面形状を変形させることもできる。
これまでの記述は、本発明の実施形態に関して行なわれているが、本発明の基本的範囲から逸脱しない範囲で本発明の他の実施形態及び更なる実施形態を想到することができ、本発明の範囲は特許請求の範囲により規定される。

Claims (15)

  1. 基板を処理する方法であって、
    側壁に第1材料を含むトレンチ構造を前記基板に形成する工程、
    前記トレンチ構造の上部開口部を広げる工程であって、
    前記基板をエッチング液に曝すことにより、犠牲層を形成して前記トレンチ構造の前記上部開口部を閉塞することであって、前記犠牲層が、前記エッチング液と前記第1材料との反応副生成物を含むことと、
    前記基板を前記エッチング液に曝し続けることにより、前記エッチング液を前記第1材料と更に反応させることと、
    前記犠牲層を前記基板から除去することと
    を含む、上部開口部広げる工程
    を含む方法。
  2. 前記エッチング液が、第1材料と反応して前記副生成物を生成することにより前記第1材料を除去するように調製される、請求項1に記載の方法。
  3. 前記犠牲層を形成することが、前記エッチング液から発生したプラズマに前記基板を曝すことを含む、請求項1に記載の方法。
  4. 第2材料を堆積させて、拡幅開口部を有する前記トレンチ構造に充填する工程を更に含む、請求項1に記載の方法。
  5. 前記犠牲層を形成することが、
    前記副生成物で前記上部開口部を閉塞することができる前記エッチング液の第1流量を決定することと、
    前記エッチング液を前記第1流量で流して、前記上部開口部を閉塞することと
    を含む、請求項4に記載の方法。
  6. 前記上部開口部を広げる工程が、更に、前記第1流量を増やして拡幅量を大きくすることを含む、請求項5に記載の方法。
  7. 前記第1材料が、窒化シリコン、酸化シリコン、及びこれらの材料の組み合わせのうちの1つを含み、前記エッチング液が、フッ素、窒素、及び水素を含むソースガスを含む、請求項5に記載の方法。
  8. 前記エッチング液が、三フッ化窒素(NF3)及びアンモニア(NH3)の混合物、三フッ化窒素(NF3)及び水素(H2)の混合物、三フッ化窒素(NF3)、水素(H2)、及び窒素(N2)の混合物、三フッ化窒素(NF3)及びフッ化水素(HF)の混合物、他の同様のフッ素、窒素、及び水素を含むソースガスのうちの1つを含む、請求項7に記載の方法。
  9. 前記第2材料が、配線用導電材料、相変化メモリセルに充填されるゲルマニウム−セレン−テルル(GST)、及び金属ゲートに充填されるゲート金属のうちの1つを含む、請求項7に記載の方法。
  10. 前記犠牲層を除去することが、前記基板をアニールすることを含む、請求項7に記載の方法。
  11. 前記トレンチ構造を形成する工程が、
    トレンチを前記基板に形成することと、
    前記第1材料を含むライナー層を、前記基板を覆うように堆積させることと
    を含む、請求項1に記載の方法。
  12. 基板を処理する方法であって、
    トレンチ構造を有する前記基板を処理チャンバ内に配置する工程であって、前記トレンチ構造の側壁が第1材料を含む工程と、
    第1処理ガスを前記処理チャンバに流すことにより、犠牲層を形成して前記トレンチ構造の上部開口部を閉塞する工程と、
    前記上部開口部を閉塞した後、前記第1処理ガスを流し続ける工程と、
    前記基板をアニールして前記犠牲層を前記トレンチ構造から除去する工程と
    を含む方法。
  13. 副生成物で前記トレンチ構造の前記上部開口部を閉塞できる前記第1処理ガスの第1流量を決定する工程であって、前記第1処理ガスが、前記第1材料と反応して前記副生成物を生成することにより前記第1材料を除去するように調製される工程を更に含む、請求項12に記載の方法。
  14. 前記第1流量を決定する工程が、
    前記犠牲層を除去した後に、前記第1流量を増やして上部開口部の幅を広げることと、
    前記犠牲層を除去した後に、前記第1流量を減らして上部開口部の幅を狭めることと
    を含む、請求項13に記載の方法。
  15. 前記第1処理ガスが、三フッ化窒素(NF3)及びアンモニア(NH3)の混合物、三フッ化窒素(NF3)及び水素(H2)の混合物、三フッ化窒素(NF3)、水素(H2)、及び窒素(N2)の混合物、三フッ化窒素(NF3)及びフッ化水素(HF)の混合物、他の同様のフッ素、窒素、及び水素を含むソースガスのうちの1つを含む、請求項14に記載の方法。
JP2011537631A 2008-11-24 2009-11-19 トレンチ及びビアの断面形状を変形させる方法及び装置 Expired - Fee Related JP5319782B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11753108P 2008-11-24 2008-11-24
US61/117,531 2008-11-24
US12/620,799 US7994002B2 (en) 2008-11-24 2009-11-18 Method and apparatus for trench and via profile modification
US12/620,799 2009-11-18
PCT/US2009/065208 WO2010059868A2 (en) 2008-11-24 2009-11-19 Method and apparatus for trench and via profile modification

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013144230A Division JP5518239B2 (ja) 2008-11-24 2013-07-10 トレンチ及びビアの断面形状を変形させる方法及び装置

Publications (3)

Publication Number Publication Date
JP2012510164A true JP2012510164A (ja) 2012-04-26
JP2012510164A5 JP2012510164A5 (ja) 2013-07-04
JP5319782B2 JP5319782B2 (ja) 2013-10-16

Family

ID=42196677

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011537631A Expired - Fee Related JP5319782B2 (ja) 2008-11-24 2009-11-19 トレンチ及びビアの断面形状を変形させる方法及び装置
JP2013144230A Expired - Fee Related JP5518239B2 (ja) 2008-11-24 2013-07-10 トレンチ及びビアの断面形状を変形させる方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013144230A Expired - Fee Related JP5518239B2 (ja) 2008-11-24 2013-07-10 トレンチ及びビアの断面形状を変形させる方法及び装置

Country Status (6)

Country Link
US (2) US7994002B2 (ja)
JP (2) JP5319782B2 (ja)
KR (1) KR101148252B1 (ja)
CN (2) CN103824746B (ja)
TW (1) TWI413179B (ja)
WO (1) WO2010059868A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015001991A1 (ja) * 2013-07-01 2015-01-08 東京エレクトロン株式会社 被処理体の処理方法
JP2016532313A (ja) * 2013-09-17 2016-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 周期的エッチング工程を用いたエッチング停止層のエッチング方法
KR20200069236A (ko) 2018-12-06 2020-06-16 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 기판 처리 장치

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
GB2487716B (en) 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102800577B (zh) * 2011-05-26 2015-07-08 中芯国际集成电路制造(上海)有限公司 金属栅极及mos晶体管的形成方法
US8815734B2 (en) 2011-11-07 2014-08-26 International Business Machines Corporation Use of gas cluster ion beam to reduce metal void formation in interconnect structures
CN102709188A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
CN102709173A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种改善侧墙氮化硅不同区域的厚度均匀性的方法
CN104425710B (zh) * 2013-08-20 2017-05-17 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
WO2015199640A1 (en) * 2014-06-23 2015-12-30 Applied Materials, Inc. Method of depositing a layer in a via or trench and products obtained thereby
CN105742231B (zh) * 2014-12-11 2020-04-24 中国科学院微电子研究所 形成纳米线阵列的方法
CN106033714A (zh) * 2015-03-10 2016-10-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10170300B1 (en) * 2017-11-30 2019-01-01 Tokyo Electron Limited Protective film forming method
US11195759B2 (en) * 2018-11-30 2021-12-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
CN109545963B (zh) * 2018-12-12 2022-09-30 北京时代全芯存储技术股份有限公司 制造相变化记忆体的方法
CN109706066B (zh) * 2018-12-29 2022-08-26 赛纳生物科技(北京)有限公司 基因测序芯片微坑表面修饰方法
WO2021150625A1 (en) * 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
KR20220041358A (ko) 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
CN113506771B (zh) * 2021-07-23 2022-12-09 长江存储科技有限责任公司 半导体结构的制作方法以及半导体结构
US20230136499A1 (en) * 2021-10-31 2023-05-04 Applied Materials, Inc. Selective Passivation Of Damaged Nitride

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002334926A (ja) * 2001-03-23 2002-11-22 Texas Instruments Inc 微細構造のための金属化を容易にする犠牲層の使用
JP2005505925A (ja) * 2001-10-09 2005-02-24 アプライド マテリアルズ インコーポレイテッド 材料層の堆積法
JP2009094307A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd エッチング方法及び記録媒体

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
DE3613181C2 (de) * 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
TW304293B (en) 1996-11-18 1997-05-01 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6127237A (en) * 1998-03-04 2000-10-03 Kabushiki Kaisha Toshiba Etching end point detecting method based on junction current measurement and etching apparatus
JP3178412B2 (ja) 1998-04-27 2001-06-18 日本電気株式会社 トレンチ・アイソレーション構造の形成方法
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
US6271147B1 (en) 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US20060051968A1 (en) 2001-12-13 2006-03-09 Joshi Ajey M Self-aligned contact etch with high sensitivity to nitride shoulder
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US20040256353A1 (en) * 2003-04-24 2004-12-23 Tokyo Electron Limited Method and system for deep trench silicon etch
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002334926A (ja) * 2001-03-23 2002-11-22 Texas Instruments Inc 微細構造のための金属化を容易にする犠牲層の使用
JP2005505925A (ja) * 2001-10-09 2005-02-24 アプライド マテリアルズ インコーポレイテッド 材料層の堆積法
JP2009094307A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd エッチング方法及び記録媒体

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015001991A1 (ja) * 2013-07-01 2015-01-08 東京エレクトロン株式会社 被処理体の処理方法
JP2016532313A (ja) * 2013-09-17 2016-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 周期的エッチング工程を用いたエッチング停止層のエッチング方法
KR20200069236A (ko) 2018-12-06 2020-06-16 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 기판 처리 장치
US11264248B2 (en) 2018-12-06 2022-03-01 Tokyo Electron Limited Etching method and substrate processing apparatus

Also Published As

Publication number Publication date
US20100129958A1 (en) 2010-05-27
TWI413179B (zh) 2013-10-21
JP5518239B2 (ja) 2014-06-11
CN102224573A (zh) 2011-10-19
JP5319782B2 (ja) 2013-10-16
KR101148252B1 (ko) 2012-05-21
CN102224573B (zh) 2014-03-19
TW201027619A (en) 2010-07-16
WO2010059868A2 (en) 2010-05-27
US20110294258A1 (en) 2011-12-01
JP2013258408A (ja) 2013-12-26
WO2010059868A3 (en) 2010-08-19
CN103824746A (zh) 2014-05-28
KR20110097884A (ko) 2011-08-31
US8268684B2 (en) 2012-09-18
US7994002B2 (en) 2011-08-09
CN103824746B (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
JP5518239B2 (ja) トレンチ及びビアの断面形状を変形させる方法及び装置
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10199215B2 (en) Apparatus and method for selective deposition
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US9202745B2 (en) Directional SiO2 etch using low-temperature etchant deposition and plasma post-treatment
US9093390B2 (en) Conformal oxide dry etch
JP4228150B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
US20080044593A1 (en) Method of forming a material layer
US20060130971A1 (en) Apparatus for generating plasma by RF power
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
KR100980528B1 (ko) 금속계막의 탈탄소 처리 방법, 성막 방법 및 반도체 장치의제조 방법
JP2016532313A (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
TW200525611A (en) Chamber cleaning method
US8980761B2 (en) Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
JP2024509747A (ja) 高アスペクト比プラズマエッチングのための金属系ライナー保護
US20230146375A1 (en) Substrate processing method and substrate processing apparatus
JP2001102345A (ja) 表面処理方法および装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121127

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20121127

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20130115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130417

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130426

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20130520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130711

R150 Certificate of patent or registration of utility model

Ref document number: 5319782

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees