JP2024509747A - 高アスペクト比プラズマエッチングのための金属系ライナー保護 - Google Patents

高アスペクト比プラズマエッチングのための金属系ライナー保護 Download PDF

Info

Publication number
JP2024509747A
JP2024509747A JP2023549815A JP2023549815A JP2024509747A JP 2024509747 A JP2024509747 A JP 2024509747A JP 2023549815 A JP2023549815 A JP 2023549815A JP 2023549815 A JP2023549815 A JP 2023549815A JP 2024509747 A JP2024509747 A JP 2024509747A
Authority
JP
Japan
Prior art keywords
plasma
feature
substrate
deposition
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023549815A
Other languages
English (en)
Inventor
ウェーバー・グレゴリー・クリントン
ピ・シュアン
オゼル・タネル
ハドソン・エリック・エイ.
スー・チン
ウォン・メレット
ムコッパッダーエ・アミット
ラルストン・ウォルター・トマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2024509747A publication Critical patent/JP2024509747A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【解決手段】高アスペクト比フィーチャは、エッチング及び成膜プロセスを使用して基板に形成される。部分的にエッチングされたフィーチャは、プラズマエッチングチャンバ内でプラズマへの曝露により形成される。その後、金属系ライナーが、同じプラズマエッチングチャンバを使用して部分的にエッチングされたフィーチャに成膜される。金属系ライナーは、堅牢であり、かつその後のエッチング動作において横方向のエッチングを防止する。金属系ライナーは、エッチングプロセスの温度又は圧力と同等の温度又は圧力で成膜されてもよい。金属系ライナーは、部分的にエッチングされたフィーチャのある部分に局在化してもよい。エッチングは、金属系ライナーが成膜された領域において横方向のエッチングなしに、成膜後にフィーチャ内で進行してもよい。【選択図】図7

Description

[関連出願」
PCT出願願書が、本出願の一部として、本明細書と同時に提出されている。同時に提出されたPCT出願願書に特定され、本出願がその利益又は優先権を主張する各出願は、その全体が全ての目的のために、参照により本明細書に組み込まれる。
半導体デバイスの製造中に頻繁に採用される1つのプロセスは、エッチングされたフィーチャの形成である。このようなプロセスが生じ得る文脈の例として、限定されないが、メモリ用途が挙げられる。半導体産業が発展し、デバイスの寸法が小さくなるにつれて、特に狭い幅及び/又は深い深度を有する高アスペクト比のフィーチャに関して、このようなフィーチャを均一な方法でエッチングすることがますます困難になる。
ここで提供される背景技術の説明は、本開示の文脈を大まかに提示することを目的とする。現時点で名前を挙げられている発明者らによる研究は、この背景技術の欄で説明される範囲内において、出願時に先行技術として別途みなされ得ない説明の態様と同様に、明示又は暗示を問わず、本開示に対抗する先行技術として認められない。
本明細書において、フィーチャの側壁上に保護膜を成膜する方法が提供される。方法は、(a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を第1のプラズマに曝露して、基板にフィーチャを部分的にエッチングすることと、(b)(a)の後に、1つ又は複数の成膜反応物を使用して、プラズマエッチングチャンバ内でフィーチャの側壁上に保護膜を成膜することであって、保護膜が金属を含む、ことと、(c)(b)の後に、プラズマエッチングチャンバ内で第2のプラズマを生成し、かつ基板を第2のプラズマに曝露して、基板にさらにフィーチャをエッチングすることであって、保護膜が成膜されている領域において、保護膜は(c)の間、フィーチャの横方向のエッチングを実質的に防止する、ことと、を含む。
いくつかの実施態様において、成膜は、約100℃以下の成膜温度で発生する。成膜温度は、約-100℃から約-10℃の間であってもよい。基板を第1のプラズマに曝露している間のエッチング温度は、成膜温度と同じであるか、又は実質的に同じであってもよい。いくつかの実施態様では、金属はタングステンを含む。いくつかの実施態様では、フィーチャは、(c)の後に約5以上のアスペクト比を有する。いくつかの実施態様では、1つ又は複数の成膜反応物は、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む。金属含有ガスは、六フッ化タングステン(WF6)、六フッ化レニウム(ReF6)、六フッ化モリブデン(MoF6)、五フッ化タンタル(TaF5)、及びフッ化バナジウム(VF5)からなる群より選択されてもよい。還元剤は、水素(H2)、過酸化水素(H22)、メタン(CH4)、シラン(SiH4)、ボラン(BH3)、及びアンモニア(NH3)からなる群より選択されてもよい。フッ素含有ガスは、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、及び四フッ化ケイ素(SiF4)からなる群より選択されてもよい。いくつかの実施態様では、フィーチャの側壁上の保護膜の局在化は、フッ素含有ガスの濃度及びRF電力の一方又は両方に少なくとも部分的に基づく。いくつかの実施態様では、フィーチャの側壁上の保護膜の局在化及び厚さの一方又は両方は、曝露時間、圧力、温度、合計流量、RF電力、還元剤の濃度、不活性ガスの濃度、及び金属含有ガスの濃度のうちの1つ又は複数の成膜条件に少なくとも部分的に基づく。いくつかの実施態様では、保護膜を成膜することは、1つ又は複数の成膜反応物を含む第3のプラズマを生成することと、基板を第3のプラズマに曝露して、フィーチャの側壁上に保護膜を成膜することとを含む。第3のプラズマは、低周波RF成分を使用して、約100kHzから約2MHzの間の低周波数で生成されてもよい。第1のプラズマは、1つ又は複数の第1のエッチング反応物を含んでもよく、第3のプラズマの1つ又は複数の成膜反応物は、第1のプラズマの1つ又は複数の第1のエッチング反応物とは異なる。基板を第3のプラズマに曝露するときのRF電力及び曝露時間は、基板を第1のプラズマに曝露するときのRF電力及び曝露時間とは異なってもよい。いくつかの実施態様では、基板は、基板にエッチングされる材料の1つ又は複数の層の上にマスクを含み、保護膜は、フィーチャの側壁のかなりの部分に沿ってコンフォーマルに成膜され、かつマスクの上には成膜されない。いくつかの実施態様では、保護膜は、フィーチャの側壁の中間部分に沿ってコンフォーマルに成膜される。いくつかの実施態様では、方法は、(d)フィーチャの最終深さに達するまで、(b)~(c)を繰り返すことをさらに含む。
本明細書において、フィーチャの側壁上に保護膜を成膜する方法がさらに提供される。方法は、(a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を第1のプラズマに曝露して、基板にフィーチャを部分的にエッチングすることと、(b)(a)の後に、1つ又は複数の成膜反応物を使用して、プラズマエッチングチャンバ内でフィーチャの側壁上に保護膜を成膜することであって、1つ又は複数の成膜反応物が、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む、ことと、(c)(b)の後に、プラズマエッチングチャンバ内で第2のプラズマを生成し、かつ基板を第2のプラズマに曝露して、基板にさらにフィーチャをエッチングすることであって、保護膜が成膜されている領域において、保護膜は(c)の間、フィーチャの横方向のエッチングを実質的に防止する、ことと、を含む。いくつかの実施態様では、金属含有ガスは、六フッ化タングステン(WF6)、六フッ化レニウム(ReF6)、六フッ化モリブデン(MoF6)、五フッ化タンタル(TaF5)、及びフッ化バナジウム(VF5)からなる群より選択される。いくつかの実施態様では、還元剤は、水素(H2)、過酸化水素(H22)、メタン(CH4)、シラン(SiH4)、ボラン(BH3)、及びアンモニア(NH3)からなる群より選択される。いくつかの実施態様では、フッ素含有ガスは、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、及び四フッ化ケイ素(SiF4)からなる群より選択される。いくつかの実施態様では、フィーチャの側壁上の保護膜の局在化は、フッ素含有ガスの濃度及びRF電力の一方又は両方に少なくとも部分的に基づく。いくつかの実施態様では、保護膜を成膜するときの成膜温度は、約100℃以下である。いくつかの実施態様では、フィーチャは、(c)の後に約5以上のアスペクト比を有する。
本明細書において、フィーチャの側壁上に保護膜を成膜するための装置がさらに提供される。装置は、プラズマエッチングチャンバと、基板を支持するためのプラズマエッチングチャンバ内の基板支持体と、コントローラとを含む。コントローラは、以下の動作を実行するための命令で構成される:(a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を第1のプラズマに曝露して、基板にフィーチャを部分的にエッチングする、(b)(a)の後に、1つ又は複数の成膜反応物を使用して、プラズマエッチングチャンバ内でフィーチャの側壁上に保護膜を成膜し、ここで保護膜は金属を含む、かつ(a)の後に、1つ又は複数の成膜反応物を使用して、プラズマエッチングチャンバ内でフィーチャの側壁上に保護膜を成膜し、ここで保護膜は金属を含む。
いくつかの実施態様において、保護膜を成膜するための命令で構成されたコントローラは、約100℃以下の成膜温度で保護膜を成膜するための命令で構成される。いくつかの実施態様では、1つ又は複数の成膜反応物は、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む。いくつかの実施態様では、保護膜を成膜するための命令で構成されたコントローラは、1つ又は複数の成膜反応物を含む第3のプラズマを生成し、かつ基板を第3のプラズマに曝露して、フィーチャの側壁上に保護膜を成膜するための命令で構成される。いくつかの実施態様では、フィーチャは、(c)の後に約5以上のアスペクト比を有する。
本明細書において、フィーチャの側壁上に保護膜を成膜するための装置がさらに提供される。装置は、プラズマエッチングチャンバと、基板を支持するためのプラズマエッチングチャンバ内の基板支持体と、コントローラとを含む。コントローラは、以下の動作を実行するための命令で構成される:(a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を第1のプラズマに曝露して、基板にフィーチャを部分的にエッチングする、(b)(a)の後に、1つ又は複数の成膜反応物を使用して、プラズマエッチングチャンバ内でフィーチャの側壁上に保護膜を成膜し、ここで1つ又は複数の成膜反応物は、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含み、保護膜は金属を含む、かつ(b)の後に、プラズマエッチングチャンバ内で第2のプラズマを生成し、かつ基板を第2のプラズマに曝露して、基板にさらにフィーチャをエッチングし、ここで(b)で成膜された保護膜は、保護膜が成膜されている領域において、(c)の間、フィーチャの横方向のエッチングを実質的に防止する。
図1は、側壁のオーバーエッチングによる望ましくない弓部を有するエッチングされたフィーチャの断面概略図を示す。
図2は、基板にエッチングされたフィーチャを形成する方法のフロー図を示す。
図3Aは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図3Bは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図3Cは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図3Dは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図3Eは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。
図4は、いくつかの実施態様に係る、基板にエッチングされたフィーチャを形成する方法の一例のフロー図を例示する。
図5Aは、いくつかの実施態様に係る、金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図5Bは、いくつかの実施態様に係る、金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図5Cは、いくつかの実施態様に係る、金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図5Dは、いくつかの実施態様に係る、金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。
図6Aは、いくつかの実施態様に係る、改善されたテーパのために金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。 図6Bは、いくつかの実施態様に係る、改善されたテーパのために金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。
図7は、いくつかの実施態様に係る、エッチングされたフィーチャの側壁上に形成された金属系ライナーを有するエッチングされたフィーチャの断面概略図を示す。
図8Aは、いくつかの実施態様に係る、本明細書に記載されるプラズマエッチング及びプラズマ蒸着プロセスを実施するために使用され得る反応チャンバを例示する。 図8Bは、いくつかの実施態様に係る、本明細書に記載されるプラズマエッチング及びプラズマ蒸着プロセスを実施するために使用され得る反応チャンバを例示する。 図8Cは、いくつかの実施態様に係る、本明細書に記載されるプラズマエッチング及びプラズマ蒸着プロセスを実施するために使用され得る反応チャンバを例示する。
図9は、いくつかの実施態様に係る、本明細書に記載されるプラズマエッチング及びプラズマ蒸着プロセスを実施するために使用され得る反応チャンバを例示する。
図10は、本明細書に記載される様々なプラズマエッチング及びプラズマ蒸着処理動作の実施に適したマルチステーション処理ツールの一例の概略図を示す。
図11は、本明細書に記載されるプロセスの実施に適した、搬送モジュールと接続するプラズマエッチングモジュールを有する半導体プロセスクラスタツールアーキテクチャの一例の概略図を示す。
本開示において、「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、及び「部分的に製造された集積回路」という用語は、同じ意味で使用される。当業者であれば、「部分的に製造された集積回路」という用語が、集積回路製造の多くの段階のいずれかの間のシリコンウェハを指すことができることを理解するだろう。半導体デバイス産業で使用されるウェハ又は基板は、通常、200mm、又は300mm、又は450mmの直径を有する。以下の詳細な説明では、本開示がウェハ上で実装されることを想定している。ただし、本開示はそれほど限定的ではない。ワークピースは、様々な形状、サイズ、及び材料のものであってもよい。半導体ウェハに加えて、本発明を利用し得る他のワークピースとして、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光学素子、マイクロメカニカルデバイスなどの様々な物品が挙げられる。
特定の半導体デバイスの製造は、材料の1つ又は複数の層にフィーチャをエッチングすることを含む。1つ又は複数の層は、材料の単層、又は材料のスタックであってもよい。場合によっては、スタックは、窒化ケイ素と酸化ケイ素の交互層などの、誘電体材料の交互層を含む。エッチングされたフィーチャは、高アスペクト比を有してもよい。エッチングされたフィーチャの一例は、円筒である。このようなエッチングされたフィーチャのアスペクト比が増加し続けると、材料の1つ又は複数の層のフィーチャのエッチングがますます困難になる。高アスペクト比フィーチャのエッチング中に生じる1つの問題は、不均一なエッチングプロファイルである。言い換えれば、フィーチャは、まっすぐ下向きにはエッチングされない。代わりに、フィーチャの側壁はしばしば弓状になり、エッチングされたフィーチャの中間部分がフィーチャの上部分及び/又は底部分よりも広くなる(すなわち、さらにエッチングされる)。フィーチャの中間部分付近のこのようなオーバーエッチングは、結果として、残りの材料の構造的かつ/又は電子的完全性を損なう可能性がある。外側に弓状に曲がったフィーチャの部分は、全フィーチャ深さの比較的小さな部分、又は比較的大きな部分を占めることもある。外側に弓状に曲がった部分は、フィーチャの限界寸法(CD)が最大となる部分である。限界寸法は、任意の箇所におけるフィーチャの直径に相当する。一般に、フィーチャの最大CDは、フィーチャの他の部分、例えばフィーチャの底部又はその近傍のCDとほぼ同じであることが望ましい。
いかなる理論又は作用メカニズムにも縛られるものではないが、円筒又は他のフィーチャの中間部分におけるオーバーエッチングは、少なくとも部分的には、円筒の側壁がエッチングから十分に保護されていないために発生すると考えられる。以下の議論では、円筒に言及することもあるが、この概念は、長方形及び他の多角形などの他のフィーチャ形状にも適用される。従来のエッチング化学物質は、材料の1つ又は複数の層にフィーチャを形成するために、しばしばフルオロカーボンエッチング液を使用する。フルオロカーボンエッチング液は、プラズマ曝露によって励起され、例えばCF、CF2、及びCF3を含む様々なフルオロカーボンフラグメントの形成をもたらす。反応性フルオロカーボンフラグメントは、イオンの助けを借りて、フィーチャの底部の材料の1つ又は複数の層をエッチングして除去する。他のフルオロカーボンフラグメントは、エッチングされているフィーチャの側壁上に堆積し、それによって保護ポリマー側壁コーティングを形成する。この保護側壁コーティングは、フィーチャの側壁とは対照的に、フィーチャの底部での優先的なエッチングを促進する。この側壁保護がないと、フィーチャは不均一なプロファイルになり始め、側壁保護が不十分なところではエッチング/円筒幅がより広くなる。
側壁保護は、高アスペクト比のフィーチャで実現するのは特に困難である。この困難の1つの理由は、既存のフルオロカーボンベースのプロセスでは、エッチングされているフィーチャの深くに保護ポリマー側壁コーティングを形成できないことである。
図1は、側壁のオーバーエッチングによる望ましくない弓部を有するエッチングされたフィーチャの断面概略図を示す。フィーチャ102は、パターニングされたマスク層106で被覆された基板層103にエッチングされている。保護ポリマー側壁コーティング104は、フィーチャ102の上部分付近に集中している。Cxy化学物質は、フィーチャ102を垂直にエッチングするためのエッチング反応物(複数可)と、保護ポリマー側壁コーティング104を形成する反応物(複数可)の両方を提供する。保護ポリマー側壁コーティング104は、フィーチャ102の奥深くまで延びない(すなわち、側壁への成膜が不十分である)ため、フィーチャ102の中間部分は、フィーチャ102の上部分よりも広くなる。フィーチャ102のより広い中間部分は、弓部105と呼ばれる。弓部105は、弓部領域におけるフィーチャ102の限界寸法と、弓部領域の下のフィーチャ102の限界寸法との比較の観点から、数値的に記述できる。弓部105は、距離(例えば、フィーチャ102の最も広い部分における限界寸法から、弓部の下のフィーチャ102の最も狭い部分における限界寸法を引いたもの)、又は比率/パーセント(フィーチャ102の最も広い部分における限界寸法を、弓部105の下のフィーチャ102の最も狭い部分における限界寸法で割ったもの)の観点から数値的に報告されてもよい。この弓部105及び関連する不均一なエッチングプロファイルは、望ましくない。この種のエッチングプロセスでは高イオンエネルギーが使用されることが多いため、高アスペクト比のフィーチャをエッチングする際にしばしば弓部が形成される。いくつかの用途では、弓部は、約5と低いアスペクト比で形成されることさえある。そのため、従来のフルオロカーボンエッチング化学物質は、通常、材料の1つ又は複数の層に比較的低いアスペクト比のフィーチャを形成することに限定される。最新の用途の中には、従来のエッチング化学物質で実現可能なものよりも高いアスペクト比を有するフィーチャを必要とするものもある。
基板へのフィーチャのエッチングは、一般にプラズマベースのエッチングプロセスを含む。フィーチャ形成は、材料の1つ又は複数の層をエッチングするための1つの段階と、材料の1つ又は複数の層を実質的にエッチングすることなく保護側壁コーティングを形成するための別の段階とで発生してもよい。保護側壁コーティングは、側壁を不動態化し、かつフィーチャがオーバーエッチングされるのを防止する。言い換えれば、保護側壁コーティングは、フィーチャの横方向のエッチングを防止する。
2つの主要な処理段階(エッチングと成膜)は、フィーチャがその最終深さまでエッチングされるまで繰り返されてもよい。これらの2つの段階を周期的に行うことにより、フィーチャの直径をフィーチャの深さ全体にわたって制御でき、それにより、より均一な直径と改善されたプロファイルを有するフィーチャを形成できる。
フィーチャは、基板表面の凹部である。フィーチャは、限定されないが、円筒、長方形、正方形、その他の多角形の凹部、トレンチ、穴、溝などを含む多くの異なる形状とすることができる。
アスペクト比とは、あるフィーチャの深さとそのフィーチャの限界寸法(幅又は直径であることが多い)を比較したものである。例えば、深さ2μm、幅50nmの円筒のアスペクト比は40:1であり、より単純に40と表記されることが多い。フィーチャは、フィーチャの深さにわたって不均一な限界寸法を有する場合があるため、アスペクト比は、測定場所に応じて変化する可能性がある。例えば、エッチングされた円筒は、時には、上部分及び底部分よりも広い中間部分を有することもある。このより広い中間部は、弓部と呼ばれることもある。円筒の上部(すなわち、ネック)での限界寸法に基づいて測定されたアスペクト比は、円筒のより広い中間部/弓部での限界寸法に基づいて測定されたアスペクト比よりも高くなる。本明細書で使用される場合、特に明記しない限り、アスペクト比は、フィーチャの開口部近くの限界寸法に基づいて測定される。
本開示の方法を通じて形成されるフィーチャは、高アスペクト比フィーチャであってもよい。いくつかの用途において、高アスペクト比フィーチャは、少なくとも約5:1、少なくとも約10:1、少なくとも約20:1、少なくとも約30:1、少なくとも約40:1、少なくとも約50:1、少なくとも約60:1、少なくとも約80:1、又は少なくとも約100:1のアスペクト比を有するものである。本開示の方法を通じて形成されるフィーチャの限界寸法は、約200nm以下、例えば、約100nm以下、約50nm以下、又は約20nm以下であってもよい。
フィーチャが形成される材料の1つ又は複数の層は、誘電体材料、導電性材料、及び/又は半導体材料を含んでもよい。誘電体材料の非限定的な例として、酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化物、酸炭化物、炭窒化物、これらの材料のドープ版(例えば、ボロン、リンなどでドープ)、及びこれらの材料の任意の組み合わせからの積層物が挙げられる。特定の材料例として、SiO2、SiN、SiON、SiOC、SiCNなどの定比及び不定比配合物が挙げられる。本開示の方法の1つの応用は、DRAMデバイスの形成の文脈におけるものである。フィーチャは、酸化ケイ素、又は2つの窒化ケイ素層の間に挟まれた酸化ケイ素層に主としてエッチングされてもよい。本開示の方法の別の応用は、垂直NAND(VNAND、3D NANDとも呼ばれる)デバイスの形成の文脈におけるものである。フィーチャは、酸化物(例えば、SiO2)と窒化物(例えば、SiN)の交互層、又は酸化物(例えば、SiO2)とポリシリコンの交互層にエッチングされてもよい。
図2は、基板にエッチングされたフィーチャを形成する方法のフロー図を示す。ブロック201において、フィーチャは、材料の1つ又は複数の層とパターニングされたマスク層とを有する基板に、第1の深さまでエッチングされる。この第1の深さは、フィーチャの所望の最終深さのほんの一部に過ぎない。いくつかの実施態様では、フィーチャをエッチングするために使用される化学物質は、フルオロカーボン系化学物質(Cxy)であってもよい。ただし、他のエッチング化学物質が使用されてもよい。ブロック201におけるエッチング動作は、結果として第1の側壁コーティングの形成をもたらしてもよい。第1の側壁コーティングは、図1に関連して説明したように、ポリマー側壁コーティングであってもよい。第1の側壁コーティングは、第1の深さに向かって延びてもよいが、第1の側壁コーティングは、通常、実際にフィーチャの底部に達することはない。
第1の側壁コーティングは、フィーチャの側壁上の特定のフルオロカーボン種/フラグメント堆積物として、フルオロカーボン系化学物質から形成されてもよい(すなわち、特定のフルオロカーボン種は、第1の側壁コーティングのための前駆体である)。いかなる理論にも制限されるものではないが、第1の側壁コーティングがフィーチャの底部に到達しない1つの理由は、第1の側壁コーティングを形成する前駆体の付着係数に関連する場合がある。特定のエッチング液では、第1の側壁コーティング前駆体の付着係数は高すぎるため、前駆体分子の圧倒的多数が、フィーチャに入ってすぐに側壁に付着すると考えられる。そのため、側壁保護が有益であるフィーチャの奥深くに侵入できる側壁コーティング前駆体分子はほとんどない。したがって、第1の側壁コーティングは、フィーチャの側壁のオーバーエッチングに対して、部分的な保護のみを提供する。
エッチングに使用される反応チャンバは、例えば、カリフォルニア州フリーモントのLam Research Corporationから入手可能な2300(商標)Flex(商標)製品ファミリからのFlex(商標)反応チャンバであってもよい。
プロセス200はブロック203に続き、ここでエッチングプロセスが停止される。エッチングが停止された後、第2の側壁コーティングがブロック205において成膜される。場合によっては、第2の側壁コーティングは、第1の側壁コーティングよりも効果的であることもある。成膜は、限定されないが、化学蒸着(CVD)及び原子層堆積(ALD)法(いずれかはプラズマアシストであっても、なくてもよい)を含む様々な反応メカニズムを通じて行われてもよい。ALD法は、フィーチャの側壁に沿うコンフォーマル膜の形成に特に適している場合がある。例えば、ALD法は、そのような方法の吸着駆動の性質により、反応物をフィーチャの奥深くに送達するのに有用である。第2の側壁コーティングを成膜するために選択される方法は、エッチングされたフィーチャの奥深くへの保護膜の形成を可能にする必要がある。
様々な場合において、第2の側壁コーティングは、周期的プロセスを通じて形成され、コンフォーマル膜をもたらしてもよい。第2の側壁コーティングがプラズマアシストALDにより成膜されるいくつかの実施形態では、ブロック205における成膜は、(a)低い付着係数の反応物を反応チャンバ内に流し、かつ反応物を基板表面上に吸着させることで、吸着前駆体層を形成することと、(b)任意選択で反応チャンバをパージすること(例えば、パージガスで一掃する、反応チャンバを排気する、又はその両方によって)と、(c)酸素含有及び/又は窒素含有反応物から生成されたプラズマに基板を曝露することによって表面反応を引き起こし、第2の側壁コーティングの層を形成することと、(d)任意選択で反応チャンバをパージすることと、(e)(a)~(d)を繰り返して、第2の側壁コーティングの追加の層を形成することと、を含んでもよい。前駆体吸着及び膜形成は、所望の厚さを有する膜を形成するために何度も周期的に行われてもよい。
他の場合では、第2の側壁コーティングは、CVDにより成膜されてもよい。そのような場合、ブロック205における成膜は、任意選択で共反応物(例えば、酸素含有反応物、窒素含有反応物、炭素含有反応物、ボロン含有反応物など)と共に、反応物を反応チャンバ内に流し、同時に任意選択で基板をプラズマに曝露することを含んでもよい。プラズマは、第2の側壁コーティングの成膜をもたらすガス相反応を引き起こしてもよい。
第2の側壁コーティングを成膜するために使用される1つ又は複数の反応物は、特に低い付着係数及び/又は損失係数を有してもよい。従来のエッチングプロセスで採用されるようなフルオロカーボン種は、比較的高い付着係数を有し、したがって最初に側壁に衝突するフィーチャの上部付近により集中する。これに対し、より低い付着係数を有する種は、側壁の上部付近の表面に衝突したとしても、各衝撃中に吸着する可能性は低く、したがって、フィーチャの底部分に到達する可能性がより高くなる。
吸着ベースのALD法は、エッチングされたフィーチャの底部に達する第2の側壁コーティングの形成に特に適している。なぜなら、フィーチャの側壁全体を実質的に覆うまで、反応物を送達できるからである。反応物の単層のみが、通常は各サイクル中に表面上に吸着するため、反応物はフィーチャの上部付近には蓄積しない。さらに、(プラズマ蒸着法に対して)熱蒸着法は、一般に基板全体にわたりより均一な成膜結果を達成し、かつフィーチャ内によりコンフォーマルな結果をもたらすため、有利である。
成膜のために使用される反応チャンバは、共にLam Research Corporationから入手可能なVector(登録商標)製品ファミリ又はAltus(登録商標)製品ファミリからのチャンバであってもよい。第2の側壁コーティングを成膜するために使用される反応チャンバは、ALTUS(登録商標)プロダクトファミリ(限定されないが、ALTUS(登録商標)DirectFill(商標)Max又はALTUS(登録商標)ICEを含む)からのリアクタであってもよい。
プロセス200はブロック207に続き、ここで成膜プロセスが停止される。プロセス200は次いで、ブロック211において基板にフィーチャを部分的にエッチングする動作(ブロック201に類似)と、ブロック213においてエッチングを停止する動作(ブロック203に類似)と、ブロック215において部分的にエッチングされたフィーチャの側壁上に保護コーティングを成膜する動作(ブロック205に類似)と、ブロック217において成膜を停止する動作(ブロック207に類似)とを繰り返す。次に、ブロック219において、フィーチャが完全にエッチングされたかどうかが判定される。フィーチャが完全にエッチングされていない場合、プロセス200は、追加のエッチング及び保護コーティングの成膜を伴ってブロック211の動作から繰り返す。フィーチャが完全にエッチングされると、プロセス200が完了する。
ブロック201でのエッチング及びプロック205での保護側壁コーティングの成膜は、周期的に何度も繰り返されてもよい。例えば、これらの動作は、各々少なくとも2回、例えば、少なくとも3回、又は少なくとも約5回、発生してもよい。エッチング動作が発生するたびに、エッチング深さが増す。各成膜動作において成膜された第2の側壁コーティングの厚さは、サイクル間で均一であってもよく、或いはそのようなコーティングの厚さが変動してもよい。各サイクル中の第2の側壁コーティングの厚さの例は、約1nmから約10nmの範囲であってもよい。いくつかの実施態様では、第2の側壁コーティングは、二重層として成膜されてもよく、二重層の副層は異なる組成を有してもよい。
現在の側壁パッシベーション技術は、特定のアスペクト比までに限定される場合があり、かつ望ましくないスキャロップ又は界面のノッチングをもたらすこともある。上述したように、側壁コーティング(例えば、第1の側壁コーティング)の成膜は、エッチングプロセス中(例えば、ブロック201)に発生してもよい。側壁コーティングは、エッチングされたフィーチャの側壁上にポリマー種又はフルオロカーボン系の種を含んでもよく、これは特定のアスペクト比までしか効果的でない場合がある。前駆体分子はフィーチャの上部分付近に吸着し、フィーチャの中間部分及び/又は底部分ではほとんど保護を提供しない。したがって、ポリマー種及び/又はフルオロカーボン系の種の堆積は、より高いアスペクト比が望まれる場合には、ボーイングに対する適切な保護を提供しない。さらに、現在のエッチングプロセスを用いると、特定の界面でノッチ欠陥の形成が進み、デバイスの歩留まりが悪化する可能性がある。
現在の側壁パッシベーション技術は、マルチステップの処理及び追加の装置又はチャンバを必要とする場合があり、したがって統合の複雑さが増す。上述したように、側壁コーティング(例えば、第2の側壁コーティング)は、成膜プロセス中(例えば、ブロック205)に発生してもよい。側壁コーティングは、CVD又はALDプロセスを使用して形成されてもよい。この側壁コーティングは、ポリマー種又はフルオロカーボン種/フラグメントに基づく側壁コーティングよりも弾力性がある場合もあるが、この側壁コーティングは、通常は高い温度と圧力で形成され、一般にエクスサイチュで形成される。エクスサイチュ側壁コーティングは、エッチングチャンバとは別個の反応チャンバで形成される。言い換えれば、この側壁コーティングの成膜は成膜チャンバで行われるが、エッチングはエッチングチャンバで行われ、したがって複数のチャンバと搬送が必要になる。成膜とエッチングに別個のチャンバを使用することで、処理時間、処理ステップ、及びコストが増加し、したがってスループットに悪影響を及ぼす。さらに、別個のチャンバの使用は、あるチャンバから別のチャンバへの移送を必要とし、これは真空破壊を伴い、大気への曝露の可能性が増し、表面特性の改質をもたらす。この結果、基板上の材料の機能性と完全性が損なわれる場合がある。
インサイチュ金属系ライナー成膜
高アスペクト比フィーチャのプラズマベースのエッチング中にいくつかの問題が生じる場合がある。生じる1つの問題は、不均一なエッチングプロファイルである。言い換えれば、フィーチャは、まっすぐ下向きにはエッチングされない。代わりに、エッチングプロファイルはねじれ、かつストリエーションを有し、結果的にフィーチャの底部に向かってより顕著になる不均一なプロファイルをもたらす。生じる別の問題は、局所的な限界寸法の不均一性に関する。ねじれ、ストリエーション、うねり、表面粗さ、及びボーイングを伴う不均一なエッチングプロファイルは、局所的な限界寸法に変動をもたらすこともある。上記に示した現在のパッシベーション法は、保護側壁コーティングを成膜することによってエッチングプロファイルを「凍結」し、かつオーバーエッチングの効果を限定することで、前述の問題を解決しようと試みる。しかしながら、現在のパッシベーション法は、このような問題を緩和するのに効果的ではないこともあり、問題のいくつかを悪化させることさえあり得る。
図3A~3Eは、基板にエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。図3A~3Eは、現在のパッシベーション法でさえ、依然として不均一なエッチングプロファイルと局所的な限界寸法の不均一性をもたらす可能性があることを示す。図3Aでは、基板300は、パターニングされたマスク層302を含む。図3Bでは、部分的にエッチングされたフィーチャ304は、エッチング後に形成される。エッチングが比較的等方性である場合、スキャロップが発生することもある。エッチフロントが異なる材料の界面に近づき、局在化したアンダカットをもたらす場合、界面のノッチングが発生することもある。図3Cでは、保護膜306は、部分的にエッチングされたフィーチャ304の側壁に沿って、かつパターニングされたマスク層302の露出表面に沿って成膜されている。概して、保護膜306は、その後のエッチング動作に使用されるエッチング化学物質に耐性のある材料で作られ、それによって保護膜306は、不要な材料に比べてはるかにゆっくりとエッチングされる。図3Dでは、保護膜306の一部が、エッチングの結果として、パターニングされたマスク層302の上面と、部分的にエッチングされたフィーチャ304の底部分とで除去されてもよい。エッチングは、比較的異方性(垂直方向)のエッチングであってもよく、保護膜306を部分的にエッチングされたフィーチャの側壁上とパターニングされたマスク層302の側壁上とに残す。図3Eでは、エッチングは、基板300の部分的にエッチングされたフィーチャ304を通って進む。保護膜306は、下地材料のシャドーイングを引き起こすこともあり、それによって部分的にエッチングされたフィーチャ304の不均一なエッチングプロファイルを強調する。
本開示の態様は、側壁パッシベーションにインサイチュ金属系ライナーを使用する高アスペクト比フィーチャのプラズマベースのエッチングに関する。インサイチュ金属系ライナーは、別個の成膜チャンバの代わりに、プラズマエッチングチャンバで成膜される。同じプラズマエッチングチャンバが、高アスペクト比フィーチャをエッチングし、かつ金属系ライナーを成膜するために使用される。金属系ライナーは、フィーチャの側壁の一部に沿ってコンフォーマルに成膜され、かつ横方向のエッチングに耐性があってもよい。金属系ライナーは、エッチングを実行するための温度と同等の温度で成膜されてもよい。いくつかの実施態様では、金属系ライナーは、約100℃以下の温度で、又は極低温でも成膜されてよい。金属系ライナーの局在化は、1つ又は複数の成膜条件を調節することによって制御されてもよい。例えば、金属系ライナーの局在化は、フッ素含有ガスの濃度及び/又はRF電力(複数可)に少なくとも部分的に基づいてもよい。いくつかの実施態様では、金属系ライナーはタングステンを含む。金属系ライナーのエッチングとインサイチュ成膜のサイクルは、フィーチャの所望の深さに達するまで繰り返されてもよい。
図4は、いくつかの実施態様に係る、基板にエッチングされたフィーチャを形成する方法の一例のフロー図を例示する。プロセス400の動作は、異なる順序で、かつ/又は異なる動作、より少ない動作、若しくは追加の動作と共に実施されてもよい。プロセス400の動作は、図8A~8C及び図9に示すように、プラズマエッチング装置又はプラズマエッチングチャンバを使用して行われてもよく、プラズマエッチングチャンバは、図10及び図11に示されたツールアーキテクチャのいずれかにおいて実装することもできる。いくつかの実施態様では、プロセス400の動作は、少なくとも部分的に、1つ又は複数の非一時的コンピュータ可読媒体に記憶されたソフトウェアに従って実施されてもよい。
プロセス400のブロック410において、第1のプラズマが任意選択でプラズマエッチングチャンバ内で生成され、基板が第1のプラズマに曝露されて、基板にフィーチャを部分的にエッチングする。フィーチャは、達成されるべき最終深さのほんの一部に過ぎない第1の深さまでエッチングされる。基板は、エッチングされる材料の1つ又は複数の層を有してもよい。そのような材料は、誘電体材料、導電性材料、半導体材料、又はそれらの組み合わせを含んでもよい。例えば、材料の1つ又は複数の層は、酸素と窒素の交互層、又は酸素とポリシリコンの交互層を含んでもよい。基板は、フィーチャがエッチングされる場所を画定する重ね合わせマスク層を有してもよい。いくつかの実施態様では、マスク層は、シリコンマスクなどのケイ素含有マスクである。いくつかの実施態様では、マスク層は、非晶質炭素マスクなどの炭素含有マスクである。いくつかの実施態様では、マスク層は、タングステン含有マスクなどの金属含有マスクである。
第1のプラズマは、フッ素系化学物質から生成されてもよい。或いは、第1のプラズマは、フッ素系化学物質を含まなくてもよい。
エッチングは、エッチャント化合物(複数可)をプラズマエッチングチャンバ内に流すこと(シャワーヘッドを介することが多い)と、エッチャント化合物(複数可)から第1のプラズマを生成することとを含む反応性イオンエッチングプロセスであってもよい。いくつかの実施態様では、第1のプラズマは、エッチャント化合物(複数可)を中性種とイオン種(例えば、CF、CF2、CF3などの帯電物質又は中性物質)に解離させる。第1のプラズマは、容量結合プラズマであってもよいが、他の種類のプラズマを適宜使用してもよい。第1のプラズマ中のイオンは、基板に向けられ、衝撃に際し材料の1つ又は複数の層のエッチング除去を引き起こす。第1のプラズマのイオンは、材料の1つ又は複数の層を通る垂直方向のエッチングを促進する。
一例では、エッチング化学物質は、1つ又は複数のフルオロカーボン及び/又は水素を含む。従来のものではない化学物質と同様に、他の従来のエッチング化学物質が使用されてもよい。フルオロカーボンは、約10sccmから約200sccmの間など、約0sccmから約500sccmの間の速度で流されてもよい。水素は、約100sccmから約300sccmの間など、約0sccmから約500sccmの間の速度で流されてもよい。本明細書における流量は、異なるサイズのエッチングチャンバごとに適宜スケールされてもよく、かつ異なるサイズの基板ごとに基板面積に基づいて線形にスケールされてもよい。
いくつかの実施態様では、エッチング中の基板温度は、約100℃未満、約0℃未満、又は約-150℃から約100℃の間である。いくつかの実施態様では、エッチング中の圧力は、約5mTorrから約400mTorrの間、又は約10mTorrから約100mTorrの間である。様々な場合において、第1のプラズマを生成するために2周波RF電力が使用される。したがって、RF電力は、低周波成分(例えば、400kHz)と高周波成分(例えば、60MHz)とを含んでもよい。異なる電力が、各周波数成分で提供されてもよい。例えば、低周波成分(例えば、400kHz)は、約0kWから約100kWの間、又は約2kWから約50kWの間の電力で提供されてもよく、高周波成分(例えば、60MHz)は、約0kWから約80kWの間、又は約1kWから約10kWの間の電力などの、より低い電力で提供されてもよい。これらの電力レベルは、RF電力が単一の300mmウェハに送達されることを想定している。電力レベルは、追加の基板及び/又は他のサイズの基板のために、基板面積に基づいて線形にスケール可能である(それにより、基板に送達される均一な電力密度を維持する)。
エッチングプロセスの各サイクルは、材料の1つ又は複数の層をある程度までエッチングする。各サイクル中にエッチングされる距離は、約10nmから約2000nmの間、又は約50nmから約500nmの間であってもよい。
エッチングプロセスは、場合によっては、ポリマー側壁コーティングなどの初期側壁コーティングを生成してもよい。そのようなポリマー側壁コーティングは上述されている。初期側壁コーティングは、エッチングプロセスと同時に成膜されてもよい。初期側壁コーティングは、フィーチャの側壁の一部に沿って成膜されてもよく、初期側壁コーティングは、吸着したフルオロカーボン種/フラグメントから形成されてもよい。ただし、初期側壁コーティングの深さは、フィーチャのより上部分近くの領域に限定されてもよく、又はエッチングされたフィーチャの特定の長さをカバーしない領域に限定されてもよい。初期側壁コーティングは、金属系ライナーほど様々なエッチング化学物質に対して耐性がなくてもよい。
図5Aは、第1のエッチング後の基板の部分的にエッチングされたフィーチャの断面概略図を示す。部分的にエッチングされたフィーチャ502は、基板500を通じて形成されてもよい。部分的にエッチングされたフィーチャ502は、高アスペクト比を有してもよく、部分的にエッチングされたフィーチャ502は、約5:1以上、約10:1以上、約20:1以上、約50:1以上、又は約100:1以上のアスペクト比を有する。部分的にエッチングされたフィーチャ502は、プラズマエッチングチャンバ内でプラズマベースのエッチングプロセスを使用して形成されてもよい。プラズマベースのエッチングは、フッ素系化学物質を使用してもよい。いくつかの実施態様では、エッチング副生成物504は、部分的にエッチングされたフィーチャ502の側壁の一部に沿って形成されてもよい。エッチング副生成物504は、フッ素化ポリマーなどの1つ又は複数のポリマーを含んでもよい。エッチング副生成物504は、部分的にエッチングされたフィーチャ502の側壁に沿って不均一に堆積されてもよい。ただし、エッチング副生成物504が側壁に沿って堆積されていない、又はエッチング副生成物が十分に側壁を保護していないいくつかの部分では、ボーイングが発生することもある。図5Aに示すように、エッチング副生成物504は、側壁コーティングを形成して、側壁の上部分及び底部分に沿ったエッチングに抵抗してもよい。弓部506が側壁の中間部分に形成されて、部分的にエッチングされたフィーチャ502が上部分及び底部分よりも中間部分でより広くなることもある。部分的にエッチングされたフィーチャ502は、側壁の底部分で先細りしてもよい。部分的にエッチングされたフィーチャ502は、基板500内のコンタクトプラグ508に達するまで延びることはない。
図4を参照すると、いくつかの実施態様では、プロセス400は継続し、ここでポリマー側壁コーティングが任意選択で除去される。ブロック410におけるフィーチャの形成のためのエッチャント化学物質とは異なるエッチャント化学物質が、ポリマー側壁コーティングを除去するために適用される。エッチャント化学物質は、基板の材料の1つ又は複数の層を除去することなく、フルオロカーボン種/フラグメントを選択的に除去してもよい。フィーチャが、プラズマエッチングチャンバ内でプラズマに曝露されて、選択的にポリマー側壁コーティングを除去してもよい。ただし、いくつかの実施態様では、プロセス400は、ポリマー側壁コーティングの除去の動作、又はブロック410に例示される第1のプラズマを使用する部分的エッチングの動作とポリマー側壁コーティングの除去の動作とを含まなくてもよいことが理解されよう。むしろ、プロセス400は、基板がプラズマエッチングチャンバに提供されたところで開始されてもよく、基板は、達成されるべき最終深さのほんの一部である第1の深さまでくぼんだフィーチャを含む。凹型フィーチャを有する基板は、入ってくる前処理された基板としてプラズマエッチングチャンバによって受け取られてもよい。
図5Bは、各副生成物除去後の部分的にエッチングされたフィーチャの断面概略図を示す。図5Bでは、エッチング副生成物504は、部分的にエッチングされたフィーチャ502内での金属系ライナーの成膜前に、任意選択で除去される。エッチング副生成物504は、部分的にエッチングされたフィーチャ502の側壁から選択的に除去されてもよい。エッチング副生成物504の選択的除去の間、部分的にエッチングされたフィーチャ502がさらにエッチングされることはない。
図4に戻ると、プロセス400のブロック420において、保護膜が、1つ又は複数の成膜反応物を使用してプラズマエッチングチャンバ内でフィーチャの側壁上に成膜され、保護膜は金属を含む。いくつかの実施態様では、成膜は、約100℃以下の成膜温度で発生してもよい。いくつかの例では、成膜温度は、エッチング温度と同じ、又は同様であってもよい。例えば、成膜温度は、約-100℃から約0℃の間でさえあってもよい。「成膜温度」は、成膜中に維持される基板支持体温度、台座温度、又は静電チャック温度を指すことが理解されよう。フィーチャをエッチングするために使用されるプラズマエッチングチャンバは、保護膜成膜用のプラズマエッチングチャンバと同じものである。したがって、エッチングと成膜はインサイチュで行われ、成膜とエッチングが同じ反応チャンバで行われることを意味する。保護膜はまた、インサイチュ保護膜、保護側壁コーティング、金属系ライナー、又はインサイチュ金属系ライナーと呼ばれることもある。保護膜のインサイチュ成膜は、追加の基板搬送と洗浄時間に関連する処理時間とコストを減らす。保護膜のインサイチュ成膜は、基板を不要な材料、大気、及び/又は水分に曝露することもある基板搬送間での真空破壊を回避する。独立型の成膜及び洗浄ツールもまた、保護膜のインサイチュ成膜により、高アスペクト比エッチングにおいて排除されてもよい。
保護膜の成膜に先立ち、基板は、プラズマエッチングチャンバで受け取られてもよい。基板は、第1の深さまでくぼんだフィーチャを含んでもよい。或いは、基板は、保護膜の成膜前に、ブロック410で説明したように、プラズマエッチングチャンバ内で部分的エッチングを受けてもよい。
保護膜は、金属含有膜である。金属含有膜は、酸化ケイ素、窒化ホウ素、及び炭化水素ポリマーなどの他の種類の膜と比較して、エッチング耐性/側壁保護の向上をもたらすことがわかっている。保護膜に含まれてもよい金属の例として、限定されないが、タングステン(W)、モリブデン(Mo)、レニウム(Re)、バナジウム(V)、及びタンタル(Ta)が挙げられる。いくつかの実施態様では、保護膜はタングステンを含む。場合によっては、保護膜は、窒素、炭素、ケイ素、酸素、水素、又はそれらの組み合わせをさらに含んでもよい。したがって、保護膜は、金属炭化物、金属窒化物、金属シリサイド、又は金属酸化物であってもよい。場合によっては、保護膜は金属製である。保護膜が金属製である場合、保護膜は、元素金属を実質的に含み、保護膜の少なくとも95原子%は、元素金属である。
金属含有膜は、多くの場合、高度のコンフォーマル性で成膜されてもよい。様々な金属含有膜が、酸化ケイ素並びに他のケイ素含有及びボロン含有膜よりも高いコンフォーマル性で成膜可能である。改善されたコンフォーマル性は、フィーチャの上部が成膜/エッチング段階中に塞がる可能性を少なくとも減少させるため、有利である。
典型的には、保護側壁コーティングとして機能する金属系ライナーは、エクスサイチュで形成される。エクスサイチュで形成される場合、金属系ライナーは、プラズマエッチングチャンバとは別個の成膜チャンバを使用して成膜される。エクスサイチュ金属系ライナーは、しばしば、原子層堆積プロセス又は化学蒸着プロセスによって形成される。そのような成膜プロセスは、高い温度で動作し、そのような成膜温度は、通常、少なくとも150℃、少なくとも180℃、少なくとも200℃、又は少なくとも250℃である。例えば、エクスサイチュ成膜プロセスは、しばしば、約200℃から約600℃の間、又は約200℃から約400℃の間で行われる。そのようなエクスサイチュ成膜プロセスは、基板加熱制御を必要とする場合があり、ハードウェアのコストと複雑性が増す可能性がある。
エッチング温度は一般に、成膜温度よりも低い。本開示では、保護膜は、エッチング温度と同等の温度で、プラズマエッチングチャンバ内で形成されてもよい。そのような温度は、追加の基板加熱制御の支援なしに実現されてもよい。保護膜は、約150℃以下、約100℃以下、約40℃以下、又は約0℃未満の温度で、プラズマエッチングチャンバ内で形成される。上述したように、そのような温度は、基板を支持する基板支持体、台座、又は静電チャックにおいて維持された温度に従い測定されてもよい。いくつかの実施態様では、保護膜は、極低温で、プラズマエッチングチャンバ内で形成される。例えば、保護膜は、約-100℃から約-10℃の間の温度で形成される。
一般に、エクスサイチュで形成される金属系ライナーは、インサイチュで形成される金属系ライナーよりも高いチャンバ圧力を必要とすることがある。エクスサイチュで形成される金属系ライナーは、少なくとも約400mTorrの圧力で成膜されることもある。ただし、本開示の金属系ライナーは、エッチングプロセスと同等の圧力で、インサイチュで形成される。いくつかの実施態様では、保護膜のインサイチュ成膜中の圧力は、約5mTorrから約400mTorrの間、約5mTorrから約300mTorrの間、約5mTorrから約200mTorrの間、又は約10mTorrから約50mTorrの間である。
本開示の保護膜は、1つ又は複数の成膜反応物又は成膜前駆体を使用して、フィーチャの側壁上に成膜される。成膜は、プラズマベースのプロセスであってもよい。結果として、保護膜を成膜することは、1つ又は複数の成膜反応物のプラズマを生成することと、基板をプラズマに曝露してフィーチャの側壁上に保護膜を成膜することとを含んでもよい。いくつかの実施態様では、プラズマは、容量結合プラズマであってもよい。いくつかの他の実施態様では、プラズマは、誘導結合プラズマ、遠隔発生プラズマ、マイクロ波プラズマなどであってもよい。いくつかの実施態様では、プラズマは、低周波(LF)成分と高周波(HF)成分などの2周波数成分を使用して生成されてもよい。第1のエッチングが第1のプラズマを用いて行われ、かつ側壁パッシベーション後の第2のエッチングが第2のプラズマを用いて行われる場合、保護膜の成膜用に形成されたプラズマは、「第3のプラズマ」と呼ばれることもある。
ブロック420における成膜化学物質は、ブロック410におけるエッチング化学物質とは異なる。第1のプラズマが、1つ又は複数のエッチング反応物を含む場合、保護膜の成膜用のプラズマの1つ又は複数の成膜反応物は、1つ又は複数のエッチング反応物とは異なる。いくつかの実施態様では、1つ又は複数の成膜反応物は、金属フッ化物などの金属含有ガスを含む。金属含有ガスの例は、限定されないが、六フッ化タングステン(WF6)、六フッ化レニウム(ReF6)、六フッ化モリブデン(MoF6)、五フッ化タンタル(TaF5)、及びフッ化バナジウム(VF5)を含み得る。いくつかの実施態様では、金属含有ガスは、六フッ化タングステンなどのタングステン含有ガスである。開示された金属含有ガスは、限定を意図するものではない。他の反応物もまた、当業者に既知のように使用されてよい。
いくつかの実施態様では、1つ又は複数の成膜反応物は還元剤を含む。いかなる理論にも制限されるものではないが、還元剤は、金属含有ガスを「重合可能な」モノマー源に変える働きをする。還元剤は、金属含有ガスを還元して中間体ラジカルを形成し、中間体ラジカルはさらに還元されて、元素金属及び/又は金属含有窒化物、シリサイド、酸化物、若しくは炭化物を形成する。一例として、六フッ化タングステンは、タングステン金属に還元されてもよい。還元剤の例は、限定されないが、水素(H2)、過酸化水素(H22)、メタン(CH4)、シラン(SiH4)、ボラン(BH3)、及びアンモニア(NH3)を含み得る。いくつかの実施態様では、還元剤は水素を含む。開示された還元剤は、限定を意図するものではない。他の還元剤もまた、当業者に既知のように使用されてよい。
いくつかの実施態様では、1つ又は複数の成膜反応物は、不活性ガス種を含む。不活性ガス種は、金属含有ガス及び還元剤と共に流されてもよい。いくつかの実施態様では、保護膜の成膜は、不活性ガス種なしに発生してもよいことが理解されよう。イオン化不活性ガス種は、保護膜の成膜用のプラズマ内で発生する。イオン化不活性ガス種は、保護膜のイオンアシスト蒸着を促進する場合がある。不活性ガス種の例は、限定されないが、アルゴン(Ar)、ネオン(Ne)、クリプトン(Kr)、及びキセノン(Xe)を含み得る。いくつかの実施態様では、不活性ガス種はアルゴンを含む。開示された不活性ガス種は、限定を意図するものではない。他の不活性ガス種もまた、当業者に既知のように使用されてよい。
いくつかの実施態様では、1つ又は複数の成膜反応物は、フッ素含有ガスを含む。フッ素含有ガスは、金属含有ガス及び還元剤と共に流されてもよい。いくつかの実施態様では、保護膜の成膜は、フッ素含有ガスなしに発生してもよいことが理解されよう。いくつかの例では、フッ素含有ガスの濃度の調整は、保護膜がフィーチャ内でどれぐらい深く、又はフィーチャのどこに形成されるかに影響する。フッ素含有ガスの例は、限定されないが、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、及び四フッ化ケイ素(SiF4)を含み得る。いくつかの実施態様では、フッ素含有ガスは、三フッ化窒素を含む。開示されたフッ素含有ガスは、限定を意図するものではない。他のフッ素含有ガスもまた、当業者に既知のように使用されてよい。
1つ又は複数の成膜反応物の流量は、プラズマエッチングチャンバ内での保護膜の成膜を最適化するように調整されてもよい。保護膜のインサイチュ成膜の間、金属含有ガスの流量は、約0.1sccmから約20sccmの間、又は約0.5sccmから約10sccmの間であってもよい。還元剤の流量は、約10sccmから約500sccmの間、又は約20sccmから約200sccmの間であってもよい。不活性ガス種の流量は、約0sccmから約500sccmの間、又は約0sccmから約100sccmの間であってもよい。フッ素含有ガスの流量は、約0sccmから約500sccmの間、又は約0sccmから約50sccmの間であってもよい。
本明細書で使用される場合、1つ又は複数の成膜反応物の流量は、1つ又は複数の成膜反応物の濃度と同じ意味で使用される場合がある。
フッ素含有ガスの濃度は、保護膜の局在化を制御するように調整されてもよい。言い換えれば、フィーチャの側壁上の保護膜の局在化は、フッ素含有ガスの濃度に少なくとも部分的に基づいてもよい。局在化は、フィーチャの側壁に沿った保護膜の深さ又は位置を指す場合がある。場合によっては、保護膜は、横方向のエッチングによりボーイングが発生した側壁の部分に沿って成膜されてもよい。そうすれば、保護膜は、さらなる横方向のエッチングを防ぐために、フィーチャの領域内に位置付けられ/局在化されてもよい。場合によっては、フッ素含有ガスの濃度は、マスク層上への保護膜の成膜を可能にするように制御されてもよい。
プラズマエッチングチャンバ内での保護膜の成膜を最適化するために、様々なプラズマパラメータが調整されてもよい。RF電源の整合ネットワークに供給される電力及び周波数は、1つ又は複数の成膜反応物用のプラズマを生成するのに十分であり得る。プラズマは、少なくとも高周波成分を使用して生成されてもよく、高周波成分は、概ね、約2MHzから約60MHzの間、又は約5MHzから約60MHzの間であってもよい。いくつかの実施態様では、プラズマはまた、低周波成分を使用して生成されてもよく、低周波成分は、約100kHzから約2MHzの間、又は約200kHzから約1MHzの間である。いくつかの実施態様では、プラズマは、高周波成分と低周波成分の両方を使用して生成される。いくつかの実施態様では、低周波成分のRF電力は、約0Wから約10,000kWの間、約0Wから約100kWの間、又は約500Wから約10kWの間である。いくつかの実施態様では、高周波成分のRF電力は、約0Wから約8000kWの間、約500Wから約100kWの間、又は約500Wから約10kWの間である。これらの電力レベルは、RF電力が単一の300mmウェハに送達されることを想定している。電力レベルは、追加の基板及び/又は他のサイズの基板のために、基板面積に基づいて線形にスケール可能である(それにより、基板に送達される均一な電力密度を維持する)。RF電源から、生成されたプラズマは、パルスプラズマ又は連続波プラズマであってもよい。いくつかの実施態様では、基板は、保護膜を成膜するのに充分な持続時間、プラズマに曝露されてもよい。いくつかの実施態様では、基板をプラズマに曝露するための曝露時間は、約0.5秒から約1000秒の間、約2秒から約500秒の間、又は約5秒から約300秒の間であってもよい。成膜中に基板をプラズマに曝露するためのRF電力(複数可)及び曝露時間は、エッチング中に基板をプラズマに曝露するためのRF電力(複数可)及び曝露時間とは異なってもよい。
いくつかの実施態様では、保護膜の局在化は、RF電力(複数可)によって少なくとも部分的に制御されてもよい。言い換えれば、フィーチャの側壁上の保護膜の局在化は、プラズマを生成するために印加されたRF電力(複数可)に少なくとも部分的に基づいてもよい。RF電力(複数可)の調整は、保護膜の局在化に影響を与えるために、フッ素含有ガスの濃度の調整に加えて、又はその代わりとして使用されてもよい。
いくつかの実施態様では、1つ又は複数の共反応物は、任意選択で1つ又は複数の成膜反応物と共に流されてもよい。保護膜の成膜用のプラズマは、1つ又は複数の共反応物と1つ又は複数の成膜反応物とを含んで生成されてもよい。プラズマは、保護膜の成膜をもたらす化学反応を引き起こし得る。共反応物の例として、限定されないが、メタン(CH4)、窒素(N2)、四塩化ケイ素(SiCl4)、四フッ化ケイ素(SiF4)、及びシラン(SiH4)が挙げられる。1つ又は複数の共反応物を組み込むことによって、成膜された保護膜は、金属炭化物、金属窒化物、又は金属シリサイドとなり得る。
保護膜の成膜の長さ及び厚さは、様々な成膜パラメータによって制御されてもよい。フィーチャの側壁上に成膜された保護膜の長さ及び厚さは、曝露時間、圧力、温度、合計流量、RF電力(複数可)、還元剤の濃度、不活性ガス種の濃度、及び金属含有ガスの濃度のうちの1つ又は複数の成膜条件に少なくとも部分的に基づいてもよい。これらのノブは、保護膜の様々な長さ及び厚さを実現するように調整されてもよい。いくつかの実施態様では、保護膜の長さは、約0.1μmから約8μmの間、又は約0.5μmから約5μmの間である。いくつかの実施態様では、保護膜の平均厚さは、約1nmから約5nmの間、又は約2nmから約5nmの間である。保護膜の厚さは、フィーチャの下に向かってさらに先細りしてもよい。いくつかの実施態様では、保護膜の平均厚さは、テーパを付ける前に計算される。
保護膜のコンフォーマル性は、様々な成膜パラメータによって制御されてもよい。本明細書で使用される場合、コンフォーマル性は、T1/T2として計算されてもよく、式中、T1は、保護膜のある長さの中間点での膜の厚さであり、T2は、保護膜の最も厚い部分での膜の厚さである(両方の厚さが、側壁に沿って測定される)。保護膜の長さは、深さ範囲に応じて測定されてもよく、したがってコンフォーマル性は、異なる深さの範囲では異なってもよい。例えば、保護膜は、0μm~3.5μmの深さ範囲に対して少なくとも約90%のコンフォーマル性を有してもよく、かつ保護膜は、3.5μm~4μmの間では少なくとも約50%のコンフォーマル性を有してもよい。いくつかの実施態様では、保護膜は、フィーチャの側壁のかなりの部分に沿ってコンフォーマルに成膜され、かつマスク層の上には成膜されない。いくつかの実施態様では、保護膜は、フィーチャの側壁の中間部分に沿ってコンフォーマルに成膜される。フィーチャの側壁上に成膜された保護膜のコンフォーマル性は、曝露時間、圧力、温度、合計流量、RF電力(複数可)、還元剤の濃度、不活性ガス種の濃度、金属含有ガスの濃度、及びフッ素含有ガスの濃度のうちの1つ又は複数の成膜条件に少なくとも部分的に基づいてもよい。これらのノブは、保護膜の様々なコンフォーマル性の度合いを実現するように調整されてもよい。
図5Cは、金属系ライナーの成膜後の部分的にエッチングされたフィーチャの断面概略図を示す。図5Cでは、金属系ライナー510は、部分的にエッチングされたフィーチャ502の中間部分に成膜される。金属系ライナー510は、インサイチュで成膜されてもよく、金属系ライナー510は、基板500をエッチングするためのものと同じプラズマエッチングチャンバを使用して成膜される。いくつかの実施態様では、金属系ライナー510は、エッチングと同等の温度及び/又は圧力で成膜される。例えば、金属系ライナー510は、約150℃以下、約100℃以下、約0℃以下、又は約-100℃から約-10℃の間の温度で成膜されてもよく、かつ金属系ライナー510は、約5mTorrから約400mTorrの間、又は約10mTorrから約50mTorrの間の圧力で成膜されてもよい。金属系ライナー510は、部分的にエッチングされたフィーチャ502に弓部506が形成されている場所に成膜されてもよい。金属系ライナー510の局在化は、成膜中にフッ素含有ガスの濃度を調整することによって、かつ/又はRF電力(複数可)を調整することによって制御されてもよい。例えば、金属系ライナー510は、部分的にエッチングされたフィーチャ502の上部分から離れて、部分的にエッチングされたフィーチャ502のより奥深くへと形成されてもよい。いくつかの実施態様では、金属系ライナー510は、タングステンなどの元素金属を含む。金属系ライナー510は、堅牢であり、かつ様々なエッチング化学物質に対して高耐性であってもよい。金属系ライナー510は、少なくとも金属系ライナー510が成膜された領域において、部分的にエッチングされたフィーチャ502における横方向のエッチングを防止する、又は実質的に防止する働きをする。これにより確実に、側壁又は側壁の少なくとも一部を保護でき、かつエッチングプロセスは、基板500のより奥深くへエッチングを続けることができる。
図4に戻ると、プロセス400のブロック430において、第2のプラズマがプラズマエッチングチャンバ内で生成され、基板が第2のプラズマに曝露されて、基板にさらにフィーチャをエッチングし、保護膜が成膜された領域において、保護膜はエッチング中にフィーチャの横方向のエッチングを実質的に防止する。いくつかの実施態様では、フィーチャは、エッチング後に約5以上、エッチング後に約10以上、エッチング後に約30以上、エッチング後に約40以上、エッチング後に約50以上、エッチング後に約60以上、エッチング後に約80以上、又はエッチング後に約100以上のアスペクト比を有する。第2のプラズマを生成するためのプラズマエッチングチャンバは、保護膜を成膜し、かつ第1のプラズマを生成するためのプラズマエッチングチャンバと同じものである。成膜動作とエッチング動作の間に真空破壊は導入されない。基板を第2のプラズマに曝露することは、基板へのフィーチャのさらなるエッチングを継続する。フィーチャが所望の最終深さまで完全にエッチングされていない場合、次に、エッチングと保護膜の成膜の追加の動作が繰り返されてもよい。そうでない場合、フィーチャの所望の最終深さに達すると、エッチングは停止されてもよい。
第2のプラズマは、材料の1つ又は複数の層を通ってエッチングを継続してもよい。エッチングは、材料の1つ又は複数の層に対して選択的であってもよく、かつマスク層及び保護膜に対して非選択的であってもよい。第2のプラズマを用いたエッチングは、約7:1よりも大きい、約10:1よりも大きい、又は約50:1よりも大きい、保護膜に対する材料の1つ又は複数の層の選択性を有してもよい。材料の1つ又は複数の層が保護膜よりも大幅に速い速度でエッチングされるように、保護膜は、第2のプラズマを用いたエッチングに対して強い耐性がある。
エッチングは、エッチャント化合物(複数可)をプラズマエッチングチャンバ内に流すこと(シャワーヘッドを介することが多い)と、エッチャント化合物(複数可)から第2のプラズマを生成することとを含む反応性イオンエッチングプロセスであってもよい。第2のプラズマは、エッチャント化合物(複数可)を中性種とイオン種に解離させる。第2のプラズマのイオンは、基板に向けられ、衝撃に際し材料の1つ又は複数の層のエッチング除去を引き起こす。第2のプラズマのイオンは、材料の1つ又は複数の層を通る垂直方向のエッチングを促進する。
保護膜はエッチングに対して強い耐性があるため、第2のプラズマを使用するエッチングは、高い腐食性であってもよい。これは、保護膜の成膜後のエッチングのためのプロセスウィンドウをより大きく開く。したがって、第2のプラズマを使用するエッチングは、第1のプラズマを使用するエッチングよりも、より腐食性の高い化学物質、より高い温度、より高い圧力、かつ/又はより高いRF電力(複数可)を有してもよい。
第2のプラズマは、フッ素系化学物質から生成されてもよい。例えば、第2のプラズマは、1つ又は複数のフルオロカーボン、1つ又は複数の共反応物、水素含有反応物、又はそれらの組み合わせから生成されてもよい。いくつかの実施態様では、第2のプラズマのエッチャント化学物質は、第1のプラズマのエッチャント化学物質とは異なってもよい。或いは、第2のプラズマのエッチャント化学物質は、第1のプラズマのエッチャント化学物質と同じでもよい。付加的又は代替的に、第2のプラズマのエッチャント化学物質は、より高い濃度のより腐食性の高い反応物を有してもよい。例えば、第2のプラズマのエッチャント化学物質は、より高い濃度のより腐食性の高い反応物を含んでもよく、かつ/又は、第2のプラズマのエッチャント化合物(複数可)の流量は、第1のプラズマのエッチャント化合物(複数可)の流量と異なってもよい。第2のプラズマは、より大きな垂直方向のエッチング速度を提供するようにバイアスされてもよく、かつマスク層が比較的遅い速度でエッチングされるように、マスク層に対して高度に選択的であってもよい。
いくつかの実施態様では、第2のプラズマを用いたエッチング中の基板支持体温度は、第1のプラズマを用いたエッチング中と同じか、異なっていてもよい。例えば、基板支持体温度は、第2のプラズマを用いたエッチング中、より高くてもよい。いくつかの実施態様では、第2のプラズマを用いたエッチング中の圧力は、第1のプラズマを用いたエッチング中と同じか、異なっていてもよい。例えば、圧力は、第2のプラズマを用いたエッチング中、より高くてもよい。いくつかの実施態様では、第2のプラズマを用いたエッチング中のRF電力(複数可)は、第1のプラズマを用いたエッチング中と同じか、異なっていてもよい。具体的には、低周波成分と高周波成分の両方に対するRF電力(複数可)は、第2のプラズマを用いたエッチング中、より高くてもよい。
いくつかの実施態様では、第2のプラズマを用いたエッチングは、所望の最終深さまでフィーチャを延ばしてもよい。第2のプラズマを用いてエッチングされた距離は、第1のプラズマを用いてエッチングされた距離より大きくてもよい。総エッチング深さは、特定の用途に依存する場合がある。DRAMの場合、総エッチング深さは、約1μmから約3μmの間であってもよい。VNANDの場合、総エッチング深さは、約2μmから約7μmの間、又はそれ以上であってもよい。
いくつかの実施態様では、第2のプラズマを用いたエッチングは、所望の最終深さに達することなく、部分的にフィーチャを延ばしてもよい。したがって、プロセス400は、フィーチャの最終深さに達するまで、ブロック420での成膜とブロック430でのエッチングを繰り返すことによって、ブロック440を進めてもよい。いくつかの実施態様では、成膜とエッチングのサイクルは、フィーチャの最終深さに達するまで、少なくとも1回、少なくとも2回、又は少なくとも3回繰り返される。いくつかの実施態様では、第2のプラズマを用いたエッチングは、エッチストップなどの材料の追加の層を通じたオーバーエッチングを含んでもよい。いくつかの実施態様では、第2のプラズマを用いたエッチングは、フィーチャのより深いエッチングを促進してもよく、さらに保護膜が成膜されていない領域においていくらかの横方向のエッチングを促進してもよい。このような例では、フィーチャの底部又は他の部分におけるCDは、増加することもある。
図5Dは、第2のエッチング後の基板の完全にエッチングされたフィーチャの断面概略図を示す。フィーチャ512は、基板500を通って所望の深さまで形成されてもよい。フィーチャ512は、約10:1以上、約20:1以上、約50:1以上、又は約100:1以上の高アスペクト比フィーチャを実現するために、アスペクト比を拡大してもよい。フィーチャ512は、プラズマエッチングチャンバ内で、プラズマベースのエッチングプロセスを使用して、図5A~5Cの部分的にエッチングされたフィーチャ502から拡大されることによって形成される。金属系ライナー510は、プラズマベースのエッチングプロセスに耐性があり、かつフィーチャ512における横方向のエッチングを制限する。金属系ライナー510は、フィーチャ512のプロファイルを効果的に「凍結」して、平滑な壁を有するフィーチャの形成を可能にする。金属系ライナー510は、第2のエッチングが進むにつれて、スキャロップ、ボーイング、及び界面のノッチングが発生するのを防ぎ、そうでなければ制限してもよい。図5Dに示されるように、第2のエッチングは、コンタクトプラグ508に達し、それによりコンタクトプラグ508がフィーチャ512の底部で露出する。金属系ライナー510は、選択的エッチストップ層又はコンタクトプラグ508上でオーバーエッチング中に、テーパプロファイルを改善する。金属系ライナー510が成膜される場合、横方向のエッチングは制限され、又は実質的に防止される。これにより、第2のエッチングがフィーチャ512のより奥深くに進むことが可能になり、同時に金属系ライナー510が成膜されていない場所での横方向のエッチングも可能になる。いくつかの実施態様では、フィーチャ512の横方向のエッチングは、底部で進行して、フィーチャ512の底部におけるCDを広げてもよいことが理解されよう。
図6A~6Bは、いくつかの実施態様に係る、改善されたテーパのために金属系ライナーを使用してエッチングされたフィーチャを形成する様々な処理段階の断面概略図を示す。図6Aでは、インサイチュライナー610が、部分的にエッチングされたフィーチャ602の側壁に沿って成膜されている。インサイチュライナー610は、部分的にエッチングされたフィーチャ602をエッチングするためのものと同じチャンバを使用して成膜される。したがって、従来のプラズマエッチング動作範囲を超えて温度範囲を広げる必要はなく、かつ追加の微細加工ステップ、搬送、又は洗浄を導入する必要はない。インサイチュライナー610は、エッチング副生成物604のフルオロカーボンポリマー堆積物よりも、より堅牢であり、かつ横方向のエッチングにより耐性がある。これにより、スキャロップ、ボーイング、又は界面のノッチングなしにより高いアスペクト比のエッチングが可能になる。インサイチュライナー610の局在化及びコンフォーマル性は、成膜ガス化学物質又は他の条件を調整することによって制御されてもよい。これにより、部分的にエッチングされたフィーチャ602の上部付近での目詰まり又は過剰な成膜なしに、より均一な成膜が可能になる。インサイチュライナー610は、タングステンなどの金属を含んでもよい。インサイチュライナー610は、部分的にエッチングされたフィーチャ602の側壁に沿ってコンフォーマルに成膜されてもよく、かつその後のエッチング中に保護側壁コーティングとして機能する。図6Bでは、オーバーエッチングが行われて、基板600を通ってエッチングが続き、コンタクトプラグ608を露出させる。高アスペクト比フィーチャ612は、オーバーエッチング後に形成される。インサイチュライナー610は、オーバーエッチング中に横方向のエッチングを制限する。これは、改善されたテーパと、インサイチュライナー610なしに別の方法で形成されるフィーチャよりも、高アスペクト比フィーチャ612においてより均一に垂直である改善されたプロファイルとを提供する。
図7は、いくつかの実施態様に係る、エッチングされたフィーチャの側壁上に形成された金属系ライナーを有するエッチングされたフィーチャの断面概略図を示す。エッチングされたフィーチャ702は、その限界寸法(例えば、幅又は直径)よりも実質的に大きな深さを有する高アスペクト比フィーチャである。エッチングされたフィーチャ702は、少なくとも2μmの深さ、かつ約100nm未満の限界寸法であってもよい。エッチングされたフィーチャ702は、マスク704によって画定されたパターンに従い基板700に形成される。金属系ライナー710は、エッチングされたフィーチャ702の側壁に沿って形成される。図7に示すように、金属系ライナー710は、マスク704の上には成膜されない。ただし、成膜化学物質、RF電力(複数可)、又は他の成膜パラメータが、金属系ライナー710をマスク704上に成膜するために調整されてもよく、これによりマスク保護が提供される。金属系ライナー710の成膜は、エッチングプロセスと共にインサイチュで行われてもよい。金属系ライナー710は、タングステンなどの金属を含んでもよい。金属系ライナー710の局在化は、フッ素含有ガスなどの1つ又は複数の成膜反応物の濃度を調整することによって制御されてもよい。金属系ライナー710の局在化は、付加的又は代替的に、RF電力(複数可)を調整することによって制御されてもよい。金属系ライナー710の深さ、厚さ、及びコンフォーマル性は、エッチング時間と、圧力、温度、合計流量、RF電力(複数可)、還元剤の流量、不活性ガス種の流量、金属含有ガスの流量、及びフッ素含有ガスの流量などの他の成膜パラメータとによって制御されてもよい。金属系ライナー710は、ほぼコンフォーマルであるが、金属系ライナー710の厚さは、ある深さの後に先細りしてもよい。
装置
本明細書に記載される方法は、任意の適切な装置、又は装置の組み合わせによって実行されてもよい。適切な装置は、プロセス動作を実現するためのハードウェアと、本発明に従いプロセス動作を制御するための命令を有するシステムコントローラとを含む。例えば、いくつかの実施形態では、ハードウェアは、プロセスツールに含まれる1つ又は複数のプロセスステーションを含んでもよい。少なくとも1つのプロセスステーションは、エッチングステーションである。エッチング及び成膜は、本開示において単一のステーション/チャンバで発生してもよい。
図8A~8Cは、いくつかの実施態様に係る、本明細書に記載されるプラズマエッチング及びプラズマ蒸着プロセスを実施するために使用され得る反応チャンバを例示する。反応チャンバは、本明細書に記載のエッチング動作と成膜動作を実行するために使用され得る調整可能ギャップ容量結合閉込めRFプラズマリアクタ800であってもよい。描かれているように、真空チャンバ802は、下部電極806を収容する内部空間を取り囲むチャンバハウジング804を含む。チャンバ802の上部分では、上部電極808が、下部電極806から垂直に間隔を空けて配置されている。上部電極808及び下部電極806の平らな表面は、実質的に平行であり、かつ電極間の垂直方向に対して直交している。好ましくは、上部電極808及び下部電極806は円形であり、かつ垂直軸と同軸である。上部電極808の下面は、下部電極806の上面に面している。間隔を空けて対向する電極表面は、その間に調整可能なギャップ810を画定する。動作中、下部電極806には、RF電源(マッチ)820によってRF電力が供給される。RF電力は、RF供給導管822と、RFストラップ824と、RF電力部材826とを介して下部電極806に供給される。接地シールド836は、より均一なRFフィールドを下部電極806に提供するために、RF電力部材826を取り囲んでもよい。その全内容が参照により本明細書に組み込まれる共有の米国特許第7,732,728号に記載されているように、ウェハは、ウェハポート882から挿入され、処理のために下部電極806上のギャップ810内で支持され、プロセスガスがギャップ810に供給されて、RF電力によってプラズマ状態に励起される。上部電極808に電力を供給したり、或いは上部電極808を接地させることもできる。
図8A~8Cに示す実施態様では、下部電極806は、下部電極支持プレート816上で支持される。下部電極806と支持プレート816の間に介在する絶縁体リング814は、下部電極806を支持プレート816から絶縁する。
RFバイアスハウジング830は、下部電極806をRFバイアスハウジングボウル832上で支持する。ボウル832は、RFバイアスハウジング830のアーム834によって、チャンバ壁プレート818の開口部を介して導管支持プレート838に接続される。一実施態様では、RFバイアスハウジングボウル832及びRFバイアスハウジングアーム834は、1つのコンポーネントとして一体的に形成されるが、アーム834及びボウル832は、共にボルト止め又は接合された2つの別個のコンポーネントとすることもできる。
RFバイアスハウジングアーム834は、下部電極806の裏側の空間において、真空チャンバ802の外側から真空チャンバ802の内部に、気体冷却剤、液体冷却剤、RFエネルギー、リフトピン制御用ケーブル、電気的な監視及び動作信号などの、RF電力及び設備を通すための1つ又は複数の中空通路を含む。RF供給導管822は、RFバイアスハウジングアーム834から絶縁されており、RFバイアスハウジングアーム834は、RF電源820へのRF電力の戻り経路を提供する。設備導管840は、設備コンポーネントのための通路を提供する。設備コンポーネントのさらなる詳細は、米国特許第5,948,704号及び第7,732,728号に記載されており、説明を簡潔にするためにここでは示さない。ギャップ810は、好ましくは、閉込めリングアセンブリ又はシュラウド(図示せず)によって囲まれ、その詳細は、参照により本明細書に組み込まれる共有の公開された米国特許第7,740,736号に見出すことができる。真空チャンバ802の内部は、真空ポータル880を介した真空ポンプへの接続により、低圧に維持される。
導管支持プレート838は、作動機構842に取り付けられている。作動機構の詳細は、上記により本明細書に組み込まれた共有の米国特許第7,732,728号に記載されている。サーボ機械モータ、ステッピングモータなどの作動機構842は、例えば、ボールねじなどのねじ歯車846と、ボールねじを回転させるためのモータとによって、垂直リニアベアリング844に取り付けられている。ギャップ810の大きさを調整するための動作中、作動機構842は、垂直リニアベアリング844に沿って移動する。図8Aは、作動機構842がリニアベアリング844に対して高い位置にあり、その結果、ギャップ810aが小さくなっている配置を例示する。図8Bは、作動機構842がリニアベアリング844に対して中間位置にあるときの配置を例示する。示されるように、下部電極806、RFバイアスハウジング830、導管支持プレート838、RF電源820はすべて、チャンバハウジング804及び上部電極808に対してより低く移動しており、その結果、ギャップ410bは中程度の大きさになる。
図8Cは、作動機構842がリニアベアリングに対して低い位置にあるときの、大きなギャップ810cを例示する。好ましくは、上部電極808及び下部電極806は、ギャップ調整の間、同軸のままであり、ギャップを横切る上部電極及び下部電極の対向表面は、平行のままである。
この実施態様は、例えば、300mmウェハ又はフラットパネルディスプレイなどの大径基板にわたって均一なエッチングを維持するために、マルチステップのプロセスレシピ(BARC、HARC、及びSTRIPなど)中に、CCPチャンバ802内の下部電極806と上部電極808の間のギャップ810を調整することを可能にする。特に、このチャンバは、下部電極806と上部電極808の間に調整可能なギャップを提供するのに必要な線形運動を可能にする機械的配置に関係する。
図8Aは、近位端で導管支持プレート838に、遠位端でチャンバ壁プレート818の段付きフランジ828にシールされた、横方向にたわんだベローズ850を例示する。段付きフランジの内径は、RFバイアスハウジングアーム834が通過するチャンバ壁プレート818の開口部812を画定する。ベローズ850の遠位端は、クランプリング852によって固定される。
横方向にたわんだベローズ850は、真空シールを提供し、同時にRFバイアスハウジング830、導管支持プレート838、及び作動機構442の垂直移動を可能にする。RFバイアスハウジング830、導管支持プレート838、及び作動機構842は、カンチレバーアセンブリと呼ぶことができる。好ましくは、RF電源820は、カンチレバーアセンブリと共に移動し、導管支持プレート838に取り付け可能である。図8Bは、カンチレバーアセンブリが中間位置にあるときのニュートラル位置にあるベローズ850を示す。図8Cは、カンチレバーアセンブリが低位置にあるときに、横方向にたわんだベローズ850を示す。
ラビリンスシール848は、ベローズ850とプラズマ処理チャンバハウジング804の内部との間に粒子バリアを提供する。固定シールド856は、ラビリンス溝860(スロット)を提供するように、チャンバ壁プレート818においてチャンバハウジング804の内側内壁に不動に取り付けられており、可動シールドプレート858は、カンチレバーアセンブリの垂直移動に対応するためにラビリンス溝860(スロット)内を垂直に移動する。可動シールドプレート858の外側部分は、下部電極806の全ての垂直位置でスロット内に留まる。
示された実施態様では、ラビリンスシール848は、ラビリンス溝860を画定するチャンバ壁プレート818の開口部812の周縁で、チャンバ壁プレート818の内面に取り付けられた固定シールド856を含む。可動シールドプレート858は、RFバイアスハウジングアーム834に取り付けられ、かつそこから半径方向に延びており、ハウジングアーム834は、チャンバ壁プレート818の開口部812を通過する。可動シールドプレート858は、固定シールド856から第1のギャップだけ離間し、かつチャンバ壁プレート818の内面から第2のギャップだけ離間しながら、ラビリンス溝860内に延び、カンチレバーアセンブリの垂直移動を可能にする。ラビリンスシール848は、ベローズ850から剥離された粒子の真空チャンバ内部805への移動を阻止し、かつプロセスガスプラズマからのラジカルがベローズ850に移動するのを阻止する。ベローズ850において、ラジカルは、その後に剥離される堆積物を形成する可能性がある。
図8Aは、カンチレバーアセンブリが高い位置にあるとき(小さなギャップ810a)に、RFバイアスハウジングアーム834の上方のラビリンス溝860内でより高い位置にある可動シールドプレート858を示す。図8Cは、カンチレバーアセンブリが低い位置にあるとき(大きなギャップ810c)に、RFバイアスハウジングアーム834の上方のラビリンス溝860内でより低い位置にある可動シールドプレート858を示す。図8Bは、カンチレバーアセンブリが中間位置にあるとき(中程度のギャップ810b)の、ラビリンス溝860内でニュートラル又は中間位置にある可動シールドプレート858を示す。ラビリンスシール848は、RFバイアスハウジングアーム834に対して対称であるように示されているが、他の実施態様では、ラビリンスシール848は、RFバイアスハウジングアーム834に対して非対称であってもよい。
図9は、本明細書に記載されるエッチング及び成膜の方法を実施するために配置された様々なリアクタコンポーネントを描く単純なブロック図を提供する。示されるように、リアクタ900は、リアクタの他のコンポーネントを取り囲み、かつ接地されたヒータブロック920と連動して動作するシャワーヘッド914を含む容量放電式システムによって生成されたプラズマを含有する働きをするプロセスチャンバ924を含む。高周波(HF)RF(無線周波)発生器904及び低周波(LF)RF発生器902は、整合ネットワーク906とシャワーヘッド914とに接続されてもよい。整合ネットワーク906によって供給された電力及び周波数は、プロセスチャンバ924に供給されたプロセスガスからプラズマを生成するのに十分なものであり得る。例えば、整合ネットワーク906は、50Wから500W(例えば、700~7,100W/m2)のHFRF電力を提供してもよい。いくつかの例では、整合ネットワーク906は、100Wから5000W(例えば、1,400~71,000W/m2)のHFRF電力及び100Wから5000W(例えば、1,400~71,000W/m2)のLFRF電力総エネルギーを提供してもよい。典型的なプロセスでは、HFRF成分は、概ね5MHzから60MHzの間、例えば、場合によっては13.56MHz、約27MHz、又は約60MHzであってもよい。LF成分が存在する動作では、LF成分は、約100kHzから2MHz、例えば、場合によっては約430kHz又は約2MHzであってもよい。
ウェハ台座918は、リアクタ内で基板916を支持してもよい。ウェハ台座918は、特定の動作中又は動作間に基板を保持し、かつ搬送するために、チャック、フォーク、又はリフトピン(図示せず)を含んでもよい。チャックは、静電チャック、メカニカルチャック、又は業界での使用及び/又は研究のために使用可能な様々な他の種類のチャックであってもよい。
様々なプロセスガスが、入口912を介して導入されてもよい。複数のソースガスライン910が、マニホールド908に接続される。ガスは、予め混合されても、されなくてもよい。プロセスの成膜段階及びプラズマエッチング段階中に正しいプロセスガスが送達されることを保証するために、適切な弁メカニズム及び質量流量制御メカニズムが採用されてもよい。化学前駆体(複数可)が液体の形態で送達される場合には、液体流制御メカニズムが採用されてもよい。このような液体は、その後、成膜チャンバに到達する前に、液体の形態で供給される化学前駆体の気化点より高く加熱されたマニホールド内で、輸送中に気化され、プロセスガスと混合されてもよい。
プロセスガスは、出口922を介してプロセスチャンバ924から出てもよい。真空ポンプ、例えば、1段又は2段の機械式ドライポンプ及び/又はターボ分子ポンプ940が、プロセスチャンバ924からプロセスガスを引き出すために、かつ、絞り弁又は振り子バルブなどの閉ループ制御の流量制限デバイスを使用することによってプロセスチャンバ924内で適切に低圧力を維持するために、使用されてもよい。
上述したように、本明細書で論じられる成膜及びエッチングのための技術は、マルチステーションのツール又は単一のステーションのツールで実施されてもよい。具体的な実施態様では、4ステーションの成膜スキームを有する300mm Lam Vector(商標)ツール、又は6ステーションの成膜スキームを有する200mm Sequel(商標)ツールが使用されてもよい。いくつかの実施態様では、450mmウェハを処理するためのツールが使用されてもよい。様々な実施態様では、ウェハは、全ての成膜及び/又は成膜後のプラズマ処理の後にインデックス送りされてもよく、又はエッチングチャンバ若しくはステーションも同じツールの一部である場合はエッチング動作後にインデックス送りされてもよく、又は複数の成膜と処理が、ウェハのインデックス送り前に単一のステーションで行われてもよい。
いくつかの実施態様では、本明細書に記載される技術を実行するように構成された装置が提供されてもよい。適切な装置は、様々なプロセス動作を実行するためのハードウェアと、開示された実施形態に従いプロセス動作を制御するための命令を有するシステムコントローラ930とを含んでもよい。システムコントローラ930は通常、1つ又は複数のメモリデバイスと、1つ又は複数のプロセッサとを含み、プロセッサは、様々なプロセス制御機器、例えば、バルブ、RF発生器、ウェハ処理システムなどと通信可能に接続されており、かつ装置が開示された実施形態に従い技術を実行するように命令を実行するように構成されている。本開示に従いプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ930に接続されてもよい。システムコントローラ930は、様々なハードウェアデバイス、例えば、質量流量コントローラ、バルブ、RF発生器、真空ポンプなどと通信可能に接続されて、本明細書に記載される成膜動作及びエッチング動作に関連する様々なプロセスパラメータの制御を促進してもよい。
いくつかの実施態様では、システムコントローラ930は、リアクタ900の活動の全てを制御してもよい。システムコントローラ930は、大容量記憶装置に記憶され、メモリデバイスにロードされ、かつプロセッサ上で実行されるシステム制御ソフトウェアを実行してもよい。システム制御ソフトウェアは、ガスの流れ、ウェハの移動、RF発生器の起動などのタイミングを制御するための命令と、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウェハ支持体温度、目標電力レベル、RF電力レベル、基板台座、チャック、及び/又はサセプタの位置を制御するための命令と、リアクタ装置900によって実行される特定のプロセスの他のパラメータとを含んでもよい。システム制御ソフトウェアは、任意の適切な方法で構成されてもよい。例えば、様々なプロセスツールのプロセスを実施するために必要なプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントのサブルーチン又は制御オブジェクトが書き込まれてもよい。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングされてもよい。
システムコントローラ930は、典型的には、1つ又は複数のメモリデバイスと、装置が本開示に従い技術を実行するように命令を実行するように構成された1つ又は複数のプロセッサとを含んでもよい。開示された実施形態に従いプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ930に接続されてもよい。
1つ又は複数のプロセスステーションが、マルチステーションの処理ツールに含まれてもよい。図10は、インバウンドロードロック1002とアウトバウンドロードロック1004とを有するマルチステーション処理ツール1000の一実施形態の概略図を示し、インバウンドロードロック1002とアウトバウンドロードロック1004のいずれか又は両方がリモートプラズマ源を含んでもよい。ロボット1006は、大気圧で、ポッド1008を介して装填されたカセットから大気ポート1010を介してインバウンドロードロック1002にウェハを移動するように構成される。ウェハは、ロボット1006によってインバウンドロードロック1002内の台座1012に置かれ、大気ポート1010が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック1002がリモートプラズマ源を含む場合、ウェハは、処理チャンバ1014に導入される前に、ロードロック内でリモートプラズマ処理に曝露されてもよい。さらに、ウェハはまた、例えば、水分及び吸着ガスを除去するために、同様にインバウンドロードロック1002内で加熱されてもよい。次に、処理チャンバ1014へのチャンバ移送ポート1016が開かれ、別のロボット(図示せず)が、ウェハをリアクタ内に入れ、処理のためにリアクタ内に示された第1のステーションの台座にウェハを置く。描かれた実施形態はロードロックを含むが、いくつかの実施態様では、ウェハがプロセスステーションに直接進入するようにしてもよいことが理解されよう。
描かれた処理チャンバ1014は、図10に示された実施形態では1~4の番号を付された4つのプロセスステーションを含む。各ステーションは、加熱された台座(ステーション1については1018で示される)と、ガスライン入口とを有する。いくつかの実施態様では、各プロセスステーションは、異なる又は複数の目的を有してもよいことが理解されよう。例えば、プロセスステーション1~4の各々は、ALD、CVD、CFD、又はエッチング(これらのいずれかは、プラズマアシストによるものであってもよい)の1つ又は複数を実行するためのチャンバであってもよい。一実施態様では、プロセスステーションの少なくとも1つは、図8A~8C又は図9に示すように反応チャンバを有する成膜・エッチングステーションである。描かれた処理チャンバ1014は4つのステーションを含むが、本開示に係る処理チャンバは、任意の適切な数のステーションを有してもよいことが理解されよう。例えば、いくつかの実施態様では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施態様では、処理チャンバは、3つ以下のステーションを有してもよい。
図10はまた、処理チャンバ1014内でウェハを搬送するためのウェハ処理システム1009の一実施態様を描いている。いくつかの実施態様では、ウェハ処理システム1009は、様々なプロセスステーション間、及び/又はプロセスステーションとロードロックの間で、ウェハを搬送してもよい。任意の適切なウェハ処理システムが採用されてよいことが理解されよう。非限定的な例として、ウェハカルーセル及びウェハ処理ロボットが挙げられる。図10はまた、プロセスツール1000のプロセス条件及びハードウェア状態を制御するために採用されるシステムコントローラ1050の一実施形態を描いている。システムコントローラ1050は、1つ又は複数のメモリデバイス1056と、1つ又は複数の大容量記憶装置1054と、1つ又は複数のプロセッサ1052とを含んでもよい。プロセッサ1052は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータコントローラボードなどを含んでもよい。
いくつかの実施態様では、コントローラはシステムの一部であり、システムは上述の例の一部であり得る。このようなシステムは、1つ又は複数の処理ツール、1つ又は複数のチャンバ、1つ又は複数の処理用プラットフォーム、及び/又は特定の処理コンポーネント(ウェハ台座、ガス流システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウェハ又は基板の処理前、処理中、及び処理後にそれらの動作を制御するための電子機器と統合されてもよい。電子機器は「コントローラ」と呼ばれることもあり、1つ又は複数のシステムの様々なコンポーネント又は子部品を制御してもよい。コントローラは、処理要件及び/又はシステムの種類に応じて、処理ガスの送達、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、液体送達設定、位置及び動作設定、ツールへのウェハの搬入出、並びに、特定のシステムに接続又は連動する他の搬送ツール及び/又はロードロックへのウェハの搬入出を含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。
大まかに言えば、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義され得る。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSPs)、特定用途向け集積回路(ASICs)として定義されるチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサ若しくはマイクロコントローラを含んでもよい。プログラム命令は、半導体ウェハに対して、半導体ウェハのために、又はシステムに対して、特定のプロセスを実行するための動作パラメータを定義する、様々な個々の設定(又はプログラムファイル)の形態でコントローラに通信される命令であってもよい。動作パラメータは、いくつかの実施形態において、1つ又は複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はウェハのダイの製造中に1つ又は複数の処理動作を達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様において、システムに統合された、システムに接続された、そうでなければシステムにネットワーク接続された、又はそれらの組み合わせであるコンピュータの一部であり、又はそのようなコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」、すなわちファブホストコンピュータシステムの全体又は一部であってもよく、これによりウェハ処理の遠隔アクセスが可能になる。コンピュータは、製造動作の現在の進行状況を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向又は性能基準を調査し、現在の処理のパラメータを変更し、処理動作を設定して現在の処理を追跡し、又は新たなプロセスを開始するために、システムへの遠隔アクセスを可能にしてもよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワークを介してシステムにプロセスレシピを提供でき、ネットワークはローカルネットワーク又はインターネットを含んでもよい。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインタフェースを含んでもよく、パラメータ及び/又は設定は次いで遠隔コンピュータからシステムへと伝達される。いくつかの例では、コントローラは、1つ又は複数の動作中に実施される処理動作のそれぞれのパラメータを指定する、データの形式の命令を受け取る。パラメータは、実施されるプロセスの種類と、コントローラがインタフェース接続する又は制御するように構成されたツールの種類とに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、共にネットワーク化され、本明細書に記載のプロセス及び制御などの共通の目的にむけて動作する1つ又は複数の個別のコントローラを含むことなどにより、分散されてもよい。そのような目的のための分散型コントローラの一例は、遠隔地に設置され(プラットフォームレベルで、又は遠隔コンピュータの一部としてなど)、チャンバでのプロセスを協同で制御する1つ又は複数の集積回路と通信するチャンバ上の1つ又は複数の集積回路を含む。
システムの例は、プラズマエッチングチャンバ又はモジュール、成膜チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属メッキチャンバ又はモジュール、洗浄チャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理蒸着(PVD)チャンバ又はモジュール、化学蒸着(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、並びに半導体ウェハの製作及び/又は製造に関連する、又は使用され得る任意の他の半導体処理システムを含み得るが、これらに限定されない。
上述のように、ツールによって実行される1つ又は複数の動作に応じて、コントローラは、他のツール回路又はモジュール、他のツールコンポーネント、クラスタツール、他のツールインタフェース、隣接ツール、近隣ツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内のツール位置及び/又はロードポートへウェハの容器を搬入出する材料搬送に用いられるツールの、1つ又は複数と通信してもよい。
特定の実施態様では、コントローラは、図4に関連して示し説明した動作を実行するための命令を有する。例えば、コントローラは、周期的又は非周期的に、(a)プラズマエッチングチャンバを使用してエッチング動作を実行して、基板上にフィーチャを部分的にエッチングし、(b)基板を実質的にエッチングすることなく、同じプラズマエッチングチャンバを使用してエッチングされたフィーチャに保護側壁コーティングを成膜するための、命令を有してもよい。保護側壁コーティングは、タングステンなどの金属を含んでもよい。命令は、開示された反応条件を使用してこれらのプロセスを実行することに関するものであり得る。いくつかの実施態様では、側壁保護コーティングの成膜は、約150℃以下、約100℃以下、約0℃以下、又は約-100℃から約-10℃の間の温度で発生してもよい。いくつかの実施態様では、側壁保護コーティングの成膜は、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む1つ又は複数の成膜反応物を使用して発生してもよい。
図10の実施形態に戻ると、いくつかの実施形態では、システムコントローラ1050は、プロセスツール1000の活動の全てを制御する。システムコントローラ1050は、大容量記憶装置1054に記憶され、メモリデバイス1056にロードされ、かつプロセッサ1052上で実行されるシステム制御ソフトウェア1058を実行する。或いは、制御論理は、システムコントローラ1050にハードコーディングされてもよい。特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、又はFPGAs)などがこれらの目的のために使用されてもよい。以下の議論では、「ソフトウェア」又は「コード」が使用される場合は常に、機能的に同等のハードコーディングされた論理がその代わりに使用されてもよい。システム制御ソフトウェア1058は、タイミング、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウェハ支持体温度、目標電力レベル、RF電力レベル、RF曝露時間、基板台座、チャック及び/又はサセプタの位置、並びにプロセスツール1000によって実行される特定のプロセスの他のパラメータを制御するための命令を含んでもよい。システム制御ソフトウェア1058は、任意の適切な方法で構成されてもよい。例えば、様々なプロセスツールのプロセスを実施するために必要なプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントのサブルーチン又は制御オブジェクトが書き込まれてもよい。システム制御ソフトウェア1058は、任意の適切なコンピュータ可読プログラミング言語でコーディングされてもよい。
いくつかの実施形態では、システム制御ソフトウェア1058は、上述の様々なパラメータを制御するための入力/出力制御(IOC)順序付け命令を含んでもよい。例えば、成膜/エッチングプロセスの各段階は、システムコントローラ1050による実行のための1つ又は複数の命令を含んでもよい。
システムコントローラ1050に関連付けられた大容量記憶装置1054及び/又はメモリデバイス1056に記憶された他のコンピュータソフトウェア及び/又はプログラムが、いくつかの実施形態で採用されてもよい。この目的のためのプログラム又はプログラムのセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座1018に搭載し、かつ基板とプロセスツール1000の他の部品との間の間隔を制御するために用いられるプロセスツールコンポーネントのためのプログラムコードを含んでもよい。
プロセスガス制御プログラムは、ガス組成と流量とを制御するための、かつ任意選択でプロセスステーション内の圧力を安定させるために成膜前に1つ又は複数のプロセスステーション内にガスを流すためのコードを含んでもよい。いくつかの実施形態では、コントローラは、周期的又は非周期的に(a)凹型フィーチャをエッチングし、かつ(b)部分的にエッチングされたフィーチャの側壁上に金属含有保護層をインサイチュ成膜するための命令を含み、様々なプロセスガスの流れに関する適切な命令を含む。
圧力制御プログラムは、例えば、プロセスステーションの排気システムの絞り弁、プロセスステーション内へのガス流などを調整することによって、プロセスステーション内の圧力を制御するためのコードを含んでもよい。いくつかの実施形態では、圧力制御プログラムは、本明細書に記載されたエッチング/成膜方法の様々な段階中に適切な圧力レベルで反応チャンバ(複数可)を維持するための命令を含んでもよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでもよい。或いは、ヒータ制御プログラムは、熱伝達ガス(ヘリウムなど)の基板への送達を制御してもよい。特定の実施態様では、コントローラは、第1の温度で基板をエッチングし、かつ第2の温度で保護金属含有側壁コーティングを成膜するための命令を含む。いくつかの実施態様では、第1の温度は、第2の温度と同じであるか、ほぼ同様であってもよい。
プラズマ制御プログラムは、本明細書の実施態様に従い1つ又は複数のプロセスステーションにおけるRF電力レベル及び曝露時間を設定するためのコードを含んでもよい。いくつかの実施態様では、コントローラは、エッチング及び/又は金属含有保護側壁コーティングの成膜中のプラズマ特性を制御するための命令を含む。命令は、適切な電力レベル、周波数、デューティサイクルなどに関するものであってもよい。
いくつかの実施形態では、システムコントローラ1050に関連づけられたユーザインタフェースが存在してもよい。ユーザインタフェースは、ディスプレイスクリーン、装置及び/又はプロセス条件のグラフィカルソフトウェアディスプレイ、並びに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
いくつかの実施形態では、システムコントローラ1050によって調整されるパラメータは、プロセス条件に関するものであってもよい。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベル及び曝露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインタフェースを利用して入力されてもよい。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システムコントローラ1050のアナログ及び/又はデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール1000のアナログ及びデジタル出力接続で出力されてもよい。監視され得るプロセスツールセンサの非限定的な例として、質量流量コントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。適切にプログラムされたフィードバック及び制御アルゴリズムが、プロセス条件を維持するために、これらのセンサからのデータと共に使用されてもよい。
システムコントローラ1050は、上述の成膜プロセスを実施するためのプログラム命令を提供してもよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御してもよい。命令は、本明細書に記載される様々な実施態様に従い保護膜のインサイチュ成膜を作動させるようにパラメータを制御してもよい。
システムコントローラは、典型的には、1つ又は複数のメモリデバイスと、開示された実施形態に従い装置が方法を実行するように命令を実行するように構成された1つ又は複数のプロセッサとを含む。開示された実施形態に従いプロセス動作を制御するための命令を含む機械可読非一時的媒体は、システムコントローラに接続されてもよい。
上述した様々なハードウェア及び方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの製造又は生産のために、リソグラフィパターニングツール又はプロセスと共に使用されてもよい。典型的には、必ずしもそうではないが、このようなツール/プロセスは、共通の製造設備で一緒に使用され、又は実施される。
図11は、真空搬送モジュール1138(VTM)と接続する様々なモジュールを有する半導体プロセスクラスタアーキテクチャを描く。複数の保管施設及び処理モジュールの間で基板を「搬送」するための搬送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることもある。ロードロック又は搬送モジュールとしても知られるエアロック1130は、4つの処理モジュール1120a~1120dを有するVTM1138内に示され、4つの処理モジュールは、様々な製造プロセスを実行するように個々に最適化されてもよい。例として、処理モジュール1120a~1120dは、基板のエッチング、成膜、イオン注入、基板の洗浄、スパッタリング、及び/又は他の半導体プロセス、並びにレーザ計測、他の欠陥検出及び欠陥同定方法を実行するように実装されてもよい。処理モジュールの1つ又は複数(1120a~1120dのいずれか)は、本明細書に開示されるように、つまり、基板に凹型フィーチャをエッチングし、凹型フィーチャの側壁上に保護膜(又はその中の副層)を成膜し、かつ開示された実施形態に係る他の適切な機能のために、実装されてもよい。エアロック1130及びプロセスモジュール1120a~1120dは、「ステーション」と呼ばれることもある。各ステーションは、ステーションをVTM1138に接続するファセット1136を有する。ファセット内では、それぞれのステーション間で移動される際に基板1126の通過を検出するために、センサ1~18が使用される。
一例では、処理モジュール1120aは、エッチング用に構成されてもよく、かつ処理モジュール1120bは、成膜用に構成されてもよい。別の例では、処理モジュール1120aは、エッチング用に構成されてもよく、処理モジュール1120bは、保護側壁コーティングの第1の副層を成膜するように構成されてもよく、かつ処理モジュール1120cは、保護側壁コーティングの第2の副層を成膜するように構成されてもよい。
ロボット1122は、ステーション間で基板を搬送する。一実施態様では、ロボットは1つのアームを有してもよく、別の実施態様では、ロボットは2つのアームを有してもよく、各アームは、移送のために基板をつかむエンドエフェクタ1124を有する。フロントエンドロボット1132は、雰囲気搬送モジュール(ATM)1140内で、基板をロードポートモジュール(LPM)1142内のカセット又はFOUP(Front Opening Unified Pod)1134からエアロック1130へと搬送するために使用されてもよい。プロセスモジュール1120a~1120d内部のモジュールセンタ1128は、基板を配置するための1つの場所であってもよい。ATM1140内のアライナ1144は、基板を位置合わせするために使用されてもよい。
処理方法の例において、基板は、LPM1142内のFOUP1134の1つに配置される。フロントエンドロボット1132は、基板をFOUP1134からアライナ1144に搬送し、これにより、基板1126がエッチングされ、その上への成膜を受け、又は他の処理を受ける前に、基板1126を適切にセンタリングできる。位置合わせされた後、基板は、フロントエンドロボット1132によってエアロック1130の中に運ばれる。エアロックモジュールは、ATMとVTMの間の環境を一致させる能力を有するため、基板は、損傷を受けることなく2つの圧力環境間を移動できる。基板は、エアロックモジュール1130から、ロボット1122によって、VTM1138を介してプロセスモジュール1120a~1120dの1つ、例えば、プロセスモジュール1120aの中に運ばれる。この基板移動を達成するために、ロボット1122は、その各アーム上のエンドエフェクタ1124を使用する。プロセスモジュール1120aにおいて、基板は、本明細書に記載されるようにエッチングを受けて、部分的にエッチングされたフィーチャが形成される。基板は、本開示に記載されるように、プロセスモジュール1120aにおいて保護膜の成膜を受けてもよい。部分的にエッチングされたフィーチャは、プロセスモジュール1120aでさらにエッチングされてもよい。或いは、ロボット1122は、基板を処理モジュール1120aから出し、VTM1138内へ、次いで異なる処理モジュール1120b内へと移動させ、処理モジュール1120bにおいて、保護膜が、部分的にエッチングされたフィーチャの側壁上に成膜される。次に、ロボット1122は、基板を処理モジュール1120bから出して、VTM1138内へ、そして処理モジュール1120a内へと移動させ、処理モジュール1120aにおいて、部分的にエッチングされたフィーチャがさらにエッチングされる。エッチング/成膜は、フィーチャが完全にエッチングされるまで繰り返すことができる。
基板の移動を制御するコンピュータは、クラスタアーキテクチャに属することができ、又は製造フロアにおいてクラスタアーキテクチャの外部に配置可能であり、又は遠隔地にあって、ネットワークを介してクラスタアーキテクチャに接続可能であることに留意されたい。
膜のリソグラフィパターニングは、典型的には、以下の動作の一部又は全てを含み、各動作はいくつかの可能なツールにより可能になる:(1)スピンオンツール又はスプレーオンツールを用いて、ワークピース、例えばその上に窒化ケイ素膜が形成された基板の上に、フォトレジストを塗布すること、(2)ホットプレート、炉、又は他の好適な硬化ツールを用いて、フォトレジストを硬化させること、(3)ウェハステッパ等のツールを用いて、フォトレジストを可視光、UV光、又はX線光に曝露すること、(4)ウェットベンチ又はスプレー現像装置等のツールを用いて、レジストを現像してレジストを選択的に除去し、それによってパターニングすること、(5)ドライエッチング又はプラズマアシストエッチングツールを用いて、レジストパターンを下層膜又はワークピースに転写すること、及び(6)RF又はマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去すること。いくつかの実施形態では、アッシャブルハードマスク層(非晶質炭素層など)及び別の好適なハードマスク(反射防止層など)が、フォトレジストを塗布する前に堆積されてもよい。
他の実施形態
前述の説明では、多くの具体的詳細が、提示される実施形態の徹底的な理解を提供するために明記されている。開示された実施形態は、これらの具体的詳細の一部又は全てを用いずに実施されてもよい。他の例では、周知のプロセス動作は、開示された実施形態を不必要に曖昧にすることのないように、詳細には説明されない。開示された実施形態が具体的な実施形態と共に説明される一方で、開示された実施形態に限定することを意図していないことが理解されよう。
前述の実施形態は、理解を明確にする目的である程度詳細に説明されたが、添付の特許請求の範囲の範囲内で特定の変更及び変形が実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、及び装置を実施する多くの代替的な方法があることに留意されたい。したがって、本実施形態は、例示的なものであって制限的なものではないとみなされ、かつ本実施形態は、本明細書で与えられる詳細に限定されるものではない。

Claims (26)

  1. (a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を前記第1のプラズマに曝露して、前記基板にフィーチャを部分的にエッチングすることと、
    (b)(a)の後に、1つ又は複数の成膜反応物を使用して、前記プラズマエッチングチャンバ内で前記フィーチャの側壁上に保護膜を成膜することであって、前記保護膜が金属を含む、ことと、
    (c)(b)の後に、前記プラズマエッチングチャンバ内で第2のプラズマを生成し、かつ前記基板を前記第2のプラズマに曝露して、前記基板にさらに前記フィーチャをエッチングすることであって、前記保護膜が成膜されている領域において、前記保護膜が、(c)の間、前記フィーチャの横方向のエッチングを実質的に防止する、ことと
    を含む、方法。
  2. 請求項1に記載の方法であって、成膜が、約100℃以下の成膜温度で発生する、方法。
  3. 請求項2に記載の方法であって、前記成膜温度が、約-100℃から約-10℃の間である、方法。
  4. 請求項2に記載の方法であって、前記基板を前記第1のプラズマに曝露している間のエッチング温度が、前記成膜温度と同じである、又は実質的に同じである、方法。
  5. 請求項1に記載の方法であって、前記金属がタングステンを含む、方法。
  6. 請求項1に記載の方法であって、前記フィーチャが、(c)の後に約5以上のアスペクト比を有する、方法。
  7. 請求項1に記載の方法であって、前記1つ又は複数の成膜反応物が、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む、方法。
  8. 請求項7に記載の方法であって、前記金属含有ガスが、六フッ化タングステン(WF6)、六フッ化レニウム(ReF6)、六フッ化モリブデン(MoF6)、五フッ化タンタル(TaF5)、及びフッ化バナジウム(VF5)からなる群より選択される、方法。
  9. 請求項7に記載の方法であって、前記還元剤が、水素(H2)、過酸化水素(H22)、メタン(CH4)、シラン(SiH4)、ボラン(BH3)、及びアンモニア(NH3)からなる群より選択される、方法。
  10. 請求項7に記載の方法であって、前記フッ素含有ガスが、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、及び四フッ化ケイ素(SiF4)からなる群より選択される、方法。
  11. 請求項7に記載の方法であって、前記フィーチャの前記側壁上の前記保護膜の局在化が、前記フッ素含有ガスの濃度及び/又はRF電力に少なくとも部分的に基づく、方法。
  12. 請求項7に記載の方法であって、前記フィーチャの前記側壁上の前記保護膜の局在化及び厚さの一方又は両方が、曝露時間、圧力、温度、合計流量、RF電力、還元剤の濃度、不活性ガスの濃度、及び金属含有ガスの濃度のうちの1つ又は複数の成膜条件に少なくとも部分的に基づく、方法。
  13. 請求項1に記載の方法であって、前記保護膜を成膜することが、前記1つ又は複数の成膜反応物を含む第3のプラズマを生成することと、前記基板を前記第3のプラズマに曝露して、前記フィーチャの前記側壁上に前記保護膜を成膜することとを含む、方法。
  14. 請求項13に記載の方法であって、前記第3のプラズマが、低周波RF成分を使用して、約100kHzから約2MHzの間の低周波数で生成される、方法。
  15. 請求項13に記載の方法であって、前記第1のプラズマが、1つ又は複数の第1のエッチング反応物を含み、前記第3のプラズマの前記1つ又は複数の成膜反応物が、前記第1のプラズマの前記1つ又は複数の第1のエッチング反応物とは異なる、方法。
  16. 請求項13に記載の方法であって、前記基板を前記第3のプラズマに曝露するときのRF電力及び曝露時間が、前記基板を前記第1のプラズマに曝露するときのRF電力及び曝露時間とは異なる、方法。
  17. 請求項1に記載の方法であって、前記基板が、前記基板にエッチングされる材料の1つ又は複数の層の上にマスクを含み、前記保護膜が、前記フィーチャの前記側壁のかなりの部分に沿ってコンフォーマルに成膜され、かつ前記マスクの上には成膜されない、方法。
  18. 請求項1に記載の方法であって、前記保護膜が、前記フィーチャの前記側壁の中間部分に沿ってコンフォーマルに成膜される、方法。
  19. 請求項1に記載の方法であって、
    (d)前記フィーチャの最終深さに達するまで、(b)~(c)を繰り返すこと
    をさらに含む、方法。
  20. (a)プラズマエッチングチャンバ内で第1のプラズマを生成し、かつ基板を前記第1のプラズマに曝露して、前記基板にフィーチャを部分的にエッチングすることと、
    (b)(a)の後に、1つ又は複数の成膜反応物を使用して、前記プラズマエッチングチャンバ内で前記フィーチャの側壁上に保護膜を成膜することであって、前記1つ又は複数の成膜反応物が、金属含有ガスと、還元剤と、不活性ガスと、フッ素含有ガスとを含む、ことと、
    (c)(b)の後に、前記プラズマエッチングチャンバ内で第2のプラズマを生成し、かつ前記基板を前記第2のプラズマに曝露して、前記基板にさらに前記フィーチャをエッチングすることであって、前記保護膜が成膜されている領域において、前記保護膜が、(c)の間、前記フィーチャの横方向のエッチングを実質的に防止する、ことと
    を含む、方法。
  21. 請求項20に記載の方法であって、前記金属含有ガスが、六フッ化タングステン(WF6)、六フッ化レニウム(ReF6)、六フッ化モリブデン(MoF6)、五フッ化タンタル(TaF5)、及びフッ化バナジウム(VF5)からなる群より選択される、方法。
  22. 請求項20に記載の方法であって、前記還元剤が、水素(H2)、過酸化水素(H22)、メタン(CH4)、シラン(SiH4)、ボラン(BH3)、及びアンモニア(NH3)からなる群より選択される、方法。
  23. 請求項20に記載の方法であって、前記フッ素含有ガスが、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、及び四フッ化ケイ素(SiF4)からなる群より選択される、方法。
  24. 請求項20に記載の方法であって、前記フィーチャの前記側壁上の前記保護膜の局在化が、前記フッ素含有ガスの濃度及び/又はRF電力に少なくとも部分的に基づく、方法。
  25. 請求項20に記載の方法であって、前記保護膜を成膜するときの成膜温度が、約100℃以下である、方法。
  26. 請求項20に記載の方法であって、前記フィーチャが、(c)の後に約5以上のアスペクト比を有する、方法。
JP2023549815A 2021-02-24 2022-02-22 高アスペクト比プラズマエッチングのための金属系ライナー保護 Pending JP2024509747A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200254P 2021-02-24 2021-02-24
US63/200,254 2021-02-24
PCT/US2022/017276 WO2022182641A1 (en) 2021-02-24 2022-02-22 Metal-based liner protection for high aspect ratio plasma etch

Publications (1)

Publication Number Publication Date
JP2024509747A true JP2024509747A (ja) 2024-03-05

Family

ID=83049636

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023549815A Pending JP2024509747A (ja) 2021-02-24 2022-02-22 高アスペクト比プラズマエッチングのための金属系ライナー保護

Country Status (5)

Country Link
US (1) US20230298896A1 (ja)
JP (1) JP2024509747A (ja)
KR (1) KR20230149711A (ja)
TW (1) TW202249116A (ja)
WO (1) WO2022182641A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12014956B2 (en) * 2021-09-28 2024-06-18 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
CN111627806A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置

Also Published As

Publication number Publication date
KR20230149711A (ko) 2023-10-27
TW202249116A (zh) 2022-12-16
WO2022182641A1 (en) 2022-09-01
US20230298896A1 (en) 2023-09-21

Similar Documents

Publication Publication Date Title
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
US10170323B2 (en) Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10784086B2 (en) Cobalt etch back
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
US10297459B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10096487B2 (en) Atomic layer etching of tungsten and other metals
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US9543158B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
WO2020123562A2 (en) Etching carbon layer using doped carbon as a hard mask
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
WO2021202070A1 (en) High aspect ratio dielectric etch with chlorine
US20220351980A1 (en) Waterborne dispersion composition