TW202249116A - 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護 - Google Patents

用於高縱橫比電漿蝕刻之基於金屬的襯墊保護 Download PDF

Info

Publication number
TW202249116A
TW202249116A TW111106503A TW111106503A TW202249116A TW 202249116 A TW202249116 A TW 202249116A TW 111106503 A TW111106503 A TW 111106503A TW 111106503 A TW111106503 A TW 111106503A TW 202249116 A TW202249116 A TW 202249116A
Authority
TW
Taiwan
Prior art keywords
plasma
feature
substrate
protective film
etch
Prior art date
Application number
TW111106503A
Other languages
English (en)
Inventor
葛瑞格里 克林頓 韋伯
皮爽
塔內爾 奧澤爾
艾瑞克 A 哈得森
青 許
天樂 黄
艾米特 穆霍帕迪耶
沃爾特 湯瑪士 羅斯頓
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202249116A publication Critical patent/TW202249116A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

高深寬比特徵部係使用蝕刻及沉積處理而在基板中形成。藉由在電漿蝕刻腔室中暴露至電漿而形成經部分蝕刻特徵部。使用同一電漿蝕刻腔室在該經部分蝕刻特徵部中後續沉積基於金屬襯墊。基於金屬襯墊係穩固且在後續蝕刻操作中防止橫向蝕刻。基於金屬襯墊可在與蝕刻處理的溫度或壓力相近的溫度或壓力下加以沉積。基於金屬襯墊可在該經部分蝕刻特徵部的某些部分中局部化。在沉積後,在特徵部內續行蝕刻而在沉積該基於金屬襯墊的區域中無橫向蝕刻。

Description

用於高縱橫比電漿蝕刻之基於金屬的襯墊保護
本申請案整體係關於用於高縱橫比電漿蝕刻之基於金屬的襯墊保護。
在半導體裝置的製造期間經常被使用的其中一種處理為形成經蝕刻特徵部。此種處理可進行的示例性背景包括但不限於記憶體應用。隨著半導體產業的發展且裝置尺寸變得更小,此種特徵部變得更加難以均勻的方式進行蝕刻,尤其是對於具有窄寬度及/或深的深度的高深寬比特徵部。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果、至此先前技術段落的所述範圍、以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
本文中所提供的是在特徵部的側壁上沉積保護膜的方法。該方法包括(a) 在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部;(b) 在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以該特徵部的複數側壁上沉積保護膜,其中該保護膜包括金屬;以及(c) 在(b)過後,在該電漿蝕刻腔室中產生第二電漿,以及將該基板暴露至該第二電漿以額外蝕刻該基板中的該特徵部,其中該保護膜在(c)期間在沉積該保護膜的區域中實質防止該特徵部的橫向蝕刻。
在一些實行例中,沉積係在等於或小於約100°C的沉積溫度下進行。該沉積溫度可介於約-100°C與約-10°C之間。在將該基板暴露至該第一電漿期間的蝕刻溫度可與該沉積溫度相同或實質相同。在一些實行例中,該金屬包括鎢。在一些實行例中,該特徵部在(c)過後具有約5以上的深寬比。在一些實行例中,該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體。該含金屬氣體可選自於由下列所組成的群組:六氟化鎢(WF 6)、六氟化錸(ReF 6)、六氟化鉬(MoF 6)、五氟化鉭(TaF 5)及釩氟化物(VF 5)。該還原劑可選自於由下列所組成的群組:氫(H 2)、過氧化氫(H 2O 2)、甲烷(CH 4)、矽烷(SiH 4)、硼烷(BH 3)及氨(NH 3)。該含氟氣體可選自於由下列所組成的群組:三氟化氮(NF 3)、六氟化硫(SF 6)、四氟化碳(CF 4)及四氟化矽(SiF 4)。在一些實行例中,該特徵部的該等側壁上的該保護膜的局部化係至少部分基於該含氟氣體的濃度及RF功率的其中一者或二者。在一些實行例中,該特徵部的該等側壁上的該保護膜的局部化及厚度的其中一者或二者係至少部分基於下列沉積條件的其中一或更多者:暴露時間、壓力、溫度、總流率、RF功率、還原劑的濃度、該惰性氣體的濃度,以及該含金屬氣體的濃度。在一些實行例中,沉積該保護膜包括產生第三電漿,該第三電漿包括該一或更多沉積反應物;以及將該基板暴露至該第三電漿以在該特徵部的該等側壁上沉積該保護膜。該第三電漿可使用低頻RF構件而在介於約100 kHz與約2 MHz之間的低頻下產生。該第一電漿可包括一或更多第一蝕刻反應物,其中第三電漿的該一或更多沉積反應物與該第一電漿的該一或更多第一蝕刻反應物不同。將該基板暴露至該第三電漿時的RF功率及暴露時間可不同於將該基板暴露至該第一電漿時的RF功率及暴露時間。在一些實行例中,該基板包括遮罩,該遮罩位於該基板中待蝕刻的一或更多材料層上方,其中該保護膜沿著該特徵部的該等側壁的大部分保形沉積且不沉積在該遮罩上。在一些實行例中,該保護膜沿著該特徵部的該等側壁的中間部分保形沉積。在一些實行例中,該方法更包括:(d) 重複進行(b)至(c),直到達到該特徵部的最終深度。
本文中還提供在特徵部的側壁上沉積保護膜的方法。該方法包括(a) 在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部;(b) 在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以該特徵部的複數側壁上沉積保護膜,其中該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體;以及在(b)過後,在該電漿蝕刻腔室中產生第二電漿,以及將該基板暴露至該第二電漿以額外蝕刻該基板中的該特徵部,其中該保護膜在(c)期間在沉積該保護膜的區域中實質防止該特徵部的橫向蝕刻。在一些實行例中,該含金屬氣體選自於由下列所組成的群組:六氟化鎢(WF 6)、六氟化錸(ReF 6)、六氟化鉬(MoF 6)、五氟化鉭(TaF 5)及釩氟化物(VF 5)。在一些實行例中,該還原劑選自於由下列所組成的群組:氫(H 2)、過氧化氫(H 2O 2)、甲烷(CH 4)、矽烷(SiH 4)、硼烷(BH 3)及氨(NH 3)。在一些實行例中,該含氟氣體選自於由下列所組成的群組:三氟化氮(NF 3)、六氟化硫(SF 6)、四氟化碳(CF 4)及四氟化矽(SiF 4)。在一些實行例中,該特徵部的該等側壁上的該保護膜的局部化係至少部分基於該含氟氣體的濃度及RF功率的其中一或二者。在一些實行例中,在沉積該保護膜時的沉積溫度等於或小於約100°C。在一些實行例中,該特徵部在(c)過後具有約5以上的深寬比。
本文中還提供在特徵部的側壁上沉積保護膜的設備。該設備包括電漿蝕刻腔室、位於該電漿蝕刻腔室中用於支撐基板的基板支撐件,以及控制器。該控制器配置有指令,用於執行下列操作:(a) 在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部;(b) 在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以該特徵部的複數側壁上沉積保護膜,其中該保護膜包括金屬;以及在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以該特徵部的複數側壁上沉積保護膜,其中該保護膜包括金屬。
在一些實行例中,配置有指令以沉積保護膜的該控制器係配置有指令,用於在等於或小於約100°C的沉積溫度下沉積該保護膜。在一些實行例中,該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體。在一些實行例中,配置有指令以沉積保護膜的該控制器係配置有指令,用於產生第三電漿,該第三電漿包括該一或更多沉積反應物;以及將該基板暴露至該第三電漿以在該特徵部的該等側壁上沉積該保護膜。在一些實行例中,該特徵部在(c)過後具有約5以上的深寬比。
本文中還提供在特徵部的側壁上沉積保護膜的設備。該設備包括電漿蝕刻腔室、位於該電漿蝕刻腔室中用於支撐基板的基板支撐件,以及控制器。該控制器配置有指令,用於執行下列操作:(a) 在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部;(b) 在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以該特徵部的複數側壁上沉積保護膜,其中該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體;以及在(b)過後,在該電漿蝕刻腔室中產生第二電漿,以及將該基板暴露至該第二電漿以額外蝕刻該基板中的該特徵部,其中在(b)中所沉積的該保護膜在(c)期間在沉積該保護膜的區域中實質防止該特徵部的橫向蝕刻。
在本揭示中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造積體電路」可互換使用。本發明所屬技術領域中具有通常知識者將能理解的是,術語「部分製造積體電路」可指的是在上方進行積體電路製造的許多階段的任何者期間的矽晶圓。在半導體裝置工業中使用的晶圓或基板通常具有200 mm、300 mm或450 mm的直徑。下方的實施方式係假設本揭示在晶圓上實施。然而,本揭示不受限於此。工件可為各種形狀、尺寸及材料。除了半導體晶圓之外,可利用本發名的其他工件包括各種製品,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡體、光學元件、微機械裝置等。
某些半導體裝置的製造涉及在材料的一或更多層中蝕刻特徵部。該一或更多層可為單一材料層或材料堆疊。在一些情況下,堆疊包括介電質材料的交替層,例如矽氮化物及矽氧化物的交替層。經蝕刻特徵部可具有高深寬比。其中一種示例經蝕刻特徵部為圓柱。隨著此種經蝕刻特徵部的深寬比持續增加,對材料的一或更多層的特徵部進行蝕刻係更加具挑戰性的。在高深寬比特徵部的蝕刻期間衍生的其中一問題為不均勻蝕刻的輪廓。換言之,特徵部並未在筆直朝下的方向中蝕刻。反而,特徵部的側壁經常會彎曲,使得經蝕刻特徵部的中間部分比特徵部的頂部及/或底部更寬(即,被進一步蝕刻)。此種在特徵部的中間部分附近的過度蝕刻可能會導致剩餘材料的折衷結構及/或電子完整性。特徵部朝外彎曲的部分可能會佔據總特徵部深度的相對小部分,或是相對較大部分。特徵部朝外彎曲的部分為特徵部的臨界尺寸(CD)最大之處。臨界尺寸對應於給定地點處的特徵部直徑。一般而言,會期望特徵部的最大CD與特徵部中其他處(例如,特徵部的底部處或附近)的CD大致相同。
不受限於任何作用的理論或機制,據信在圓柱或其他特徵部的中間部分處的過度蝕刻會發生係至少部分歸因於該圓柱的側壁不被充分保護而免受蝕刻影響。雖然下方敘述有時係指圓柱,但該概念係適用於其他特徵部形狀,例如矩形及其他多邊形。習知蝕刻化學品經常使用氟碳化物蝕刻劑以在一或更多材料層中形成特徵部。氟碳化物蝕刻劑被電漿暴露所激發,這導致形成各種氟碳化物斷片,包括例如CF、CF 2及CF 3。反應性氟碳化物斷片會在離子的協助下將特徵部底部處的一或更多材料層蝕除。其他氟碳化物斷片沉積在被蝕刻的特徵部的側壁上,從而形成具保護力的聚合性側壁塗層。此保護性側壁塗層會促進在特徵部的底部處優先進行蝕刻,而不是特徵部的側壁。在無此側壁保護的情況下,特徵部開始呈現不均勻輪廓,在側壁保護不足之處具有較寬的蝕刻/圓柱寬度。
在高深寬比特徵部中特別難以達成側壁保護。這種困難點的其中一理由在於現有的基於氟碳化物的處理無法在被蝕刻的特徵部深處形成具保護力的聚合性側壁塗層。
圖1顯示經蝕刻特徵部的橫截面示意圖,其係由於側壁的過度蝕刻而具有非期望的彎曲。特徵部102係被蝕刻在塗覆著圖案化遮罩層106的基板層103中。具保護力的聚合性側壁塗層104集中在特徵部102的頂部。C xF y化學品提供用於垂直蝕刻特徵部102的蝕刻反應物,以及形成具保護力的聚合性側壁塗層104的反應物。由於具保護力的聚合性側壁塗層104不會延伸至特徵部102的深處(即,在側壁上沉積不充分),故特徵部102的中間部分會變得比特徵部102的頂部更寬。特徵部102的較寬中間部分係被稱作彎曲105。可從彎曲區域處的特徵部102的臨界尺寸與該彎曲區域下方的特徵部102的臨界尺寸之間的比較的方面對該彎曲105進行數值描述。可在距離方面(例如,特徵部102的最寬部分處的臨界尺寸減去該彎曲下方的特徵部102的最窄部分的臨界尺寸)或在比率/百分比方面(特徵部102的最寬部分處的臨界尺寸除以該彎曲105下方的特徵部102的最窄部分的臨界尺寸)對該彎曲105進行數值陳述。此彎曲105及相關的不均勻蝕刻輪廓並非期望的。由於在此類型的蝕刻處理中經常使用高離子能量,故在蝕刻高深寬比的特徵部時經常會產生彎曲。在一些應用中,即使深寬比低到只有大約5,仍會產生彎曲。因此,習知氟碳化物蝕刻化學品通常受限於在一或更多材料層中形成相對低深寬比的特徵部。某些現代的應用需要具有較高深寬比的特徵部,而此深寬比係比利用習知蝕刻化學品所可達成的深寬比更高。
在基板中蝕刻特徵部通常涉及基於電漿的蝕刻處理。特徵部形成可在以下階段下進行:一階段係關於蝕刻一或更多材料層,另一階段係關於在不實質蝕刻一或更多材料層的情況下形成保護性側壁塗層。保護性側壁塗層使側壁鈍化並防止特徵部被過度蝕刻。換言之,保護性側壁塗層避免特徵部的橫向蝕刻。
這二個主要的處理階段(蝕刻及沉積)可重複進行,直到將特徵部蝕刻至最終深度。藉由循環進行這二個階段,可控制特徵部的整個深度的特徵部直徑,從而形成具有更均勻直徑及經改善輪廓的特徵部。
特徵部係基板表面中的凹陷部。特徵部可具有許多不同形狀,包括但不限於圓柱、矩形、正方形,或其他多邊形的凹陷部、溝槽、孔洞、凹槽等。
深寬比為特徵部的深度對於該特徵部的臨界尺寸的比較(經常為其寬度/直徑)。舉例而言,深度為2 µm且寬度為50 nm的圓柱具有40:1的深寬比,經常更簡單地以40表示。由於特徵部在特徵部的深度之間可能會具有不均勻的臨界尺寸,故深寬比可能會取決於所測量之處而改變。舉例來說,有時經蝕刻圓柱的中間部分可比頂部及底部更寬。此種較寬的中間部分可被稱作彎曲。基於圓柱的頂部(即,頸部)處的臨界尺寸所測量的深寬比將會比基於圓柱的較寬中間/彎曲處的臨界尺寸所測量的深寬比更包。除另有指明外,本文中所使用的深寬比係基於特徵部的開口附近的臨界尺寸所測量。
經由本揭露的方法所形成的特徵部可為高深寬比特徵部。在一些應用中,高深寬比特徵部係深寬比至少約為5:1、至少約為10:1、至少約為20:1、至少約為30:1、至少約為40:1、至少約為50:1、至少約為60:1、至少約為80:1,或至少約為100:1的特徵部。經由本揭露的方法所形成的特徵部的臨界尺寸可約為200 nm以下,例如約為100 nm以下、約為50 nm以下,或約為20 nm以下。
其中形成特徵部的一或更多材料層可包括介電質材料、導電性材料,及/或半導電材料。介電質材料的非限制性示例包括矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜版本(例如,摻雜硼、磷等),以及這些材料的任何組合的疊層。特定示例材料包括SiO 2、SiN、SiON、SiOC、SiCN等的化學計量化學式及非化學計量化學式。本揭露的方法的其中一種應用為形成DRAM裝置的背景。主要可在二矽氮化物層之間所夾的矽氧化物或矽氧化物層中蝕刻特徵部。本揭露的方法的另一種應用為形成垂直NAND(VNAND,亦稱作3D NAND)裝置的背景。可在氧化物(例如,SiO 2)及氮化物(例如,SiN)的交替層,或氧化物(例如,SiO 2)及多晶矽的交替層中蝕刻特徵部。
圖2顯示在基板中形成經蝕刻特徵部的方法的流程圖。在方格201中,在具有一或更多材料層及經圖案化遮罩層的基板中蝕刻特徵部至第一深度。此第一深度僅為該特徵部的最終所欲深度的一部分。在一些實行例中,用於蝕刻該特徵部的化學品可為基於氟碳化物-的化學品(C xF y)。然而,可使用其他蝕刻化學品。在方格201處的蝕刻操作可形成第一側壁塗層。該第一側壁塗層可為關於圖1所述的聚合性側壁塗層。該第一側壁塗層可延伸朝向該第一深度,然而該第一側壁塗層通常不會實際到達特徵部的底部。
隨著某些氟碳化物物種/斷片沉積在特徵部的側壁上,第一側壁塗層可形成自基於氟碳化物的化學品(即,某些氟碳化物物種為第一側壁塗層的前驅物)。不受限於任何理論,第一側壁塗層不會到達特徵部的底部的其中一個原因可能是與形成第一側壁塗層的前驅物的黏著係數有關。據信,第一側壁塗層前驅物的黏著係數對於某些蝕刻劑是過高的,這會使明顯多數的前驅物分子在進入特徵部後迅速附接至側壁。因此,很少側壁塗層前驅物分子能夠穿透深入至該特徵部而受益於側壁保護。因此,第一側壁塗層對於特徵部的側壁的過度蝕刻僅提供部分保護。
蝕刻用的反應腔室可為Flex™反應腔室,例如可取得自Lam Research Corporation of Fremont, CA的2300 ®Flex™產品家族。
處理200續行方格203,其中將該蝕刻處理停止。在停止蝕刻過後,在方格205處沉積第二側壁塗層。在一些情況下,第二側壁塗層可比第一側壁塗層更加有效。該沉積可經由各種反應機制而進行,包括但不限於化學氣相沉積(CVD)及原子層沉積(ALD)方法,其中任何者可為或可不為電漿輔助的。ALD方法可特別適合用於形成沿特徵部的側壁排列的保形膜。舉例來說,由於此方法的吸附-驅動本質,故ALD方法係實用於將反應物輸送深入特徵部。被選擇以沉積第二側壁塗層的方法應當允許在經蝕刻特徵部的深處形成保護膜。
在各種情況下,第二側壁塗層可經由產生保形膜的循環處理而形成。在第二側壁塗層係經由電漿輔助ALD而沉積的一些實施例中,方格205處的沉積可包括(a)將低黏著係數的反應物流動至反應腔室中,並允許該反應物吸附至基板表面上,從而形成吸附前驅物層,(b)任選地吹淨該反應腔室(例如,透過以吹掃氣體進行掃除、抽空該反應腔室,或二者),(c)將該基板暴露至從含氧及/或含氮反應物產生的電漿,從而驅動表面反應以形成第二側壁塗層的層,(d)任選地吹淨該反應腔室,以及(e)重複進行(a)–(d)以形成第二側壁塗層的額外層。可將前驅物吸附及膜形成循環數次,以形成具有所欲厚度的膜。
在其他情況下,該第二側壁塗層可經由CVD而沉積。在此情況下,方格205處的沉積可包括將反應物流入反應腔室,任選地伴隨共反應物(例如,含氧反應物、含氮反應物、含碳反應物、含硼反應物等),同時任選地將該基板暴露至電漿。該電漿可驅動氣相反應,該氣相反應造成第二側壁塗層的沉積。
使用於沉積第二側壁塗層的一或更多反應物可具有特別低的黏著係數及/或損失係數。在例如習知蝕刻處理中使用的氟碳化物物種具有相對高黏著係數,而因此在特徵部的頂部附近(其首先對著側壁撞擊之處)變得更加集中。相較之下,具有較低黏著係數的物種,即使其對著側壁的頂部附近的表面撞擊,仍較不容易在各撞擊期間吸附,且因此具有較大的可能性到達特徵部的底部。
基於吸附的ALD方法係特別適合用於形成到達經蝕刻特徵部的底部的第二側壁塗層,原因在於該反應物可被輸送直到其實質塗覆特徵部的整個側壁。由於在各循環期間通常僅反應物的單層會吸附在表面上,故該反應物不會堆積在特徵部的頂部附近。此外,熱沉積方法(對比於電漿沉積方法)係有利的,原因在於其通常會在基板各處達成較均勻的沉積結果,以及在特徵部內達成較保形的結果。
沉積用的反應腔室可為Vector ®產品家族或Altus ®產品家族的腔室,其中這二個產品家族均可取得自Lam Research Corporation。用於沉積第二側壁塗層的反應腔室可為Altus ®產品家族的反應器(包括但不限於ALTUS® DirectFill™ Max或ALTUS® ICE)。
處理200續行方格207,其中將沉積處理停止。接著,該處理200重複進行下列操作:在方格211處於基板中部分蝕刻特徵部(類似方格201),在方格213處停止該蝕刻(類似方格203),在方格215處在經部分蝕刻特徵部的側壁上沉積保護性塗層(類似方格205),以及在方格217處停止沉積(類似方格207)。接著,在方格219處,判斷該特徵部是否被完全蝕刻。若該特徵部並未被完全蝕刻,則處理200從方格211處的操作重複進行以額外蝕刻及沉積保護性塗層。在特徵部被完全蝕刻後,完成該處理200。
方格201處的蝕刻及方格205處的保護性側壁塗層沉積可循環重複進行數次。舉例來說,這些操作可各自進行至少二次,例如至少三次或至少約五次。每次進行蝕刻操作,蝕刻深度即增加。在各沉積操作中沉積的第二側壁塗層的厚度在複數循環之間可為均勻的,或此塗層的厚度係可變化的。在各循環期間的第二側壁塗層的示例厚度可介於約1 nm與約10 nm之間。在一些實行例中,第二側壁塗層可沉積作為雙層,其中該雙層的子層可具有不同組成。
當前的側壁鈍化技術可能受限於某些深寬比,並造成非所欲的扇形缺口(scalloping)或介面凹口。如上所述,側壁塗層(例如,第一側壁塗層)的沉積可在蝕刻處理(例如,方格201)期間進行。側壁塗層可包括經蝕刻特徵部的側壁上的聚合物物種或基於氟碳化物物種,該等物種可能僅對於某些深寬比是有效的。前驅物分子吸附在特徵部的頂部附近,並且在特徵部的中間部分及/或底部處提供很少的保護。因此,在需要較高深寬比時,聚合物物種及/或基於氟碳化物物種的沉積並不足以提供對抗彎曲的保護性。此外,在當前的蝕刻處理中,在特定介面處會形成凹口缺陷,而這可造成不良的裝置產量。
當前的側壁鈍化技術可能需要多步驟的處理及額外的設備或腔室,從而使整合複雜度增加。如上所述,側壁塗層(例如,第二側壁塗層)可在沉積處理(例如,方格205)期間產生。該側壁塗層可使用CVD或ALD處理而形成。雖然此側壁塗層可能是比基於聚合物物種或氟碳化物物種/斷片的側壁塗層更加具彈性的,但此側壁塗層一般係在高溫及壓力下形成,並且通常是非原位形成。該非原位側壁塗層係在與蝕刻腔室不同的反應腔室中形成。換言之,此側壁塗層的沉積係在沉積腔室中執行,而蝕刻係在蝕刻腔室中執行,從而需要複數腔室及轉移。為沉積及蝕刻使用個別腔室會提高處理時間、處理步驟及成本,從而對生產量造成負面影響。此外,使用個別腔室需要將基板從一腔室傳輸至另一者,這必須要破真空,並且使暴露至大氣而造成表面性質改變的可能性提高。這可能會造成基板上的材料功能性及整體性的損失。 原位的基於金屬襯墊沉積
在高深寬比特徵部的基於電漿蝕刻期間可能會衍生複數問題。其中一個衍生出的問題為不均勻蝕刻輪廓。換言之,特徵部並未在筆直朝下的方向中進行蝕刻。反而,蝕刻輪廓是歪曲的且具有條紋,而造成不均勻的輪廓,而這往特徵部的底部係更加明顯的。另一個衍生出的問題係關於局部的臨界尺寸不均勻性。伴隨歪曲、條紋、波紋、表面粗糙度及彎曲的不均勻蝕刻輪廓可能會造成局部臨界尺寸的差異。上方所呈現的當前鈍化方法透過沉積保護性側壁塗層以「凍結」蝕刻輪廓並限制過度蝕刻的效應,以試圖解決前述問題。然而,當前的鈍化方法可能無法有效緩解此問題,並可能甚至會加劇這些問題的其中一些。
圖3A–3E顯示在基板中形成經蝕刻特徵部的各種處理階段的橫截面示意圖。圖3A–3E顯示即使是當前的鈍化方法仍可能會造成不均勻蝕刻輪廓及局部臨界尺寸不均勻性。在圖3A中,基板300包括經圖案化遮罩層302。在圖3B中,在蝕刻過後形成經部分蝕刻特徵部304。若該蝕刻係相對等向性的,則可能會產生扇形缺口。若蝕刻前側靠近不同材料的介面,可能會產生介面凹口並造成局部底切(undercutting)。在圖3C中,保護膜306係沿著經部分蝕刻特徵部304的側壁及沿著經圖案化遮罩層302的暴露表面而沉積。通常,保護膜306係由對後續蝕刻操作中所使用的蝕刻化學品具有抗性的材料所製成,使得該保護膜306比非所欲的材料蝕刻得更慢。在圖3D中,作為蝕刻結果,可將經圖案化遮罩層302的頂表面處及經部分蝕刻特徵部304的底部處的部分保護膜306移除。該蝕刻可為相對非等向性(垂直)蝕刻,留下保護膜306在經部分蝕刻特徵部的側壁上及及經圖案化遮罩層302的側壁上。在圖3E中,蝕刻續行以穿過基板300的經部分蝕刻特徵部304。保護膜306可遮蔽下方材料,從而使經部分蝕刻特徵部304的不均勻蝕刻輪廓更加明顯。
本揭露的態樣係關於使用原位的基於金屬襯墊以進行側壁鈍化的高深寬比特徵部的基於電漿蝕刻。原位的基於金屬襯墊係在電漿蝕刻腔室中沉積,而並非不同的沉積腔室。同一電漿蝕刻腔室係使用以蝕刻高深寬比特徵部及沉積基於金屬襯墊。該基於金屬襯墊可沿著特徵部的部分側壁保形沉積的,並對於橫向蝕刻具有抗性。基於金屬襯墊可在與執行蝕刻相仿的溫度下進行沉積。在一些實行例中,基於金屬襯墊可在等於或小於約100°C的溫度,或甚至在極低溫度下進行沉積。可透過調整一或更多沉積條件而控制基於金屬襯墊的局部化。舉例而言,基於金屬襯墊的局部化可至少部份基於含氟氣體的濃度及/或RF功率。在一些實行例中,基於金屬襯墊包括鎢。蝕刻及基於金屬襯墊的原位沉積的循環可重複進行,直到達成所欲深度的特徵部。
圖4根據一些實行例繪示在基板中形成經蝕刻特徵部的示例方法的流程圖。處理400的操作得以不同順序及/或具有不同、較少或額外操作而執行。處理400的操作可使用如圖8A–8C及9所示的電漿蝕刻設備或電漿蝕刻腔室加以執行,且可行的是電漿蝕刻腔室可在圖10及11中所示的任何工具架構中實施。在一些實行例中,處理400的操作可至少部分根據一或更多非瞬態電腦可讀媒體中儲存的軟體而實施。
在處理400的方格410處,在電漿蝕刻腔室中任選地產生第一電漿,並且將基板暴露至該第一電漿以在基板中部分蝕刻特徵部。該特徵部係被蝕刻至第一深度,該第一深度僅為所欲達成的最終深度的一部分。該基板可具有待蝕刻的一或更多材料層。此材料可包括介電質材料、導電性材料、半導電性材料,或其組合。舉例而言,該一或更多材料層可包括氧化物及氮化物的交替層,或是氧化物及多晶矽的交替層。該基板可具有上覆遮罩層,該遮罩層界定出蝕刻特徵部之處。在一些實行例中,該遮罩層為含矽遮罩,例如矽遮罩。在一些實行例中,遮罩層為含碳遮罩,例如非晶形碳遮罩。在一些實行例中,遮罩層為含金屬遮罩,例如含鎢遮罩。
該第一電漿可產生自基於氟的化學品。或者,該第一電漿可不包括基於氟的化學品。
該蝕刻可為反應性離子蝕刻處理,其涉及將蝕刻劑化合物流入電漿蝕刻腔室(經常係透過噴淋頭),以及從該蝕刻劑化合物產生第一電漿。在一些實行例中,第一電漿使蝕刻劑化合物分裂成中性物種及離子物種(例如,帶電或中性材料,例如CF、CF 2、CF 3)。該第一電漿可為電容耦合電漿,但可適當地使用其他類型的電漿。該第一電漿中的離子係被導引朝向基板,並且在撞擊後導致一或更多材料層被蝕除。該第一電漿的離子促進垂直蝕刻穿過該一或更多材料層。
在一示例中,蝕刻化學品包括一或更多氟碳化物及/或氫。可使用其他習知蝕刻化學品,亦可使用非習知化學品。氟碳化物可在約0 sccm與約500 sccm之間的速率(例如,約10 sccm與約200 sccm之間)下流動。氫可在約0 sccm與約500 sccm之間的速率(例如,約10 sccm與約300 sccm之間)下流動。本文中的流率可適當地為不同尺寸的蝕刻腔室而縮放,並且可基於不同尺寸的基板的基板面積而線性縮放。
在一些實行例中,蝕刻期間的基板溫度小於約100°C、小於約0°C,或介於約-150°C與約100°C之間。在一些實行例中,蝕刻期間的壓力介於約5 mTorr與約400 mTorr之間,或介於約10 mTorr與約100 mTorr之間。在各種情況下,雙重-頻率的RF功率係使用於產生第一電漿。因此,RF功率可包括低頻構件(例如,400 kHz)及高頻構件(例如,60 MHz)。可提供不同功率於各頻率構件。舉例來說,低頻構件(例如,400 kHz)可提供於約0 kw與約100 kW之間或約2 kW與約50 kW之間的功率,高頻構件(例如,60MHz)可提供於較低功率,例如約0 kW與約80 kW之間,或約1 kW與約10 kW之間的功率。這些功率位準係假設將RF功率輸送至單一300 mm晶圓。可基於額外基板及/或其他尺寸的基板的基板面積而將該等功率位準線性縮放(從而保持被輸送至基板的均勻功率密度)。
該蝕刻處理的各循環將該一或更多材料層蝕刻至某種程度。在各循環期間所蝕刻的距離可介於約10 nm與約2000 nm之間,或介於約50 nm與約500 nm之間。
該蝕刻處理可產生初始側壁塗層,例如在一些情況下為聚合性側壁塗層。此聚合性側壁塗層係描述於上。該初始側壁塗層可在蝕刻處理的同時進行沉積。該初始側壁塗層可沿著特徵部的部分側壁沉積,其中該初始側壁塗層可形成自經吸附氟碳化物物種/斷片。然而,該初始側壁塗層的深度可能會受限於特徵部的上部附近的區域,或是受限於未覆蓋經蝕刻特徵部的某些長度的區域。該初始側壁塗層可能不像基於金屬襯墊對各種蝕刻化學品具有抗性。
圖5A顯示在第一蝕刻過後的基板的經部分蝕刻特徵部的橫截面示意圖。經部分蝕刻特徵部502可形成穿過基板500。經部分蝕刻特徵部502可具有高深寬比,其中該經部分蝕刻特徵部502具有等於或大於約5:1、等於或大於約10:1、等於或大於約20:1、等於或大於約50:1,或等於或大於約100:1的深寬比。經部分蝕刻特徵部502可在電漿蝕刻腔室中使用基於電漿蝕刻處理而形成。基於電漿蝕刻可使用基於氟的化學品。在一些實行例中,蝕刻副產物504可沿著經部分蝕刻特徵部502的部分側壁而形成。蝕刻副產物504可包括一或更多聚合物,例如氟化聚合物。蝕刻副產物504可沿著經部分蝕刻特徵部502的側壁而不均勻沉積。然而,在蝕刻副產物504並未沿著側壁沉積,或是蝕刻副產物並不足以保護側壁的一些部分中,可能會產生彎曲。如圖5A所顯示,蝕刻副產物504可形成側壁塗層以抵抗沿著側壁的頂部及底部的蝕刻。彎曲506可形成在側壁的中間部分中,使經部分蝕刻特徵部502的中間部分比頂部及底部更寬。經部分蝕刻特徵部502可在側壁的底部處漸縮。經部分蝕刻特徵部502並未延伸到達基板500中的接觸插塞508。
請回到圖4,在一些實行例中,該處理400續行將聚合物側壁塗層任選地移除。應用與方格410處用於形成特徵部的蝕刻劑化學品不同的蝕刻劑化學品以移除聚合物側壁塗層。該蝕刻劑化學品可選擇性移除氟碳化物物種/斷片,而不移除基板的一或更多材料層。可在電漿蝕刻腔室中將特徵部暴露至電漿以選擇性移除聚合物側壁塗層。然而,將能理解的是,在一些實行例中處理400可能不包括移除聚合物側壁塗層的操作,或是如方格410所例示的使用第一電漿進行部分蝕刻並移除聚合物側壁塗層的操作。反而,該處理400可從在電漿蝕刻腔室中提供基板開始,其中該基板包括凹陷至第一深度的特徵部,該第一深度為欲達成的最終深度的一部分。具有凹陷特徵部的該基板可被電漿蝕刻腔室接收而作為所輸入的預處理基板。
圖5B顯示在移除蝕刻副產物過後的經部分蝕刻特徵部的橫截面示意圖。在圖5B中,在經部分蝕刻特徵部502中沉積基於金屬的襯墊之前將蝕刻副產物504任選地移除。該蝕刻副產物504可從經部分蝕刻特徵部502的側壁被選擇性移除。在選擇性移除蝕刻副產物504期間,經部分蝕刻特徵部502並未被進一步蝕刻。
請回到圖4,在處理400的方格420處,在電漿蝕刻腔室中使用一或更多沉積反應物而在特徵部的側壁上沉積保護膜,其中該保護膜包括金屬。在一些實行例中,該沉積可在等於或小於約100°C的沉積溫度下進行。在一些實例中,沉積溫度與蝕刻溫度可為相同或類似的。舉例而言,該沉積溫度甚至可介於約-100°C與約0°C之間。「沉積溫度」可被理解成指在沉積期間所保持的基板支撐件溫度、基座溫度,或靜電卡盤溫度。使用於蝕刻特徵部的電漿蝕刻腔室與沉積保護膜的電漿蝕刻腔室相同。因此,蝕刻及沉積係在原位執行,代表該沉積及蝕刻在同一反應腔室中執行。保護膜亦可被稱作原位保護膜、保護性側壁塗層、基於金屬襯墊,或原位基於金屬襯墊。保護膜的原位沉積減少與額外基板轉移及清潔時間相關的處理時間及成本。保護膜的原位沉積避免在基板轉移之間破除真空,破除真空可使基板暴露至非所欲的材料、大氣及/或濕氣。透過保護膜的原位沉積亦可免除在高深寬比蝕刻中的獨立沉積及清潔工具。
在沉積該保護膜之前,可將基板接收於電漿蝕刻腔室中。基板可包括凹陷至第一深度的特徵部。或者,在沉積該保護膜之前,該基板可如方格410處所述在電漿蝕刻腔室中進行部分蝕刻。
該保護膜為含金屬膜。相較於其他類型的膜,例如矽氧化物、硼氮化物及碳氫化合物聚合物,含金屬膜已被顯示以提供經改善的蝕刻電阻/側壁保護。可被包括在保護膜中的示例金屬包括但不限於鎢(W)、鉬(Mo)、錸(Re)、釩(V)及鉭(Ta)。在一些實行例中,該保護膜包括鎢。在一些情況下,該保護膜可更包括氮、碳、矽、氧、氫或其組合。因此,該保護膜可為金屬碳化物、金屬氮化物、金屬矽化物或金屬氧化物。在一些其他情況下,該保護膜為金屬性的。在該保護膜為金屬性時,該保護膜實質包括元素金屬,其中該保護膜的至少95原子%為元素金屬。
在許多情況下,該含金屬膜可沉積以具有高保形度。比起矽氧化物及其他含矽及含硼膜,各種含金屬膜可沉積以具有較高保形度。經改善的保形度是有優勢的,原因至少在於其減低了特徵部的頂部在沉積/蝕刻階段期間將會變得堵塞的可能性。
通常,作為保護性側壁塗層的基於金屬襯墊係在非原位形成。在非原位形成時,該基於金屬襯墊係使用與電漿蝕刻腔室不同的沉積腔室而沉積。非原位的基於金屬襯墊經常係透過原子層沉積或化學氣相沉積處理而形成。此沉積處理係在高溫下操作,其中此沉積溫度通常至少為150°C、至少為180°C、至少為200°C或至少為250°C。舉例而言,非原位的沉積處理經常係在約200°C與約600°C之間,或約200°C與約400°C之間運行。此非原位的沉積處理可能需要基板加熱控制,而這可能會增加硬體成本及複雜度。
蝕刻溫度通常可低於沉積溫度。在本揭露中,該保護膜可在與蝕刻溫度相近的溫度下在電漿蝕刻腔室中形成。此溫度可在無額外基板加熱控制的協助下達成。該保護膜係在等於或小於約150°C、等於或小於約100°C、等於或小於約40°C,或小於約0°C的溫度下在電漿蝕刻腔室中形成。如上所述,此溫度可根據在支撐著基板的基板支撐件、基座或靜電卡盤處所保持的溫度而加以測量。在一些實行例中,該保護膜係在極低溫度下在電漿蝕刻腔室中形成。舉例而言,該保護膜係在約-100°C與約-10°C之間的溫度下形成。
一般而言,非原位形成的基於金屬襯墊可能需要比原位形成的基於金屬襯墊更高的腔室壓力。非原位形成的基於金屬襯墊可在至少約400 mTorr的壓力下進行沉積。然而,本揭露的基於金屬襯墊係在與蝕刻處理相近的壓力下原位形成。在一些實行例中,保護膜的原位沉積期間的壓力係介於約5 mTorr與約400 mTorr之間、約5 mTorr與約300 mTorr之間、約5 mTorr與約200 mTorr之間,或約10 mTorr與約50 mTorr之間。
本揭露的保護膜係使用一或更多沉積反應物或沉積前驅物而沉積在特徵部的側壁上。該沉積可為基於電漿處理。因此,沉積該保護膜可包括產生一或更多沉積反應物的電漿,以及將基板暴露至該電漿以在特徵部的側壁上沉積該保護膜。在一些實行例中,該電漿可為電容耦合電漿。在一些其他實行例中,該電漿可為感應耦合電漿,遠端產生電漿,微波電漿等。在一些實行例中,該電漿可使用雙重頻率構件,例如低頻(LF)構件及高頻(HF)構件而加以產生。當第一蝕刻係利用第一電漿執行,且在側壁鈍化過後的第二蝕刻係利用第二電漿執行時,可將為沉積保護膜而形成的電漿稱作「第三電漿」。
方格420處的沉積化學品與方格410處的蝕刻化學品不同。當第一電漿包括一或更多蝕刻反應物時,用於沉積保護膜的該電漿的一或更多沉積反應物與該一或更多蝕刻反應物不同。在一些實行例中,該一或更多沉積反應物包括含金屬氣體,例如金屬氟化物。示例含金屬氣體可包括但不限於鎢六氟化物(WF 6)、錸六氟化物(ReF 6)、鉬六氟化物(MoF 6)、鉭五氟化物(TaF 5)及釩氟化物(VF 5)。在一些實行例中,含金屬氣體為含鎢氣體,例如鎢六氟化物。所揭露的含金屬氣體的用意不在於限制。亦可使用本發明所屬技術領域中具有通常知識者習知的其他反應物。
在一些實行例中,該一或更多沉積反應物包括還原劑。不受限於任何理論,還原劑係用於將含金屬氣體轉變成「可聚合」單體源。還原劑使含金屬氣體還原以形成中間自由基,而該中間自由基更進一步還原以形成元素金屬及/或含金屬氮化物、矽化物、氧化物或碳化物。作為示例,可將六氟化鎢還原至鎢金屬。示例還原劑可包括但不限於氫(H 2)、過氧化氫(H 2O 2)、甲烷(CH 4)、矽烷(SiH 4)、硼烷(BH 3)及氨(NH 3)。在一些實行例中,還原劑包括氫。所揭露的還原劑的用意不在於限制。亦可使用本發明所屬技術領域中具有通常知識者習知的其他還原劑。
在一些實行例中,該一或更多沉積反應物包括惰性氣體物種。該惰性氣體物種可與含金屬氣體及還原劑一起流動。將能理解的是,在一些實行例中,保護膜的沉積可在無惰性氣體物種的情況下進行。經離子化惰性氣體物種係在用於沉積保護膜的電漿中產生。經離子化惰性氣體物種可促進保護膜的離子輔助沉積。示例惰性氣體物種可包括但不限於氬(Ar)、氖(Ne)、氪(Kr)及氙(Xe)。在一些實行例中,惰性氣體物種包括氬。所揭露的惰性氣體物種的用意不在於限制。亦可使用本發明所屬技術領域中具有通常知識者習知的其他惰性氣體物種。
在一些實行例中,該一或更多沉積反應物包括含氟氣體。該含氟氣體可與含金屬氣體與還原劑一起流動。將能理解的是,在一些實行例中,保護膜的沉積可在無含氟氣體的情況下進行。在一些實例中,調整含氟氣體的濃度會影響在特徵部中形成保護膜的深度或處所。含氟氣體的示例可包括但不限於三氟化氮(NF 3)、六氟化硫(SF 6)、四氟化碳(CF 4)及四氟化矽(SiF 4)。在一些實行例中,該含氟氣體包括三氟化氮。所揭露的含氟氣體的用意不在於限制。亦可使用本發明所屬技術領域中具有通常知識者習知的其他含氟氣體。
可調整該一或更多沉積反應物的流率以優化在電漿蝕刻腔室中的保護膜沉積。在保護膜的原位沉積期間,含金屬氣體的流率可介於約0.1 sccm與約20 sccm之間,或約0.5 sccm與約10 sccm之間。還原劑的流率可介於約10 sccm與約500 sccm之間,或約20 sccm與約200 sccm之間。惰性氣體物種的流率可介於約0 sccm與約500 sccm之間,或約0 sccm與約100 sccm之間。含氟氣體的流率可介於約0 sccm與約500 sccm之間,或約0 sccm與約50 sccm之間。
如本文中所使用,該一或更多沉積反應物的流率與該一或更多沉積反應物的濃度可互換使用。
可調整含氟氣體的濃度以控制保護膜的局部化。換言之,保護膜在特徵部的側壁上的局部化可至少部份基於含氟氣體的濃度。局部化可指的是該保護膜沿著特徵部的側壁的深度或位置。在一些情況下,該保護膜可沿著側壁已從橫向蝕刻產生彎曲的一部分沉積。如此一來,可將保護膜定位/局部化在特徵部的區域中,以防止進一步的橫向蝕刻。在一些情況下,可控制含氟氣體的濃度而允許遮罩層上的保護膜沉積。
可調整各種電漿參數以優化在電漿蝕刻腔室中的保護膜沉積。供應至RF功率源的匹配網路的功率及頻率可足以產生一或更多沉積反應物所用的電漿。該電漿可至少使用高頻構件而產生,其中該高頻構件通常可介於約2 MHz與約60 MHz之間,或約5 MHz與約60 MHz之間。在一些實行例中,該電漿亦可使用低頻構件而產生,其中該低頻構件係介於約100 kHz與約2 MHz之間,或約200 kHz與約1 MHz之間。在一些實行例中,該電漿係使用高頻及低頻構件二者而產生。在一些實行例中,低頻構件的RF功率係介於約0 W與約10000 kW之間、約0 W與約100 kW之間,或約500 W與約10 kW之間。在一些實行例中,高頻構件的RF功率係介於約0 W與約8000 kW之間、約500 W與約100 kW之間,或約500 W與約10 kW之間。這些功率位準係假定將RF功率輸送至單一300 mm晶圓。可基於額外基板及/或其他尺寸的基板的基板面積而將該等功率位準線性縮放(從而保持被輸送至基板的均勻功率密度)。從RF功率源所產生的電漿可為脈衝電漿或連續波電漿。在一些實行例中,可將基板暴露至該電漿一段充足的持續時間以沉積保護膜。在一些實行例中,將基板暴露至該電漿的暴露時間可介於約0.5秒與約1000秒之間、約2秒與約500秒之間,或約5秒與約300秒之間。在沉積期間的該RF功率及將基板暴露至該電漿的暴露時間可與在蝕刻期間的該RF功率及將基板暴露至該電漿的暴露時間不同。
在一些實行例中,保護膜的局部化可至少部分由RF功率所控制。另一方面,該保護膜在特徵部的側壁上的局部化可至少部分基於應用於產生該電漿的RF功率。除了調整含氟氣體的濃度,或是代替調整含氟氣體的濃度,調整RF功率可使用以影響保護膜的局部化。
在一些實行例中,可任選地將一或更多共反應物與一或更多沉積反應物一起流動。用於沉積保護膜的該電漿可產生以包括該一或更多共反應物及該一或更多沉積反應物。該電漿可驅動化學反應,該化學反應會造成保護膜的沉積。示例共反應物包括但不限於甲烷(CH 4)、氮(N 2)、四氯化矽(SiCl 4)、四氟化矽(SiF 4)及矽烷(SiH 4)。藉由結合一或更多共反應物,所沉積的保護膜可為金屬碳化物、金屬氮化物或金屬矽化物。
該保護膜的沉積長度及厚度可由各種沉積參數所控制。沉積在特徵部的側壁上的保護膜的長度及厚度可至少部分基於下列沉積條件的其中一或更多者:暴露時間、壓力、溫度、總流率、RF功率、還原劑濃度、惰性氣體物種濃度及含金屬氣體濃度。可調整這些調整項以達成保護膜的不同長度及厚度。在一些實行例中,保護膜的長度係介於約0.1 µm與約8 µm之間,或約0.5 µm與約5 µm之間。在一些實行例中,保護膜的平均厚度係介於約1 nm與約5 nm之間,或約2 nm與約5 nm之間。保護膜的厚度可進一步往特徵部下方漸縮。在一些實行例中,保護膜的平均厚度係在漸縮之前加以計算。
保護膜的保形度可由各種沉積參數所控制。如本文中所使用,保形度可被計算成T 1/T 2,其中T 1為特定長度的保護膜的中間點處的膜厚度,而T 2為該保護膜的最厚部分處的膜厚度(沿著側壁所測量的二厚度)。保護膜的長度可根據深度範圍加以測量,使得在不同深度範圍時的保形度可為不同的。舉例而言,對於0 µm至3.5 µm的深度範圍,該保護膜可具有至少約90%的保形度;而介於3.5 µm與4 µm之間,保護膜可具有至少約50%的保形度。在一些實行例中,保護膜係沿著特徵部的大部分側壁保形沉積,而不沉積在遮罩層上。在一些實行例中,該保護膜係沿著特徵部的側壁的中間部分保形沉積。沉積在特徵部的側壁上的保護膜的保形度可至少部份基於下列沉積條件的一或更多者:暴露時間、壓力、溫度、總流率、RF功率、還原劑濃度、惰性氣體物種濃度、含金屬氣體濃度,及含氟氣體濃度。可調整這些調整項以達成該保護膜的不同程度的保形度。
圖5C顯示在沉積基於金屬襯墊過後的經部分蝕刻特徵部的橫截面示意圖。在圖5C中,基於金屬襯墊510沉積在經部分蝕刻特徵部502的中間部分中。該基於金屬襯墊510可在原位沉積,其中該基於金屬襯墊510係使用與蝕刻基板500相同的電漿蝕刻腔室而加以沉積。在一些實行例中,該基於金屬襯墊510係在與進行蝕刻相近的溫度及/或壓力下進行沉積。舉例而言,該基於金屬襯墊510可在等於或小於約150°C、等於或小於約100°C、等於或小於約0°C,或約-100°C與約-10°C之間的溫度下進行沉積,而該基於金屬襯墊510可在約5 mTorr與約400 mTorr之間,或約10 mTorr與約50 mTorr之間的壓力下進行沉積。基於金屬襯墊510可沉積在該經部分蝕刻特徵部502中形成彎曲506之處。藉由調整在沉積期間的含氟氣體濃度及/或調整RF功率,可控制該基於金屬襯墊510的局部化。舉例而言,該基於金屬襯墊510可形成在經部分蝕刻特徵部502的更深處而遠離該經部分蝕刻特徵部502的頂部。在一些實行例中,該基於金屬襯墊510包括元素金屬,例如鎢。該基於金屬襯墊510可為穩健的,並對於各種蝕刻化學品具有高度抗性。該基於金屬襯墊510至少在沉積該基於金屬襯墊510的區域中用於防止或實質防止在經部分蝕刻特徵部502中的橫向蝕刻。這確保側壁或至少部分側壁可被保護,且該蝕刻處理可續行以蝕刻基板500的更深處。
請回到圖4,在處理400的方格430處,在電漿蝕刻腔室中產生第二電漿,並且將基板暴露至第二電漿以在基板中額外蝕刻特徵部,其中該保護膜在蝕刻期間在沉積保護膜的區域中實質防止特徵部的橫向蝕刻。在一些實行例中,特徵部的深寬比在蝕刻後約為5以上、在蝕刻後約為10以上、在蝕刻後約為30以上、在蝕刻後約為40以上、在蝕刻後約為50以上、在蝕刻後約為60以上、在蝕刻後約為80以上,或在蝕刻後約為100以上。用於產生第二電漿的電漿蝕刻腔室與用於沉積保護膜及用於產生第一電漿的電漿蝕刻腔室相同。沉積與蝕刻操作之間不必破真空。將基板暴露至第二電漿持續在基板中進一步蝕刻特徵部。若該特徵部並未完全蝕刻至所欲的最終深度,則可重複進行額外的蝕刻及沉積保護膜操作。否則,在達成特徵部的所欲最終深度後可停止蝕刻。
第二電漿可持續蝕穿該一或更多材料層。該蝕刻可對於該一或更多材料層具有選擇性,而對遮罩層及保護膜不具選擇性。利用第二電漿的該蝕刻對於該一或更多材料層比上保護膜的選擇性可大於約7:1、大於約10:1,或大於約50:1。該保護膜對於利用第二電漿的蝕刻具有強烈抗性,使該一或更多材料層係比該保護膜以大幅較快速的速率進行蝕刻。
該蝕刻可為反應性離子蝕刻處理,其涉及將蝕刻劑化合物流入電漿蝕刻腔室(經常係透過噴淋頭),並且從蝕刻劑化合物產生第二電漿。該第二電漿使蝕刻劑化合物分解成中性物種及離子物種。第二電漿的離子被導引朝向該基板,並且在撞擊後使一或更多材料層被蝕刻。第二電漿的離子促進垂直蝕穿該一或更多材料層。
由於該保護膜對蝕刻具有強烈抗性,使用第二電漿的該蝕刻可具有高侵略性。對於在沉積保護膜過後的蝕刻來說,這開放更大的處理裕度。因此,比起使用第一電漿的蝕刻,使用第二電漿的該蝕刻可具有較具侵略性的化學品、較高溫度、較高壓力及/或較高RF功率。
該第二電漿可產生自基於氟的化學品。舉例而言,第二電漿可產生自一或更多氟碳化物、一或更多共反應物、含氫反應物,或其組合。在一些實行例中,第二電漿的蝕刻劑化學品可與第一電漿的蝕刻劑化學品不同。或者,第二電漿的蝕刻劑化學品可與第一電漿的蝕刻劑化學品相同。另外地或替代地,第二電漿的蝕刻劑化學品可具有較高濃度的較侵略性反應物。舉例而言,第二電漿的蝕刻劑化學品可包括較高濃度的較侵略性反應物,及/或該第二電漿的蝕刻劑化合物的流率可與第一電漿的蝕刻劑化合物的流率不同。第二電漿可被偏壓以提供較大的垂直蝕刻速率,並且對於遮罩層可具有高選擇性,使該遮罩層以相對慢的速率進行蝕刻。
在一些實行例中,在利用第二電漿的蝕刻期間的基板支撐件溫度可與在利用第一電漿的蝕刻期間的基板支撐件溫度相同或不同。舉例來說,在利用第二電漿的蝕刻期間的基板支撐件溫度可為較高的。在一些實行例中,在利用第二電漿的蝕刻期間的壓力可與在利用第一電漿的蝕刻期間的壓力相同或不同。舉例而言,在利用第二電漿的蝕刻期間的壓力可為較高的。在一些實行例中,在利用第二電漿的蝕刻期間的RF功率可與在利用第一電漿的蝕刻期間的RF功率相同或不同。具體而言,在利用第二電漿的蝕刻期間的低頻構件與高頻構件二者的RF功率可為較高的。
在一些實行例中,利用第二電漿的該蝕刻可將特徵部延伸至所欲的最終深度。利用第二電漿所蝕刻的距離可大於利用第一電漿所蝕刻的距離。總蝕刻深度可取決於特定應用。對於DRAM的情況,總蝕刻深度可介於約1 µm與約3 µm之間。對於VNAND的情況,總蝕刻深度可介於約2 µm與約7 µm之間,或更多。
在一些實行例中,利用第二電漿的該蝕刻可使特徵部部分延伸而不到達所欲的最終深度。因此,該處理400可藉由重複進行方格420處的沉積及方格430處的蝕刻而續行方格440,直到達到特徵部的最終深度。在一些實行例中,沉積及蝕刻循環係重複進行至少一次、至少二次或至少三次,直到達到特徵部的最終深度。在一些實行例中,利用第二電漿的該蝕刻可包括穿過額外材料層(例如,蝕刻停止部)的過度蝕刻。在一些實行例中,利用第二電漿的該蝕刻可促進更深入特徵部的蝕刻,以及還可在未沉積保護膜的區域中促進一些橫向蝕刻。在此種實例中,特徵部的底部或他處的CD可提高。
圖5D顯示在第二蝕刻過後的基板的經完全蝕刻特徵部的橫截面示意圖。特徵部512可形成穿過基板500至所欲深度。該特徵部512可延伸深寬比以達到等於或大於約10:1、等於或大於約20:1、等於或大於約50:1,或等於或大於約100:1的高深寬比特徵部。該特徵部512係透過在電漿蝕刻腔室中使用基於電漿蝕刻處理而從圖5A–5C中的經部分蝕刻特徵部502延伸而加以形成。基於金屬襯墊510對於基於電漿蝕刻處理具有抗性,並限制特徵部512的橫向蝕刻。基於金屬襯墊510有效地「凍結」特徵部512的輪廓,而允許形成平滑-壁特徵部。基於金屬襯墊510可在第二蝕刻進行時防止或另為限制扇形缺口、彎曲及介面凹口產生。如圖5D所顯示,第二蝕刻到達接觸插塞508,使得該接觸插塞508在特徵部512的底部處是暴露的。基於金屬襯墊510改善在選擇性蝕刻停止層或接觸插塞508上的過度蝕刻期間的漸縮輪廓。在沉積基於金屬襯墊510之處使橫向蝕刻受限或實質避免。這允許第二蝕刻續行以更深入特徵部512,還允許在未沉積基於金屬襯墊510之處的橫向蝕刻。將能理解的是,在一些實行例中,特徵部512的橫向蝕刻可在底部處續行,以開放特徵部512的底部處的CD。
圖6A–6B根據一些實行例顯示使用基於金屬襯墊改善斜度,而形成經蝕刻特徵部的各種處理階段的橫截面示意圖。在圖6A中,原位襯墊610係沿著經部分蝕刻特徵部602的側壁而沉積。該原位襯墊610係使用與用於蝕刻該經部分蝕刻特徵部602相同的腔室而加以沉積。因此,不需要將溫度範圍延伸超出傳統電漿蝕刻操作範圍,且不需要引進額外的微製造步驟、轉移或清潔。相比於蝕刻副產物604的氟碳化物聚合物沉積物,該原位襯墊610係更穩固且對於橫向蝕刻更具抗性。這允許較高的深寬比蝕刻,而無扇形缺口、彎曲或介面凹口。該原位襯墊610的局部化及保形度可藉由調整沉積氣體化學品或其他條件而控制。這允許較均勻的沉積,而無在經部分蝕刻特徵部602的頂部附近的阻塞或過度沉積。該原位襯墊610可包括金屬,例如鎢。該原位襯墊610可沿著經部分蝕刻特徵部602的側壁保形沉積,並且在後續蝕刻期間作為保護性側壁塗層。在圖6B中,執行過度蝕刻以持續蝕穿該基板600並露出接觸插塞608。在過度蝕刻後形成高深寬比特徵部612。該原位襯墊610在過度蝕刻期間限制橫向蝕刻。這提供經改善的斜度及經改善的輪廓,其比起缺少該原位襯墊610而以其他方式形成的特徵部,在高深寬比特徵部612中係較均勻垂直的。
圖7根據一些實行例顯示經蝕刻特徵部的橫截面示意圖,其具有形成在該經蝕刻特徵部的側壁上的基於金屬襯墊。經蝕刻特徵部702為高深寬比特徵部,其具有比其臨界尺寸(例如,寬度或直徑)實質較大的深度。該經蝕刻特徵部702可具有至少2 µm的深度,以及小於約100 nm的臨界尺寸。該經蝕刻特徵部702係根據由遮罩704界定的圖案而形成在基板700。基於金屬襯墊710沿著該經蝕刻特徵部702的側壁而形成。如圖7所顯示,該基於金屬襯墊710並未沉積在遮罩704上。然而,可調整沉積化學品,RF功率或其他沉積參數以將該基於金屬襯墊710沉積在遮罩704上,從而提供遮罩保護。基於金屬襯墊710的沉積可與蝕刻處理在原位執行。該基於金屬襯墊710可包括金屬,例如鎢。基於金屬襯墊710的局部化可藉由調整一或更多沉積反應物(例如,含氟氣體)的濃度而控制。基於金屬襯墊710的局部化可藉由調整RF功率而額外地或替代性地進行控制。基於金屬襯墊710的深度、厚度及保形度可由蝕刻時間,以及其他沉積參數,例如壓力、溫度、總流率、RF功率、還原劑的流率、惰性氣體物種的流率、含金屬氣體的流率及含氟氣體的流率而加以控制。雖然基於金屬襯墊710係十分保形的,但基於金屬襯墊710的厚度可能會在某些深度後漸縮。 設備
本文所述的可藉由任何合適設備或設備組合而加以執行。合適設備包括用於實現處理操作的硬體,以及具有根據本發明而控制處理操作的指令的系統控制器。舉例而言,在一些實施例中,該硬體可包括被包括在處理工具中的一或更多處理站。至少一處理站為蝕刻站。在本揭露中,蝕刻及沉積可在單一站/腔室中進行。
圖8A–8C繪示反應腔室,其可用於根據一些實行例執行本文所述的電漿蝕刻及電漿沉積處理。該反應腔室可為可調間隙電容式耦接侷限RF電漿反應器800,其可用於執行本文所述的蝕刻及沉積操作。如繪示,真空腔室802包括腔室外殼804,其圍繞著將下電極806容納的內部空間。在腔室802的上部,上電極808與下電極806垂直分隔開。上電極808及下電極806的平面表面係實質平行的,並且與該等電極之間的垂直方向正交。較佳地,上電極808及下電極806為圓形的,並且相對於該垂直軸為共軸。上電極808的下表面面向下電極806的上表面。該等分隔開且面對的電極表面在其之間界定一可調間隙810。在操作期間,下電極806係由RF電源(匹配)820而供應RF功率。RF功率係經由RF供應導管822、RF條帶824及RF功率元件826而供應下電極806。接地屏蔽836可圍繞著該RF功率元件826,以對下電極806提供較均勻的RF場。如共有美國專利第7,732,728號所述(其整體內容係作為參考文獻而併入本文),晶圓係通過晶圓埠口882而插入下電極806的間隙810,並被支撐在下電極806的間隙810中以進行處理,處理氣體被供應至該間隙810並且被RF功率激發至電漿狀態。該上電極808可被供電或接地的。
在圖8A–8C顯示的實行例中,下電極806被支撐在下電極支撐板816上。插置於下電極806與支撐板816之間的絕緣體環814使下電極806與支撐件板816絕緣。
RF偏壓外殼830件將下電極806支撐在RF偏壓外殼盆832上。盆832通過腔室壁板818的開口且藉由RF偏壓外殼830的臂834而連接至導管支撐板838。在一實行例中,RF偏壓外殼盆832及RF偏壓外殼臂834係一體成形為一構件;然而,臂834及盆832亦可為被栓鎖或接合在一起的二個分離構件。
RF偏壓外殼臂834包括一或更多中空通道,用於將RF功率及設施,例如氣體冷卻劑、液體冷卻劑、RF能量、升降銷控制用的纜線、電性監測及致動信號從真空腔室802的外側通過至下電極806的背側上的空間處的真空腔室802內側。該RF供應導管822與RF偏壓外殼臂834絕緣,該RF偏壓外殼臂834為RF功率提供往RF電源820的返回路徑。設施導管840提供設施構件的通道。設施構件的進一步細節係描述於美國專利第5,948,704號及第7,732,728號中,且為了敘述的簡單性而未顯示於此。較佳地,該間隙810被限制環組件或護罩(未顯示)圍繞,其細節可在共有且公開的美國專利第7,740,736號中找到,該美國專利係作為參考文獻而併入本文。真空腔室802的內部係藉由通過真空門880而連接至真空幫浦以保持在低壓。
導管支撐板838附接至致動機構842。致動機構的細節係描述於共有的美國專利第7,732,728號中,其係併入本文中。致動機構842,例如伺服機械馬達、步進器馬達等,例如係藉由螺釘齒輪846(例如,滾珠螺桿)以及用於轉動該滾珠螺桿的馬達而附接至垂直線性軸承844。在調整間隙810的尺寸的操作期間,致動機構842沿著垂直線性軸承844行進。圖8A繪示當致動機構842位於線性軸承844上的高位置而形成小間隙810a時的配置。圖8B繪示當致動機構842位於線性軸承844上的中間位置時的配置。如所顯示,下電極806、RF偏壓外殼830、導管支撐板838、RF電源820皆已相對於腔室外殼804及上電極808而向下移動,形成中間尺寸的間隙410b。
圖8C繪示當致動機構842位於線性軸承上的低位置時的大間隙810c。較佳地,上電極808及下電極806在間隙調整期間保持共軸,且該間隙對向的上電極及下電極的面對表面保持平行。
此實行例允許CCP腔室802中的下電極806與上電極808之間的間隙810在多步驟處理配方期間(BARC、HARC及STRIP等)得以調整,例如以在大直徑基板(例如,300mm晶圓)或平板顯示器各處保持均勻蝕刻。尤其,此腔室係關於機械配置,其允許在下電極806與上電極808之間提供可調間隙所需的線性運動。
圖8A繪示橫向偏轉風箱850,其在導管支撐板838的近端及腔室壁板818的階狀凸緣828的遠端係密封的。階狀凸緣的內直徑界定腔室壁板818中的開口812,其中RF偏壓外殼臂834穿過該開口812。風箱850的遠端被夾持環852夾持。
該橫向偏轉風箱850提供真空密封,同時允許RF偏壓外殼830、導管支撐板838及致動機構442的垂直移動。該RF偏壓外殼830、導管支撐板838及致動機構842可被稱作懸臂組件。較佳地,該RF電源820與該懸臂組件一起移動,並且可附接至導管支撐板838。圖8B顯示在中立位置中的風箱850,其中該懸臂組件處於中間位置。圖8C顯示橫向偏轉的風箱850,其中該懸臂組件處於低位置。
迷宮式密封848在風箱850與電漿處理腔室外殼804的內部之間提供微粒阻障物。固定式屏蔽856無法移動地附接至腔室壁板818處的腔室外殼804的內側內壁以提供迷宮式凹槽860(狹槽),可移動屏蔽板858在該迷宮式凹槽860中垂直移動以容納懸臂組件的垂直移動。可移動屏蔽板858的外部分維持在下電極806的所有垂直位置處的狹槽中。
在所顯示的實行例中,迷宮式密封848包括固定屏蔽856,該固定屏蔽856在腔室壁板818中的開口812周緣處附接至腔室壁板818的內表面,而此界定出迷宮式凹槽860。可移動屏蔽板858附接至RF偏壓外殼臂834,並且從該RF偏壓外殼臂834延伸徑向,其中該外殼臂834穿過腔室壁板818中的開口812。該可移動屏蔽板858延伸進入迷宮式凹槽860,同時與固定屏蔽856間隔第一間隙,以及與腔室壁板818的內部表面間隔第二間隙,而這允許懸臂組件垂直移動。該迷宮式密封848阻擋從風箱850剝落的微粒遷移進入真空腔室內部805,以及阻擋來自處理氣體電漿的自由基遷移至風箱850,其中所述自由基可能會形成後續剝落的沉積物。
圖8A顯示當懸臂組件位於高位置(小間隙810a)時,可移動屏蔽板858在RF偏壓外殼臂834上方的迷宮式凹槽860中處於較高位置。圖8C顯示當懸臂組件位於低位置(大間隙810c)時,移動屏蔽板858在RF偏壓外殼臂834上方的迷宮式凹槽860中處於較低位置。圖8B顯示當懸臂組件位於中間位置(中等間隙810b)時,可移動屏蔽板858位於迷宮式凹槽860內的中立或中間位置。雖然該迷宮式密封848係被顯示成對於RF偏壓外殼臂834為對稱的,但其他實行例中,該迷宮式密封848對於RF偏壓外殼臂834可為不對稱的。
圖9提供簡易方塊圖,繪示配置用於實施本文所述的蝕刻及沉積方法的各種反應器構件。如所顯示,反應器900包括處理腔室924,該處理腔室924包圍著該反應器的其他構件並用於包含電容式-放電類型系統所產生的電漿,該電容式-放電類型系統包括與接地加熱器區塊920協同運作的噴淋頭914。高頻(HF)射頻(RF)產生器904及低頻(LF)RF產生器902可連接至匹配網路906及噴淋頭914。匹配網路906所供應的功率及頻率可足以從被供應至處理腔室924的處理氣體產生電漿。舉例而言,匹配網路906可提供50 W至500 W(例如,700至7100 W/m 2)的HF RF功率。在一些示例中,匹配網路906可提供100 W至5000 W(例如,1400至71000 W/m 2)的HF RF功率,以及100 W至5000 W(例如,1400至71000 W/m 2)的LF RF功率總能量。在典型處理中,該HF RF構件通常可介於5 MHz與60 MHz之間,例如13.56 MHz、約27 MHz或在一些情況下約為60 MHz。在存在LF構件的操作中,LF構件可從約100 kHz至2 MHz,例如約430 kHz或在一些情況下約為2 MHz。
在反應器內,晶圓基座918可支撐著基板916。晶圓基座918可包括卡盤、叉桿或升降銷(未顯示)以在某些操作期間或之間固持及轉移基板。該卡盤可為靜電卡盤、機械卡盤或工業及/或研究中所用的各種其他類型的卡盤。
各種處理氣體可經由輸入口912而引入。複數來源氣體管線910係連接至歧管908。該等氣體可被或可不被預混合。合適的閥及質量流控制機構可用於確保在該處理的沉積及電漿蝕刻階段期間輸送正確的處理氣體。在以液體形式輸送化學前驅物的情況下,可使用液體流動控制機構。接著,可將此液體汽化,並在歧管中傳輸期間與處理氣體混合,其中該歧管在到達沉積腔室之前係被加熱至以液體形式供應的化學前驅物的汽化點之上。
處理氣體可經由輸出口922而離開處理腔室924。真空幫浦(例如,一或二級機械乾式幫浦及/或渦輪分子幫浦940)可用於將處理氣體抽出處理腔室924,並使用閉迴路控制流動限制裝置(例如,節流閥或鐘擺閥)將處理腔室924內保持合適低壓。
如上所述,本文中描述用於沉積及蝕刻的技術可實施於多站或單一站工具上。在特定實行例中,可使用具有4站沉積方案的300 mm Lam Vector TM工具或具有6站沉積方案的200 mm Sequel TM工具。在一些實行例中,可使用處理450 mm晶圓的工具。在各種實行例中,可在每一沉積及/或沉積後電漿處理過後將晶圓進行分度,或是若蝕刻腔室或站亦為同一工具的一部分則可在蝕刻操作後將晶圓進行分度,或是可在將晶圓進行分度之前在單一站處執行複數沉積及處理。
在一些實行例中,可提供設備,其配置以執行本文所述的技術。合適設備可包括用於執行各種處理操作的硬體,以及系統控制器930,具有根據所揭露實施例控制處理操作的指令。該系統控制器930通常將包括一或更多記憶裝置以及一或更多處理器,該一或更多處理器與各種處理控制設備(例如,閥、RF產生器、晶圓搬運系統等)通信連接,並配置以執行所述指令,使該設備將根據所揭露的實施例執行技術。包含根據本揭露控制處理操作的指令的機器可讀媒體可耦接至系統控制器930。該系統控制器930可與各種硬體裝置(例如,質量流量控制器、閥、RF產生器、真空幫浦等)通信連接以促進與本文所述的沉積及蝕刻操作相關的各種處理參數的控制。
在一些實行例中,系統控制器930可控制著反應器900的所有活動。系統控制器930可執行系統控制軟體,該系統控制軟體係儲存在大量儲存裝置中、載入至記憶裝置中,以及在處理器上執行。系統控制軟體可包括複數指令,用於控制:氣流時間、晶圓移動、RF產生器啟動等,以及複數指令,用於控制氣體混合、腔室及/或站的壓力、腔室及/或站的溫度、晶圓支撐件溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或承受器位置、以及由反應器設備900所執行的特定處理之其他參數。系統控制軟體可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所需的處理工具構件之操作進行控制。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。
系統控制器930通常可包括一或更多記憶裝置以及一或更多處理器,該一或更多處理器係配置以執行所述指令,使該設備將根據所揭露的實施例執行技術。包含根據所揭露實施例而控制處理操作的指令的機器可讀媒體可耦接至系統控制器930。
在多站處理工具中可包括一或更多處理站。圖10顯示多站處理工具1000之實施例的示意圖,其具有入站(inbound)負載鎖室1002以及出站(outbound)負載鎖室1004,其中的一者或兩者可包括遠端電漿來源。處於大氣壓力下的機器人1006係配置以將基板從透過傳送盒1008進行裝載的晶舟通過大氣埠口1010進到入站負載鎖室1002中。藉由機器人1006而將基板放置在入站負載鎖室1002中的基座1012上,將大氣埠口1010關閉並且將負載鎖室進行抽氣。在該入站負載鎖室1002包括遠端電漿來源的情況下,在基板被導引至處理腔室1014中之前可將該基板暴露於負載鎖室內的遠端電漿處理。此外,還可在入站負載鎖室1002中對基板進行加熱,以例如移除濕氣及所吸附的氣體。接下來,開啟往處理腔室1014的腔室傳輸埠口1016,且另一機器人(未顯示)將基板放入反應器中並位於在反應器中所顯示之第一站的基座上以進行處理。雖然所繪示的實施例包括負載鎖室,但將能理解的是,在一些實施例中,可將基板直接提供進入處理站中。
所繪示的處理腔室1014包括四個處理站,在圖10中所顯示的實施例中係從1到4進行編號。各站具有加熱式基座(顯示為站1的基座1018)以及氣體管線入口。將能理解的是,在一些實行例中,各處理站可具有不同或複數用途。舉例來說,處理站1至4中的各者可為用於執行ALD、CVD、CFD或蝕刻(其中任何者可為電漿輔助的)的其中一或更多者的腔室。在一些實行例中,該等處理站的至少一者為具有圖8A-8C或圖9所顯示的反應腔室的沉積及蝕刻站。雖然所繪示的處理腔室1014包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實行例中,處理腔室可具有五或更多站;而在其他實行例中,處理腔室可具有三或更少站。
圖10還繪示晶圓搬運系統1009的實行例以在處理腔室1014內傳輸晶圓。在一些實行例中,晶圓搬運系統1009可在各種處理站之間及/或在處理站與負載鎖室之間傳輸晶圓。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性的示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖10還繪示系統控制器1050的實施例,該系統控制器1050係使用以控制處理工具1000的處理條件與硬體狀態。系統控制器1050可包括一或更多記憶裝置1056、一或更多大量儲存裝置1054以及一或更多處理器1052。處理器1052可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實行例中,控制器為系統的一部份且該系統可為上述示例的一部分。此系統可包括半導體處理設備,而該半導體處理設備包括一或更多處理工具、一或更多腔室、一或更多處理平台及/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器進行編程以控制本文所揭露的任何處理,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、與特定系統連接或接合的一工具及其他傳輸工具及/或負載鎖室的晶圓傳輸進出。
廣義而言,可將控制器界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片,及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與控制器通信的指令,而定義出用於在半導體晶圓上,或針對半導體晶圓,或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間達成一或更多處理步驟。
在一些實行例中,控制器可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,控制器可位於「雲端」,或是FAB主電腦系統的全部或一部分而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟,或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面而能夠對參數及/或設定進行輸入或編寫,所述參數及/或設定則接著從該遠端電腦傳送至系統。在一些示例中,控制器接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型,及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為了此目的所分佈的控制器的示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組,或可有關於或使用於半導體晶圓之加工及/或製造中的其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可通信至一或更多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器,或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載埠口。
在某些實行例中,該控制器具有指令,用於執行關於圖4而顯示及描述的操作。舉例而言,該控制器可具有指令,用於循環或非循環(a)使用電漿蝕刻腔室執行蝕刻操作以在基板上部分蝕刻特徵部,以及(b)使用同一電漿蝕刻腔室在經蝕刻特徵部中沉積保護性側壁塗層,而不實質蝕刻該基板。該保護性側壁塗層可包括金屬,例如鎢。所述指令可有關於使用所揭示的反應條件執行這些處理。在一些實行例中,側壁保護性塗層的沉積可在等於或小於約150°C、等於或小於約100°C、等於或小於約0°C,或介於約-100°C與約-10°C之間的溫度下進行。在一些實行例中,可使用一或更多沉積反應物進行側壁保護性塗層的沉積,該沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體。
回到圖10的實施例,在一些實施例中,系統控制器1050控制著處理工具1000的所有活動。系統控制器1050執行系統控制軟體1058,該系統控制軟體1058係儲存在大量儲存裝置1054中、載入至記憶裝置1056中,以及在處理器1052上執行。或者,可將控制邏輯硬編碼至控制器1050中。特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘極陣列或FPGA)等可為了這些用途而使用。下列討論中,無論在何處使用「軟體」或「編碼」,均可在該處使用功能性相當的硬編碼邏輯。系統控制軟體1058可包括複數指令,用於控制:時間、氣體混合、腔室及/或站的壓力、腔室及/或站的溫度、晶圓件溫度、目標功率位準、RF功率位準、RF暴露時間、基板基座、卡盤及/或承受器位置,以及由處理工具1000所執行的特定處理之其他參數。系統控制軟體1058可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所需的處理工具構件之操作進行控制。系統控制軟體1058可在任何合適的電腦可讀編程語言中進行編碼。
在一些實施例中,系統控制軟體1058可包括用於控制上述各種參數的輸入/輸出控制(IOC)序列指令。舉例而言,沉積/蝕刻處理的各階段可包括由系統控制器1050執行的一或更多指令。
在一些實施例中,可使用儲存在與系統控制器1050相關的大量儲存裝置1054及/或記憶裝置1056上的其他電腦軟體及/或程式。為此目的的程式或程式部分的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板定位程式可包括處理工具構件所用的程式編碼,其中所述處理工具構件係用以將晶圓裝載至基座1018上,並且控制晶圓與處理工具1000的其他部件之間的間距。
處理氣體控制程式可包括編碼,用於控制氣體組成及流率,並任選地用於在沉積之前將氣體流入一或更多處理站中以穩定該處理站內之壓力。在一些實施例中,控制器包括指令,用於循環或非循環地(a)蝕刻凹陷特徵部,以及(b)在經部分蝕刻特徵部的側壁上原位沉積含金屬保護層,其中所述指令包括與各種處理氣體流動相關的合適指令。
壓力控制程式可包括編碼,用於例如透過調節處理站之排氣系統內的節流閥、進入該處理站內的氣流等,以控制該處理站內之壓力。在一些實施例中,壓力控制程式可包括指令,用於在本文所述的蝕刻/沉積方法的各種階段期間將反應腔室保持在合適壓力位準。
加熱器控制程式可包括用於控制往加熱單元之電流的編碼,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往晶圓的傳輸。在某些實行例中,控制器包括指令,用於在第一溫度下蝕刻基板,以及在第二溫度下沉積保護性含金屬側壁塗層。在一些實行例中,該第一溫度與該第二溫度可為相同或實質相似的。
電漿控制程式可包括編碼,用於根據本文的實行例以對一或更多處理站中的RF功率位準及暴露時間進行設定。在一些實行例中,控制器包括指令,用於控制在蝕刻及/或沉積含金屬保護性側壁塗層期間的電漿特性。所述指令可有關於合適的功率位準、頻率、工作週期等。
在一些實施例中,可存在與系統控制器1050相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器,以及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器1050所調整的參數可與處理條件有關。非限制性的示例包括處理氣體的組成及流率、溫度、電漿條件(例如,RF偏壓功率位準及暴露時間)等。這些參數得以配方形式而提供至使用者,其中該配方可應用使用者介面來進行輸入。
可透過系統控制器1050的類比及/或數位輸入連接件以從各種處理工具的感測器提供監控處理所用的複數信號。可將用於控制處理的該等信號輸出在處理工具1000的類比及數位輸出連接件上。可受監控之處理工具感測器的非限制性示例包括質量流量控制器、壓力感測器(例如,壓力計)、熱電耦等。經適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持處理條件。
系統控制器1050可提供用於實施上述沉積處理的程式指令。所述程式指令可控制各種處理參數,像是DC功率位準、RF偏壓功率位準、壓力、溫度等。所述指令可控制該等參數,以根據本文所述的各種實施例來操作保護膜的原位沉積。
系統控制器通常將包括一或更多記憶裝置,以及配置以執行指令的一或更多處理器,使得該設備將執行與所揭露實施例相符的方法。可將包含指令的機器可讀非瞬態媒體耦接至該系統控制器,所述指令係用於控制與所揭露實施例相符的處理操作。
上述的各種硬體及方法實施例可與微影圖案化工具或處理結合使用,例如用於加工或製造半導體裝置、顯示器、LED、光伏面板等。通常,但非必要,此等工具/處理將在公共製造設施中一起使用或執行。
圖11繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組(VTM)1138連接的各種模組。可將在複數儲存設施與處理模組之間「傳輸」晶圓的各種模組配置稱為「群集工具架構」系統。氣室1130(亦稱為負載鎖室或傳輸模組)與VTM 1138連接,而該VTM 1138則依次與四個處理模組1120a-1120d連接,其中該四個處理模組1120a-1120d可經獨立優化以執行各種製造處理。舉例而言,可將處理模組1120a-1120d實施於執行基板蝕刻、沉積、離子植入、晶圓清潔、濺鍍及/或其他半導體處理,以及雷射計量學及其他缺陷偵測及缺陷驗證方法。在一些實施例中,目標層的電漿蝕刻及遮罩層的圖案化係在同一模組中進行。可將基板處理模組(1120a-1120d之中的任何者)的其中一或更多者如本文所述地加以實施,亦即用於在基板中蝕刻凹陷特徵部、在凹陷特徵部的側壁上沉積保護膜(或其中的子層),以及根據所揭露實施例的其他合適功能。可將氣室1130及處理模組1120a-1120d稱為「站」。各站具有將站與VTM 1138連接的維面(facet)1136。在各維面內側,感測器1-18係當基板1126在相應站之間移動時用以偵測基板1126的通過。
在一示例中,可將處理模組1120a配置於進行蝕刻,而可將處理模組1120b配置於進行沉積。在另一示例中,可將處理模組1120a配置於進行蝕刻,可將處理模組1120b配置於沉積保護性側壁塗層的第一子層,並可將處理模組1120c配置於沉積保護性側壁塗層的第二子層。
機器人1122將基板在複數站之間傳輸。在一實行例中,機器人1122可具有一臂件;而在另一實施例中,機器人可具有兩臂件,其中各臂件具有拾取基板以進行輸送的端效器1124。位於大氣傳輸模組(ATM)1140中的前端機器人1132可用以將基板從裝載埠模組(LPM)1142中的晶舟或前開式晶圓傳送盒(FOUP)1134傳輸至氣室1130。位於處理模組1120a-1120d內側的模組中心1128可為用於放置基板的一個位置。ATM 1140中的對準器1144可用於對準基板。
在示例性處理方法中,基板係放置在LPM 1142中的其中一FOUP 1134中。前端機器人1132將基板從該FOUP 1134傳輸至對準器1144,該對準器1144允許基板1126在經蝕刻或沉積上或以其他方式進行處理之前正確的置中。在對準後,基板係被前端機器人1132移動至氣室1130中。由於氣室模組具有將ATM與VTM之間的環境進行匹配的能力,因此基板能夠在兩種壓力環境之間移動而不受損。基板被機器人1122從氣室1130移動通過VTM 1138並進入處理模組1120a-1120d的其中一者,例如處理模組1120a。為達成此晶圓移動,機器人1122使用其臂部各者上的端效器1124。在處理模組1120a中,基板如本文所述地進行蝕刻以形成經部分蝕刻的特徵部。該基板可如本揭露中所描述地在處理模組1120a中沉積保護膜。該經部分蝕刻的特徵部在處理模組1120a中被進一步蝕刻。或者,機器人1122將基板移出處理模組1120a、進入VTM 1138,接著進入不同的處理模組1120b,其中保護膜係在該處理模組1120b處而沉積在該經部分蝕刻的特徵部的側壁上。接著,機器人1122將基板移出處理模組1120b、進入VTM 1138,接著進入處理模組1120a,其中該經部分蝕刻的特徵部係在該處理模組1120a處被進一步蝕刻。該蝕刻/沉積可重複進行,直到完全蝕刻該特徵部。
應當注意,控制著基板移動的電腦可位於該群集架構的本地,或是可位於製造樓層中的群集架構外部,或是在遠端位置並透過網路連接至該群集架構。
膜的微影圖案化通常包括下列步驟的一些或全部,其中各步驟係由數種可行工具而提供:(1)使用旋轉塗佈或噴灑塗佈工具將光阻塗覆在工件(例如,上方形成著矽氮化物膜的基板)上;(2)使用加熱板、爐膛或其他合適固化工具將光阻固化;(3)利用如晶圓步進器的工具將光阻暴露至可見光、或UV光或X光;(4)將光阻顯影以選擇性地移除光阻,從而使用如濕式工作台或噴灑顯影器的工具將光阻進行圖案化;(5)使用乾式或電漿輔助蝕刻工具以將光阻圖案轉移至下方膜或工件中;及(6)使用如RF或微波電漿光阻剝除器的工具將光阻移除。在一些實施例中,在塗覆光阻之前,可沉積可灰化硬遮罩層(例如,非晶形碳層)及另一合適硬遮罩(例如,抗反射層)。 其他實施例
在先前敘述中,數具體細節係闡述以提供對所呈現實施例的透徹理解。所揭露實施例可在不具一些或所有這些具體細節的情況下實施。在其他實例中,並未詳細描述習知的處理操作以免不必要地模糊所揭露的實施例。雖然所揭露實施例結合特定實施例進行描述,但將能理解的是這些特定實施例的用意並非在於限制所揭露的實施例。
雖然前述實施例已為了清楚理解的目的而描述些許細節,但將顯而易知的是,可在隨附申請專利範圍的範疇內進行某些變更及修改。應注意到,存在著實施所呈現實施例的處理、系統及設備的許多替代方式。因此,所呈現實施例係被視為說明性而非限制性的,且實施例並不受限於本文所給定的細節。
1-18:感測器 102:特徵部 103:基板層 104:聚合性側壁塗層 105:彎曲 106:遮罩層 200:處理 201~219:方格 300:基板 302:經圖案化遮罩層 304:經部分蝕刻特徵部 306:保護膜 400:處理 410~440:方格 500:基板 502:經部分蝕刻特徵部 504:蝕刻副產物 506:彎曲 508:接觸插塞 510:基於金屬襯墊 512:特徵部 600:基板 602:經部分蝕刻特徵部 608:接觸插塞 610:原位襯墊 612:高深寬比特徵部 700:基板 704:遮罩 710:基於金屬襯墊 800:RF電漿反應器 802:真空腔室 804:腔室外殼 806:下電極 808:上電極 810:間隙 810a:小間隙 810b:中等間隙 810c:大間隙 812:開口 814:絕緣體環 816:下電極支撐板 818:腔室壁板 820:RF電源(匹配) 822:RF供應導管 824:RF條帶 826:RF功率元件 828:階狀凸緣 830:RF偏壓外殼 832:RF偏壓外殼盆 834:RF偏壓外殼臂 836:接地屏蔽 838:導管支撐板 840:設施導管 842:致動機構 844:垂直線性軸承 846:螺釘齒輪 848:迷宮式密封 850:橫向偏轉風箱 852:夾持環 856:固定式屏蔽 858:可移動屏蔽板 860:迷宮式凹槽 880:真空門 882:晶圓埠口 900:反應器 902:低頻(LF)RF產生器 904:高頻(HF)射頻(RF)產生器 906:匹配網路 908:歧管 910:來源氣體管線 912:輸入口 914:噴淋頭 916:基板 918:晶圓基座 920:接地加熱器區塊 922:輸出口 924:處理腔室 930:系統控制器 940:渦輪分子幫浦 1000:多站處理工具 1002:入站(inbound)負載鎖室 1004:出站(outbound)負載鎖室 1006:機器人 1008:傳送盒 1009:晶圓搬運系統 1010:大氣埠口 1012:基座 1014:處理腔室 1016:腔室傳輸埠口 1018:基座 1050:系統控制器 1052:處理器 1054:大量儲存裝置 1056:記憶裝置 1058:系統控制軟體 1120a-1120d:處理模組 1122:機器人 1124:端效器 1126:基板 1128:模組中心 1130:氣室 1132:前端機器人 1134:前開式晶圓傳送盒(FOUP) 1136:維面(facet) 1140:大氣傳輸模組(ATM) 1142:裝載埠模組(LPM) 1144:對準器 1138:真空轉移模組(VTM)
圖1顯示經蝕刻特徵部的橫截面示意圖,其係由於側壁的過度蝕刻而具有非期望的彎曲。
圖2顯示在基板中形成經蝕刻特徵部的方法的流程圖。
圖3A–3E顯示在基板中形成經蝕刻特徵部的各種處理階段的橫截面示意圖。
圖4根據一些實行例繪示在基板中形成經蝕刻特徵部的示例方法的流程圖。
圖5A–5D根據一些實行例顯示使用基於金屬襯墊而形成經蝕刻特徵部的各種處理階段的橫截面示意圖。
圖6A–6B根據一些實行例顯示使用基於金屬襯墊改善斜度,而形成經蝕刻特徵部的各種處理階段的橫截面示意圖。
圖7根據一些實行例顯示經蝕刻特徵部的橫截面示意圖,其具有形成在該經蝕刻特徵部的側壁上的基於金屬襯墊。
圖8A–8C繪示反應腔室,其可用於根據一些實行例執行本文所述的電漿蝕刻及電漿沉積處理。
圖9繪示反應腔室,其可用於根據一些實行例執行本文所述的電漿蝕刻及電漿沉積處理。
圖10繪示出示例性多站處理工具的示意圖,其適合用於實施本文所述的各種電漿蝕刻及電漿沉積處理操作。
圖11繪示出示例性半導體處理群集工具架構的示意圖,其具有與轉移模組連接的電漿蝕刻模組,且適合用於實施本文所述的處理。
700:基板
704:遮罩
710:基於金屬襯墊

Claims (26)

  1. 一種方法,包括: (a)        在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部; (b)        在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以在該特徵部的複數側壁上沉積保護膜,其中該保護膜包括金屬;以及 (c)        在(b)過後,在該電漿蝕刻腔室中產生第二電漿,以及將該基板暴露至該第二電漿以額外蝕刻該基板中的該特徵部,其中該保護膜在(c)期間在沉積該保護膜的區域中實質防止該特徵部的橫向蝕刻。
  2. 如請求項1之方法,其中沉積係在等於或小於約100°C的沉積溫度下進行。
  3. 如請求項2之方法,其中該沉積溫度係介於約-100°C與約-10°C之間。
  4. 如請求項2之方法,其中在將該基板暴露至該第一電漿期間的蝕刻溫度與該沉積溫度相同或實質相同。
  5. 如請求項1之方法,其中該金屬包括鎢。
  6. 如請求項1之方法,其中該特徵部在(c)過後具有約5以上的深寬比。
  7. 如請求項1之方法,其中該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體。
  8. 如請求項7之方法,其中該含金屬氣體選自於由下列所組成的群組:六氟化鎢(WF 6)、六氟化錸(ReF 6)、六氟化鉬(MoF 6)、五氟化鉭(TaF 5)及釩氟化物(VF 5)。
  9. 如請求項7之方法,其中該還原劑選自於由下列所組成的群組:氫(H 2)、過氧化氫(H 2O 2)、甲烷(CH 4)、矽烷(SiH 4)、硼烷(BH 3)及氨(NH 3)。
  10. 如請求項7之方法,其中該含氟氣體選自於由下列所組成的群組:三氟化氮(NF 3)、六氟化硫(SF 6)、四氟化碳(CF 4)及四氟化矽(SiF 4)。
  11. 如請求項7之方法,其中該特徵部的該等側壁上的該保護膜的局部化係至少部分基於該含氟氣體的濃度及/或RF功率。
  12. 如請求項7之方法,其中該特徵部的該等側壁上的該保護膜的局部化及厚度的其中一者或二者係至少部分基於下列沉積條件的其中一或更多者:暴露時間、壓力、溫度、總流率、RF功率、還原劑的濃度、該惰性氣體的濃度,以及該含金屬氣體的濃度。
  13. 如請求項1之方法,其中沉積該保護膜包括產生第三電漿,該第三電漿包括該一或更多沉積反應物;以及將該基板暴露至該第三電漿以在該特徵部的該等側壁上沉積該保護膜。
  14. 如請求項13之方法,其中該第三電漿係使用低頻RF構件而在介於約100 kHz與約2 MHz之間的低頻下產生。
  15. 如請求項13之方法,其中該第一電漿包括一或更多第一蝕刻反應物,其中該第三電漿的該一或更多沉積反應物與該第一電漿的該一或更多第一蝕刻反應物不同。
  16. 如請求項13之方法,其中將該基板暴露至該第三電漿時的RF功率及暴露時間係不同於將該基板暴露至該第一電漿時的RF功率及暴露時間。
  17. 如請求項1之方法,其中該基板包括遮罩,該遮罩位於該基板中待蝕刻的一或更多材料層上方,其中該保護膜沿著該特徵部的該等側壁的大部分保形沉積且不沉積在該遮罩上。
  18. 如請求項1之方法,其中該保護膜沿著該特徵部的該等側壁的中間部分保形沉積。
  19. 如請求項1之方法,更包括: (d)        重複進行(b)至(c),直到達到該特徵部的最終深度。
  20. 一種方法,包括: (a)        在電漿蝕刻腔室中產生第一電漿,以及將基板暴露至該第一電漿以在該基板中部分蝕刻特徵部; (b)        在(a)過後,在該電漿蝕刻腔室中使用一或更多沉積反應物以在該特徵部的複數側壁上沉積保護膜,其中該一或更多沉積反應物包括含金屬氣體、還原劑、惰性氣體及含氟氣體;以及 (c)        在(b)過後,在該電漿蝕刻腔室中產生第二電漿,以及將該基板暴露至該第二電漿以額外蝕刻該基板中的該特徵部,其中該保護膜在(c)期間在沉積該保護膜的區域中實質防止該特徵部的橫向蝕刻。
  21. 如請求項20之方法,其中該含金屬氣體選自於由下列所組成的群組:六氟化鎢(WF 6)、六氟化錸(ReF 6)、六氟化鉬(MoF 6)、五氟化鉭(TaF 5)及釩氟化物(VF 5)。
  22. 如請求項20之方法,其中該還原劑選自於由下列所組成的群組:氫(H 2)、過氧化氫(H 2O 2)、甲烷(CH 4)、矽烷(SiH 4)、硼烷(BH 3)及氨(NH 3)。
  23. 如請求項20之方法,其中該含氟氣體選自於由下列所組成的群組:三氟化氮(NF 3)、六氟化硫(SF 6)、四氟化碳(CF 4)及四氟化矽(SiF 4)。
  24. 如請求項20之方法,其中該特徵部的該等側壁上的該保護膜的局部化係至少部分基於該含氟氣體的濃度及/或RF功率。
  25. 如請求項20之方法,其中在沉積該保護膜時的沉積溫度等於或小於約100°C。
  26. 如請求項20之方法,其中該特徵部在(c)過後具有約5以上的深寬比。
TW111106503A 2021-02-24 2022-02-23 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護 TW202249116A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163200254P 2021-02-24 2021-02-24
US63/200,254 2021-02-24

Publications (1)

Publication Number Publication Date
TW202249116A true TW202249116A (zh) 2022-12-16

Family

ID=83049636

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111106503A TW202249116A (zh) 2021-02-24 2022-02-23 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護

Country Status (5)

Country Link
US (1) US20230298896A1 (zh)
JP (1) JP2024509747A (zh)
KR (1) KR20230149711A (zh)
TW (1) TW202249116A (zh)
WO (1) WO2022182641A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
CN111627806A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置

Also Published As

Publication number Publication date
KR20230149711A (ko) 2023-10-27
WO2022182641A1 (en) 2022-09-01
US20230298896A1 (en) 2023-09-21
JP2024509747A (ja) 2024-03-05

Similar Documents

Publication Publication Date Title
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
KR102644442B1 (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
US9396961B2 (en) Integrated etch/clean for dielectric etch applications
US11670516B2 (en) Metal-containing passivation for high aspect ratio etch
US10847377B2 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TWI780118B (zh) 用於高深寬比圓筒狀物蝕刻之側壁保護層沉積保形的調節技術
CN114342043A (zh) 低压下的高密度、模量和硬度的非晶碳膜
TW202249116A (zh) 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
TW202201536A (zh) 利用氯之高深寬比介電質蝕刻
TW201835377A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
KR20170132671A (ko) 고 종횡비 실린더 에칭에 대한 측벽 패시베이션을 증착하기 위한 기법
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減