JP7241705B2 - 半導体製造における金属ドープ炭素系ハードマスクの除去 - Google Patents

半導体製造における金属ドープ炭素系ハードマスクの除去 Download PDF

Info

Publication number
JP7241705B2
JP7241705B2 JP2019567605A JP2019567605A JP7241705B2 JP 7241705 B2 JP7241705 B2 JP 7241705B2 JP 2019567605 A JP2019567605 A JP 2019567605A JP 2019567605 A JP2019567605 A JP 2019567605A JP 7241705 B2 JP7241705 B2 JP 7241705B2
Authority
JP
Japan
Prior art keywords
metal
doped carbon
containing material
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019567605A
Other languages
English (en)
Other versions
JP2020523785A5 (ja
JP2020523785A (ja
Inventor
ユ・ヨンシク
チェン・デイビッド・ウィント
オストロウスキ・カーク・ジェイ.
ゴーシュ・ニコン
コリンジバディ・カーシク・エス.
タン・サマンサ
マッスルホワイト・ネイサン
カワグチ・マーク・ナオシ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020523785A publication Critical patent/JP2020523785A/ja
Publication of JP2020523785A5 publication Critical patent/JP2020523785A5/ja
Application granted granted Critical
Publication of JP7241705B2 publication Critical patent/JP7241705B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/083Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
    • C01B21/0832Binary compounds of nitrogen with halogens
    • C01B21/0835Nitrogen trifluoride
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/01Chlorine; Hydrogen chloride
    • C01B7/07Purification ; Separation
    • C01B7/0743Purification ; Separation of gaseous or dissolved chlorine
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

[関連出願の相互参照]
本願は、全ての目的のために参照としてそれらの全てが本明細書に援用される、2017年6月9日出願の「METAL DOPED CARBON BASED HARD MASK REMOVAL IN SEMICONDUCTOR FABRICATION」と題した米国仮特許出願第62/517,717号の利益を主張する、2017年6月30日出願の「METAL DOPED CARBON BASED HARD MASK REMOVAL IN SEMICONDUCTOR FABRICATION」と題した米国特許出願第15/640,345号の利益を主張する。
メモリおよびロジックを製造するための半導体製造プロセスは、基板上のフィーチャをエッチングするためにハードマスクの使用を伴うことが多い。例えば、パターニングされたハードマスクを用いて基板上にコンタクトホールが形成される場合がある。基板上にホールがエッチングされた後に、パターニングされたハードマスクは、その下にある材料に対して選択的に除去される。しかし、デバイスが縮小し、メモリおよびロジックの技術が進むにつれて、既存のハードマスクを用いて高アスペクト比のフィーチャをエッチングすることは難しく、ハードマスクのための新しい技術は、新しい除去技術を必要としている。
本明細書には、半導体基板を処理するための方法およびその装置が記載される。一態様は、金属ドープ炭素含有材料を有する半導体基板を処理する方法を含む。この方法は、金属ドープ炭素含有材料を有する基板を処理チャンバに提供することと、基板を約200℃から約500℃の間の温度に加熱することと、金属ドープ炭素含有材料をエッチングするために、金属ドープ炭素含有材料を第1のエッチングガスおよび第2のエッチングガスを含むガス混合物から生成されたプラズマに曝露することにより、第1のエッチングガスが金属ドープ炭素含有材料の炭素を含む第1の揮発性副生成物を形成し、第2のエッチングガスが金属ドープ炭素含有材料の金属を含む第2の揮発性副生成物を形成することと、を含む。
いくつかの実施形態では、第1のエッチングガスは、酸化剤である。第1のエッチングガスは、1つ以上のガスを含んでよい。例えば、いくつかの実施形態では、第1のエッチングガスは、酸素ガスのみを含む。別の例では、第1のエッチングガスは、窒素ガスのみを含む。別の例では、第1のエッチングガスは、水素ガスのみを含む。別の例では、第1のエッチングガスは、亜酸化窒素ガスのみを含む。別の例では、第1のエッチングガスは、酸素ガスおよび窒素ガスの組み合わせを含む。別の例では、第1のエッチングガスは、亜酸化ガスおよび酸素ガスの組み合わせを含む。別の例では、第1のエッチングガスは、酸素ガスおよび水蒸気の組み合わせを含む。別の例では、第1のエッチングガスは、亜酸化ガス、酸素ガス、および水蒸気の組み合わせを含む。別の例では、第1のエッチングガスは、窒素ガスおよび水素ガスの組み合わせを含む。いくつかの実施形態では、酸化剤は、第1のエッチングガスには用いられない。いくつかの実施形態では、非酸化ガスが第1のエッチングガスとして用いられる。例えば、第1のエッチングガスは、水素ガスのみを含んでよい。いくつかの実施形態では、不活性ガスは、第1のエッチングガスと共に流される。不活性ガスの例は、アルゴンおよびヘリウムを含む。
様々な実施形態では、第2のエッチングガスは、窒素、三フッ化窒素、塩素、フッ素、臭素、ヨウ素、水素、六フッ化硫黄、およびこれらの組み合わせのうちの1つ以上である。様々な実施形態では、第2のエッチングガスは、添加ガスである。様々な実施形態では、第2のエッチングガスは、1つ以上のハロゲン含有ガスを含む。いくつかの実施形態では、不活性ガスは、第2のエッチンガスと共に流される。不活性ガスの例は、アルゴンおよびヘリウムを含む。
様々な実施形態では、基板は、約200℃から約400℃の間の温度(例えば、約250℃)に加熱される。
いくつかの実施形態では、金属ドープ炭素含有材料は、約30nm/分から約1000nm/分の間のエッチング速度でエッチングされる。
いくつかの実施形態では、プラズマは、ガス混合物を供給するためのシャワーヘッドの上流で点火される。様々な実施形態では、プラズマは、シャワーヘッドの上流で誘導結合プラズマを用いて生成される。
様々な実施形態では、金属ドープ炭素含有材料をエッチングするためにガス混合物から生成されたプラズマは、約500Wから約10000Wの間、または、約3000Wから約10000Wの間のステーション毎の電力でプラズマを点火させることによって生成される。いくつかの実施形態では、電力はステーション毎に約7000Wである。
いくつかの実施形態では、金属ドープ炭素含有材料は、基板が設置される台座にバイアスを印加することなくエッチングされる。様々な実施形態では、バイアスに印加される電力は、0Wから約3000Wの間、または、約10Wから約3000Wの間である。
いくつかの実施形態では、この方法は、約100mTorrから約4000mTorrの間のチャンバ圧で実施される。いくつかの実施形態では、チャンバ圧は、約200mTorrから約4000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1500mTorrである。
様々な実施形態では、基板は、アスペクト比が少なくとも約5:1、または少なくとも約20:1(例えば、50:1と60:1の間、または、約5:1と約150:1の間)のネガ型フィーチャでパターニングされる。
この方法は、さらに、第1のエッチングガスまたは第2のエッチングガスのいずれかの導入に先立って、基板上の露出シリコン面を保護するために、基板を予備酸化ガスに曝露し、予備酸化プラズマを点火させることを含む。予備酸化ガスは、酸素ガスおよび窒素ガスの混合物であってよい。いくつかの実施形態では、予備酸化は、約100mTorrから約4000mTorrの間のチャンバ圧で実施される。いくつかの実施形態では、予備酸化は、約200mTorrから約4000mTorrの間のチャンバ圧で実施される。いくつかの実施形態では、予備酸化は、約1000mTorrから約2000mTorrの間のチャンバ圧で実施される。いくつかの実施形態では、予備酸化は、約1500mTorrのチャンバ圧で実施される。いくつかの実施形態では、酸素ガスの流量は、約0.1slmから約1slmの間であってよい。いくつかの実施形態では、窒素ガスの流量は、約0.1slmから約1slmの間であってよい。誘導結合プラズマのためのプラズマ電力は、いくつかの実施形態では、約500Wから約6000Wの間であってよい。
様々な実施形態では、金属ドープ炭素含有材料の金属は、タングステン、チタン、タンタル、それらの窒化物、それらのケイ化物、およびそれらの組み合わせのうちの1つ以上である。いくつかの実施形態では、金属ドープ炭素含有材料の金属は、元素周期表の第6周期の遷移金属である。いくつかの実施形態では、金属ドープ炭素含有材料は、WSixy(1≧x>0および1≧y>0)の化学式を有する窒化タングステンシリサイドをドープする。
様々な実施形態では、金属ドープ炭素含有材料の金属含有率は、約5%から約95%の間、または、約10%から約70%の間である。様々な実施形態では、金属ドープ炭素含有材料は、金属ドーパントを含み、原子%における金属ドープ炭素含有材料の金属ドーパントの構成は、約33%から約66%の間である。
様々な実施形態では、金属ドープ炭素含有材料は、架橋された金属原子および炭素原子を含む。いくつかの実施形態では、金属ドープ炭素含有材料は、炭化タングステンと添加炭素と水素原子との間、または、炭化タングステンと添加炭素原子との間、または、炭化タングステンと添加水素原子との間の架橋を含むタングステンドープ炭素含有材料である。
いくつかの実施形態では、金属ドープ炭素含有材料は、炭化水素前駆体ガスを処理チャンバに導入し、金属系前駆体ガスを処理チャンバに導入し、プラズマを点火して、基板上に金属ドープ炭素含有ハードマスクを堆積させることによるプラズマ強化化学気相堆積によって形成される(炭化水素前駆体ガスは化学式Cxyを有し、xは2から10までの整数であり、yは2から24までの整数)。例えば、炭化水素前駆体ガスは、メタン、アセチレン、エチレン、プロピレン、ブタン、シクロヘキサン、ベンゼン、およびトルエンのうちの1つ以上であってよい。様々な実施形態では、堆積中に用いられる金属系前駆体は、金属ハロゲン化物前駆体ガス(例えば、フッ化タングステン(WFa)、塩化チタン(TiClb)、塩化タングステン(WClc)、塩化ハフニウム(HfCld)、および塩化タンタル(TaCle)(a、b、c、d、およびeは、1以上の整数))を含む。例には、テトラキス(ジメチルアミノ)チタン(TDMAT)、および、ビス(tert-ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)が含まれる。
いくつかの実施形態では、金属ドープ炭素含有材料は、基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、ドープ酸化シリコン、ドープ窒化シリコン、タングステン、およびそれらの組み合わせに対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1である。
いくつかの実施形態では、金属ドープ炭素含有材料は、基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、それらのドープ派生物、およびそれらの組み合わせに対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1である。
いくつかの実施形態では、金属ドープ炭素含有材料は、基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、ゲルマニウム、それらのドープ派生物、およびそれらの組み合わせに対する金属ドープ炭素含有材料のエッチング選択性は、約10:1から約30:1の間である。
いくつかの実施形態では、金属ドープ炭素含有材料は、基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、コバルト、窒化タンタル、銅、低k誘電体、およびそれらの組み合わせに対する金属ドープ炭素含有材料のエッチング選択性は、約10:1から約30:1の間である。
別の態様は、基板上のタングステンドープ炭素ハードマスクをエッチングする方法を含む。この方法は、タングステンドープ炭素ハードマスクを有する基板を処理チャンバに提供することと、基板を約200℃から約500℃の間の温度に加熱することと、塩化タングステンまたはオキシ塩化タングステンを形成することによってタングステンドープ炭素ハードマスクをエッチングするために、酸素および塩素から生成されたプラズマにタングステンドープ炭素ハードマスクを曝露することと、を含む。
いくつかの実施形態では、プラズマは、約500Wから約10000Wの間、または、約3000Wから約10000Wの間のステーション毎の電力を用いて点火される。いくつかの実施形態では、電力は、ステーション毎に約7000Wである。様々な実施形態では、プラズマは、シャワーヘッドの上流の誘導結合プラズマを用いて生成される。
様々な実施形態では、タングステンドープ炭素ハードマスクをプラズマに曝露したときに処理チャンバに流れるガスの総流量の構成は、少なくとも約10%の塩素ガスを含む。
いくつかの実施形態では、この方法は、約100mTorrから約4000mTorrの間のチャンバ圧で実施される。いくつかの実施形態では、チャンバ圧は、約200mTorrから約4000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1500mTorrである。
別の態様は、基板上の金属ドープハードマスクをエッチングするための装置を含む。この装置は、基板を保持し加熱するための台座を備える反応チャンバと、プラズマを生成するために反応チャンバに結合されたプラズマ源と、第1のエッチングガスを反応チャンバに供給するために反応チャンバに結合されている1つ以上の第1のガス流入口と、第2のエッチングガスを反応チャンバに供給するために反応チャンバに結合されている1つ以上の第2のガス流入口と、次の動作を実施するための命令を備えるコントローラであって、その動作は、台座の温度を約200℃から約500℃の間の温度に設定する動作と、金属ドープ炭素含有材料をエッチングするために、第1のエッチングガスおよび第2のエッチングガスを含むガス混合物を導入し、プラズマを点火することによって、第1のエッチングガスは金属ドープ炭素含有材料の炭素を含む第1の揮発性副生成物を形成し、第2のエッチングガスは金属ドープ炭素含有材料の金属を含む第2の揮発性副生成物を形成する動作とを含む、コントローラとを備える。様々な実施形態では、反応チャンバの圧力は、約100mTorrから約4000mTorrの間の圧力に設定される。いくつかの実施形態では、チャンバ圧は、約200mTorrから約4000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間である。いくつかの実施形態では、チャンバ圧は、約1500mTorrである。
別の態様は、金属ドープシリコン含有材料を有する半導体基板を処理する方法を含む。この方法は、金属ドープシリコン含有材料を有する基板を処理チャンバに提供することと、基板を約200℃から約500℃の間の温度に加熱することと、金属ドープシリコン含有材料をエッチングするために、金属ドープシリコン含有材料を第1のエッチングガスおよび第2のエッチングガスを含むガス混合物から生成されたプラズマに曝露することにより、第1のエッチングガスは金属ドープシリコン含有材料のシリコンを含む第1の揮発性副生成物を形成し、第2のエッチングガスは金属ドープシリコン含有材料の金属を含む第2の揮発性副生成物を形成することと、を含む。
別の態様は、基板上のタングステンドープシリコンハードマスクをエッチングする方法を含む。この方法は、タングステンドープシリコンハードマスクを有する基板を処理チャンバに提供することと、基板を約200℃から約500℃の間の温度に加熱することと、塩化タングステンまたはオキシ塩化タングステンを形成することによってタングステンドープシリコンハードマスクをエッチングするために、タングステンドープシリコンハードマスクを酸素および塩素から生成されたプラズマに曝露することと、を含む。
これらの態様および他の態様は、図面を参照して以下にさらに説明される。
特定の開示の実施形態に従って実施される方法の動作を表すプロセスフロー図。
特定の開示の実施形態を実施するためのプロセスチャンバ例を示す概略図。
特定の開示の実施形態を実施するためのプロセスツール例を示す概略図。
特定の開示の実施形態に従って実施された実験のエッチング選択性結果を表すグラフ。
特定の開示の実施形態に従って実施された実験の実験結果を表すグラフ。
以下の説明では、記載の実施形態の十分な理解を提供するために多くの特定の詳細が記載される。開示の実施形態は、これらの特定の詳細の一部または全てなしに実施されてよい。他の例では、周知のプロセス動作は、開示の実施形態を不必要に曖昧にしないように詳細には説明されていない。開示の実施形態は、特定の実施形態と併せて説明されるが、開示の実施形態を限定する意図はないことが理解されるだろう。
半導体製造プロセスは、メモリデバイスおよびロジックデバイスの製造を含む。例には、3D NANDおよびDRAM(ダイナミック・ランダム・アクセス・メモリ)アプリケーションだけでなく、ミドルエンドオブライン(MEOL)およびバックエンドオブライン(BEOL)のためのロジックアプリケーションも含まれる。メモリデバイスおよびロジックデバイスの製造は、1つの材料または多層材料を含みうる基板上にコンタクトホールなどのフィーチャをエッチングすることを含むことが多い。ビアまたはコンタクトホールなどの「フィーチャ」は、狭いおよび/または凹型の開口部、フィーチャ内の狭窄、および高アスペクト比のうちの1つ以上によって特徴付けられてよい。本明細書に記載の「フィーチャ」との用語は、穴またはビアなどの凹型フィーチャを意味する。多くの場合、フィーチャのエッチングは、エッチングされる材料の上にハードマスクを堆積させてパターニングすることと、ハードマスクをパターンとして用いて材料をエッチングすることとを含む。パターニングされたハードマスクは、最終的に基板から除去されてよい。
3D NAND、DRAM、およびロジックの製造プロセスは、1つの材料を含みうる、または積層などの多層材料でありうる基板上に、コンタクトホールおよび他の凹型フィーチャをエッチングするのにハードマスクを用いることを含む。例えば、3D NAND製造では、約100nmの臨界寸法を有するチャネルホールは、約1.5マイクロメータから約2マイクロメータの間の厚さでありうるハードマスクを用いて、4ミクロンの厚さのONON(酸化物-窒化物-酸化物-窒化物)基板を通ってエッチングされてよい。DRAMアプリケーションでは、アモルファスシリコンまたはポリシリコンのハードマスクを用いて高アスペクト比のフィーチャがコンデンサのためにエッチングされてよい。例えば、DRAMアプリケーションにおいてコンデンサをエッチングするためのマスクは、ノードに応じて約20nmから約30nmの間の臨界寸法、および、約50:1から約60:1の間のアスペクト比を有するコンデンサを形成するために、通常、1マイクロメータから約1.5マイクロメータの厚さである。ロジックアプリケーションでは、窒化チタンハードマスクがMEOLアプリケーションおよびBEOLアプリケーションに用いられてよい。フィーチャは、第1の金属と第2の金属との間にコンタクトを形成するようにエッチングされてよい。これらのアプリケーションでは、エッチングされたフィーチャ全体で一貫したフィーチャ直径を維持しながら高アスペクト比のフィーチャをエッチングするときは、ハードマスクの損傷またはエッチングを減らすためにより強固なハードマスクが用いられる。残りの基板に不具合、再堆積、または損傷を引き起こさずに除去されうる材料で作られたハードマスクを用いることも望ましい。
デバイスが縮小するにつれてこれらのフィーチャのアスペクト比は増加し、フィーチャ底部の穴直径と一致する穴上部の穴直径を維持しながら高アスペクト比の凹型フィーチャをエッチングすることが非常に困難になる。本明細書に記載する高アスペクト比とは、20:1より大きい(例えば、50:1から60:1の間)アスペクト比を意味する。高アスペクト比のフィーチャ例は、平面NANDでは10:1の穴、または3D NANDでは40:1の穴を含む。高アスペクト比は、DRAM製造においても普及している。メモリデバイスおよびロジックデバイス製造における別の困難な態様は、高アスペクト比フィーチャをエッチングするのにハードマスクを用いるときのハードマスク損失を減らすことである。
ハードマスクの例は、アモルファスシリコン、ポリシリコン、アモルファスカーボン、および窒化チタンのハードマスクを含む。より最近の開発では、金属ダイヤモンドライクカーボン(MDLC)または金属ドープシリコン含有ハードマスクとしても知られる、金属ドープ炭素含有ハードマスクを用いることが含まれる。金属ドープ炭素含有ハードマスクは、金属ドープアモルファス炭素ハードマスクを意味してよい。金属ドープシリコン含有ハードマスクは、金属ドープアモルファスシリコンハードマスクを意味してよい。金属ドープ炭素含有ハードマスクまたは金属ドープシリコン含有ハードマスクの形成例は、全ての目的のため本明細書に参照として援用される米国特許第9,520,295号に記載されている。金属ドープ炭素含有ハードマスクは、架橋されうる金属原子および炭素原子を含む。いくつかの実施形態では、タングステンを有する金属ドープ炭素含有ハードマスクは、炭化タングステン原子と添加炭素原子と水素原子との間、または、炭化タングステン原子と添加炭素原子との間、または、炭化タングステン原子と添加水素原子との間の架橋を含む。金属ドープ炭素含有ハードマスクに見られる異なる種類の架橋は、ハードマスクを堆積させるためのプロセス条件(例えば、堆積前駆体ケミストリ、温度、チャンバ圧、およびプラズマ条件)に依存する。
いくつかの金属ドープ炭素含有ハードマスクは、炭化水素前駆体ガスを処理チャンバに導入し、金属系前駆体ガスを処理チャンバに導入し、プラズマを点火して基板上に金属ドープ炭素含有ハードマスクを堆積させることによる、プラズマ強化化学気相堆積(PECVD)によって形成されてよい。炭化水素前駆体は、化学式Cxyを有してよい(xは2から10までの整数、yは2から24までの整数)。例には、メタン、アセチレン、エチレン、プロピレン、ブタン、シクロヘキサン、ベンゼン、およびトルエンが含まれる。金属系前駆体ガスは、金属ハロゲン化物前駆体ガスであってよい。金属ハロゲン化物前駆体ガスは、フッ化タングステン(WFa)、塩化チタン(TiClb)、塩化タングステン(WClc)、塩化ハフニウム(HfCld)、および塩化タンタル(TaCle)を含む(a、b、c、d、およびeは1以上の整数)。例には、テトラキス(ジメチルアミノ)チタン(TDMAT)、および、ビス(tert-ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)が含まれる。金属ドープ炭素含有ハードマスクの堆積中に、水素、アルゴン、窒素、ヘリウム、またはそれらの組み合わせなどのキャリアガスが流されてよい。金属ドープ炭素含有ハードマスク用のドーパントは、タングステン、チタン、ハフニウム、およびタンタルを含む。いくつかの実施形態では、金属ドーパントは、元素周期表の第6周期の遷移金属のうちの1つ以上であってよい。いくつかの実施形態では、ドーパントは、タングステン、チタン、タンタル、それらの窒化物、それらのケイ化物、およびそれらの組み合わせである。例えば、いくつかの実施形態では、ドーパントは、窒化タングステンまたはケイ化タングステンである。
金属ドープ炭素含有ハードマスクは、高膜密度を有し、無ドープ炭素含有ハードマスクよりもハードマスクを損なうまたは除去することなしに高アスペクト比のフィーチャを形成するのに用いられるエッチングケミストリに耐えうるため、これらの高アスペクト比のアプリケーションにおいて穴を形成するのに用いられる。金属ドープハードマスクは、メタロイドを含まない。
また、金属ドープ炭素含有ハードマスクを用いることは、高アスペクト比のフィーチャ全体の一貫したフィーチャ直径を実現する。本明細書に記載する「金属ドープ炭素含有材料」との用語は、金属(タングステン、タンタル、およびハフニウムを含む、元素周期表の第6周期のチタンまたは遷移金属など)および炭素を含む材料を意味し、金属ドープ炭素含有材料中の金属量は、任意の濃度であってよい。
しかしながら、パターニングのためにハードマスクを用いた後にそれらをエッチングする既存の技術は、下層に対して選択的にハードマスクを効率よくエッチングできない。本明細書に記載する「選択性」との用語は、材料選択性を意味する。例えば、エッチングケミストリが第2の材料に対する第1の材料の高エッチング選択性を実現できる場合、これは、エッチングケミストリが第2の材料をエッチングするよりも速く第1の材料をエッチングすることを意味する。エッチング選択性は、第2の材料のエッチング速度に対する第1の材料のエッチング速度比を用いて数値化されうる。エッチング選択性は、金属ドープ炭素含有ハードマスクが用いられているアプリケーションに依存する。例えば、いくつかのメモリアプリケーションでは、酸化シリコンまたは窒化シリコンに対する金属ドープ炭素含有ハードマスクの5000:1のエッチング選択性が実現されてよいが、いくつかのロジックアプリケーションでは、酸化シリコンまたは窒化シリコンに対する金属ドープ炭素含有ハードマスクの30:1のエッチング選択性が実現されてよい。
エッチング技術は、ウェットエッチングおよびドライエッチングを含むが、それらの技術は共に、金属ドープ炭素含有ハードマスクのエッチングから不具合を低減または除去しながら基板上の他の材料(例えば、誘電材料、半導体材料、および金属材料)に対する金属ドープ炭素含有ハードマスクの高エッチング選択性を実現できない。例えば、過酸化水素を含むウェットエッチング剤は、高濃度の金属ドープ炭素含有ハードマスクを高エッチング選択性(例えば、約1000:1)で除去しうるが、ウェット剤は、金属表面を傷つけ、露出した誘電面の一部をエッチングする可能性もある。また、無ドープ炭素含有ハードマスクのドライエッチング法は、水素含有還元剤と共に酸素含有酸化剤を用いることを含むが、そのような技術は、基板上に不具合を引き起こす。例えば、無ドープ炭素含有ハードマスクを除去するためのエッチングケミストリの一例は、金属ドープハードマスクについて常に遅いエッチング速度および誘電材料に対する悪い選択性を有する、酸素プラズマ、または、酸素(O2)およびフォーミングガスの混合物(例えば、水素/窒素(H2/N2)および三フッ化窒素(NF3)の混合物)を含むプラズマ条件の組み合わせを含む。別の例は、約30~80nm/分でエッチングする過酸化水素ウェットエッチングを含む。下地材に対するエッチング選択性は、約1000:1でよいが、上記のように、そのようなエッチングケミストリは、基板上に不具合を引き起こす。
本明細書に記載されるのは、メモリアプリケーションおよびロジックアプリケーションのための高アスペクト比フィーチャを形成するのに用いられる金属ドープハードマスクをエッチングするための方法である。本明細書に記載の多くの実施形態が金属ドープ炭素含有ハードマスクの除去を意味するが、金属ドープ炭素含有ハードマスクの炭素部品をエッチングするのに適したエッチングケミストリを選択する代わりに、金属ドープシリコン含有ハードマスクのシリコン部品をエッチングするのに適したエッチングケミストリを選択することによって、金属ドープシリコン含有ハードマスクを除去するために類似の技術が用いられてもよいことが理解されるだろう。
特定の開示の実施形態は、3D NAND構造およびDRAM構造の製造で用いられる金属ドープ炭素含有ハードマスクを除去するのに特に適している。開示の実施形態は、基板上の下地材を保護し、不具合を低減しながら、金属ドープ炭素含有ハードマスクを除去するのに添加ガスを用いる高温の酸素系エッチングケミストリを含む。
図1は、特定の開示の実施形態に従って実施される方法の動作を表すプロセスフロー図を示している。本明細書に記載の実施形態は、約100mTorrから約4000mTorrの間、または、約200mTorrから約4000mTorrの間のチャンバ圧を有する処理チャンバ内の基板上で実施されてよい。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間であってよい。例えば、いくつかの実施形態では、チャンバ圧は、約1500mTorrであってよい。
動作101では、金属ドープ炭素含有材料を有する基板が提供される。様々な実施形態では、金属ドープ炭素含有材料は、ハードマスクである。
様々な実施形態では、金属ドープ炭素含有材料の金属は、タングステンである。いくつかの実施形態では、金属ドープ炭素含有材料は、タングステン、チタン、タンタル、それらの窒化物、それらのケイ化物、およびそれらの組み合わせなどの金属でドープされる。いくつかの実施形態では、金属ドープ炭素含有材料は、窒化チタン、窒化タンタル、窒化タングステン、またはそれらの組み合わせでドープされる。いくつかの実施形態では、金属ドープ炭素含有材料は、WSixy(1≧x>0および1≧y>0)の化学式を有する窒化タングステンシリサイドでドープされる。
様々な実施形態では、金属ドープ炭素含有材料は、パターニングされ、金属ドープ炭素含有材料の下にある1つ以上の層にフィーチャをエッチングするのに用いられる。例えば、金属ドープ炭素含有材料は、少なくとも約5:1、または少なくとも約20:1、または約5:1から約150:1の間のアスペクト比を有するフィーチャをエッチングするのに用いられてよい。いくつかの実施形態では、金属ドープ炭素含有材料は、約50:1から約60:1の間のアスペクト比を有するフィーチャをエッチングするのに用いられる。高アスペクト比フィーチャの例は、平面NANDについて10:1の穴、または3D NAND構造について40:1の穴を含む。
様々な実施形態では、金属ドープ炭素含有材料は、約10nmから約500nmの間の幅のフィーチャ開口部を有するフィーチャ穴をエッチングするのに用いられる。様々な実施形態では、金属ドープ炭素含有材料は、フィーチャの寸法に応じて、フィーチャがその上部または上部付近の臨界寸法とその底部または底部付近の臨界寸法との間で約20nm未満の差を有するようにフィーチャをエッチングするのに用いられる。
様々な実施形態では、基板上の金属ドープ炭素含有材料は、約5nmから約2000nmの間の厚さである。厚さは、金属ドープ炭素含有材と直ぐ隣接する下地層との境界面から金属ドープ炭素含有材料の圃場面までが測定される。3D NANDアプリケーションでは、除去される金属ドープ炭素含有材料の厚さは、約1100nmから約1300nmの間であってよい。DRAMアプリケーションでは、除去される金属ドープ炭素含有材料の厚さは、約300nmから約500nmの間であってよい。ロジックアプリケーションでは、除去される金属ドープ炭素含有材料の厚さは、約10nmから約20nmの間であってよい。
いくつかの実施形態では、基板上の層はパターニングされてよい。基板上の1つ以上の層にはフィーチャが形成されてよい。フィーチャの一例は、半導体基板または基板上の層における穴またはビアである。別の例は、基板または層におけるラインまたは空間によって規定されるトレンチである。様々な実施形態では、フィーチャは、バリア層または接着層などの下地層を有してよい。下地層の非限定的な例は、誘電層および導電層(例えば、酸化シリコン、窒化シリコン、炭化シリコン、金属酸化物、金属窒化物、金属炭化物、および金属層)を含む。いくつかの実施形態では、基板は、異なる材料(例えば、金属、誘電体、半導体材料、およびその他)を有する複数の層を備えてよい。様々な実施形態では、これらの材料は、コンタクト、ビア、ゲートなどを製造するために用意されてよい。
様々な実施形態では、基板は、処理チャンバに提供されたときに選択された温度に加熱される。本明細書に記載の基板温度は、基板を保持する台座が設定される温度を意味する。特定の開示の実施形態における基板温度は、少なくとも約200℃、または約200℃から約500℃の間、または約200℃から約400℃の間(例えば、約250℃)である。
動作103では、金属ドープ炭素含有材料は、必要に応じて予備酸化ガスに曝露される。予備酸化とは、金属ドープ炭素含有ハードマスクの剥離または除去に先立って基板を酸化するのに用いられる酸素系プラズマの動作である。様々な実施形態では、予備酸化は、基板の露出シリコン面を酸化する。この動作中に、金属ドープ炭素含有材料は、プラズマを点火しながら酸素ガスおよび窒素ガスの混合物に曝露されてよい。いくつかの実施形態では、金属ドープ炭素含有材料は、プラズマを点火しながら酸素ガスおよび亜酸化窒素ガスの混合物に曝露される。いくつかの実施形態では、金属ドープ炭素含有材料は、プラズマを点火しながら窒素、水素、および酸素ガスの混合物に曝露される。
動作103の間、チャンバ圧は、約100mTorrから約4000mTorrの間、または約200mTorrから約4000mTorrの間であってよい。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間であってよい。例えば、いくつかの実施形態では、チャンバ圧は、約1500mTorrであってよい。
酸素ガスおよび窒素ガスの混合物を流すことについて、酸素ガスの流量例は、約0.1slmから約1slmの間であってよく、窒化ガスの流量例は、約0.1slmから約1slmの間であってよい。誘導結合プラズマのプラズマ電力は、いくつかの実施形態では、約500Wから約6000Wであってよい。
これは、下地シリコン材料を保護するために、3D NAND構造の製造中に用いられる金属ドープ炭素含有材料を除去するのに特に適してよい。酸素種は、シリコンと反応し、シリコンを酸化する。塩素系金属ドープ炭素含有材料の剥離プロセスは、シリコンをエッチングする速度の1%で酸化シリコンをエッチングし、基板の損失を最小限にする。
動作107では、第1のエッチングガスが導入される。このエッチングガスは、金属ドープ炭素含有材料の炭素成分をエッチングするのに適したガスを含む。様々な実施形態では、エッチングガスは、酸化剤である。エッチングガスは、1つ以上のガスを含む。いくつかの実施形態では、エッチングガスは、混合物として提供される。動作107で用いられうるエッチンガスの例は、酸素ガスのみ、窒素ガスのみ、水素ガスのみ、亜酸化窒素ガスのみ、酸素および窒素の組み合わせ、亜酸化窒素および酸素の組み合わせ、酸素および水蒸気の組み合わせ、亜酸化窒素、酸素、および水蒸気の組み合わせ、ならびに窒素および水素の組み合わせを含む。酸化剤は必要ではない。いくつかの実施形態では、金属ドープ炭素含有ハードマスクの除去は、動作107を実施せずに実施されうる。金属ドープシリコン含有材料がエッチングされる実施形態については、金属ドープシリコン含有材料のシリコン成分をエッチングするのに適したエッチングケミストリが選択されてよい。いくつかの実施形態では、不活性ガスがエッチングガスと共に流される。不活性ガスの例は、アルゴンおよびヘリウムを含む。
動作109では、添加ガスが導入される。添加ガスとは、本明細書では第2のエッチングガスを意味してよい。様々な実施形態では、添加ガスは、動作107においてエッチングガスを導入しながら導入される。添加ガスは、金属の金属成分をエッチングするのに適した1つ以上のガスを含む。添加ガスの例は、窒素、三フッ化窒素、塩素、フッ素、臭素、ヨウ素、水素、六フッ化硫黄、およびこれらの組み合わせを含む。
いくつかの実施形態では、タングステンドープ炭素含有材料について、添加ガスは、1つ以上のハロゲン含有ガスを含む。タングステンドープ炭素含有材料をエッチングするためのハロゲン含有ガスの例は、三フッ化窒素、塩素、六フッ化硫黄、およびこれらの組み合わせを含む。これらの添加ガスは、窒化タングステンまたは窒化タングステンシリサイドを含む金属ドープ炭素含有材料をエッチングするのにも適してよい。いくつかの実施形態では、不活性ガスは、添加ガスと共に流される。不活性ガスの例は、アルゴンおよびヘリウムを含む。
様々な実施形態では、動作107および動作109は、エッチングガスおよび添加ガスが基板を収容する処理チャンバにガス混合物として同時に提供されるように一緒に実施される。いくつかの実施形態では、エッチングガスは、添加ガスを流した後に流される。いくつかの実施形態では、添加ガスは、エッチングガスを流した後に流される。
エッチングガスおよび添加ガスの混合物が提供される様々な実施形態では、エッチングガスおよび添加ガスの相対量は、金属ドープ炭素含有材料のドーパントの濃度に応じて調節される。様々な実施形態では、エッチングガスおよび添加ガスの混合物におけるエッチングガスの量は、エッチングガスおよび添加ガスの混合物の総量のうち約5%(エッチングガス5%、添加ガス95%)から約100%(添加ガスが用いられない場合)の範囲であってよい。エッチングガスおよび添加ガスの混合物におけるエッチングガスの量は、エッチングガスおよび添加ガスの混合物の総量のうち約10%から約70%の範囲であってよい。いくつかの実施形態では、エッチングガスの量は、少なくとも約10%、または約25%、または約40%、または約44%、または約50%、または約25%から約50%の間である。基板に流されるガスの量は、処理チャンバへのガスの流量を見積もることによって測定されてよい。金属ドープ炭素含有金属中のより高密度のドーパントに対しては、エッチングガスより高流量の添加ガスが用いられてよい。例えば、約33%のタングステンのドーパント濃度を有する金属ドープ炭素含有材料について、金属ドープ炭素含有材料の除去のためのエッチングガス対添加ガスの比率は、約2:1である。いくつかの実施形態では、ドーパント濃度は、パーセンテージで測定され、材料構成の原子%である。いくつかの実施形態では、金属ドープ炭素含有材料の金属割合は、材料構成のうち約5%から約95%の間である。いくつかの実施形態では、タングステンドープ炭素含有材料のタングステンの量は、材料構成のうち約33%から約66%の間であってよい。特定の実施形態では、タングステンドープ炭素含有材料は、約33%のタングステン、または約63%のタングステンでドープされてよい。場合によっては、ドーパント濃度は、量単位あたりの原子で提供される。不純物レベルのドーパントを有する材料について、材料におけるドーパントの量は、原子/cm3で測定されたドーピング濃度、またはドーパント濃度を意味してよい。
動作111では、プラズマは、エッチングガスおよび添加ガスから点火され、1つ以上の揮発性副生成物を形成するために金属ドープ炭素含有材料を除去するエッチング種を形成する。揮発性副生成物は、塩化タングステンおよびオキシ塩化タングステンを含む。例えば、いくつかの実施形態では、形成された揮発性副生成物は、四塩化タングステン(VI)(WOCL4)(沸点=227℃)、五塩化タングステン(WCl5)(沸点=275℃)、および六塩化タングステン(WCl6)(沸点=347℃)のうちの1つ以上を含んでよい。
当業者は、プラズマに存在する実際の種が、エッチングガスおよび添加ガスに由来する異なるイオン、ラジカル、および分子の混合物であってよいことを認めるだろう。金属ドープ炭素含有材料の除去中に、反応チャンバ内に他の種(例えば、プラズマは金属含有炭素含有材料と反応して化学変化を起こすため、金属ドープ炭素含有材料をエッチングガスおよび添加ガスと反応させることで生成された揮発性副生成物)が存在してもよいことに注意すべきである。プラズマに導入される最初の1つ以上のガスは、プラズマに存在する1つ以上のガスと異なってよく、エッチング中に基板の表面に接触する1つ以上のガスとも異なってよい。
RF、DC、およびマイクロ波系のプラズマ源を含む様々な種類のプラズマ源が用いられてよい。いくつかの実施形態では、RFプラズマ源が用いられる。通常、300mmウエハ用のRFプラズマ電力は、約500Wから約10000Wの間、または約3000Wから約10000Wの間の範囲である。いくつかの実施形態では、電力は、ステーション毎に約7000Wである。用いられるプロセスチャンバに応じて、いくつかの実施形態では、各ステーションは、専用の電源を有する。様々な実施形態では、プラズマは、シャワーヘッドの上流で誘導結合プラズマとして生成される。
様々な実施形態では、金属ドープ炭素含有材料のエッチング中に、台座にはバイアスが印加されない。しかし、いくつかの実施形態では、13.56MHzのRFバイアスが用いられる。RFバイアスは、高アスペクト比フィーチャの底部で基板を酸化させるのを助けるために用いられてよいが、アスペクト比が10:1未満のいくつかの実施形態では、バイアスは、基板を酸化させるのを助ける必要はなくてよい。バイアスの使用は、ケミストリに依存し、方向性エッチングが特定の開示の実施形態を用いるアプリケーションで用いられるかどうかに依存する。バイアスが印加された場合は、バイアスに印加された電力は、約10Wから約3000Wの間(例えば、約10W)であってよい。「バイアス電力」および「バイアス電圧」との用語は、バイアスが台座に印加されたときに台座に設定される電圧を表すため、本明細書では同義で用いられることが理解されるだろう。本明細書に記載のバイアス電力またはバイアス電圧は、台座に印加される電力についてワットで測定される。
いくつかの実施形態では、金属ドープ炭素含有ハードマスクのエッチング速度は、約30nm/分から約1000nm/分の間である。
様々な実施形態では、チャンバ圧は、約100mTorrから約4000mTorrの間、または、約200mTorrから約4000mTorrの間であってよい。いくつかの実施形態では、チャンバ圧は、約1000mTorrから約2000mTorrの間であってよい。例えば、いくつかの実施形態では、チャンバ圧は、約1500mTorrであってよい。チャンバ圧は、エッチング速度に影響を与える。チャンバ圧が高くなるほどエッチング速度が大きくなる。
エッチングガスおよび添加ガスのプロセス条件およびケミストリは、金属ドープ炭素含有材料が基板上の他の材料に対して選択的にエッチングされるように選択される。上記のように、選択性は、材料選択性として定義されるため、第2の材料に対する第1の材料の選択的エッチングは、第2の材料がほとんどまたは全くエッチングされないように、第1の材料のエッチング速度が第2の材料のエッチング速度より大きいことを示す。
3D NANDアプリケーションについて、金属ドープ炭素含有材料は、露出した酸化物、窒化物、シリコン、およびそれらのドープ誘導体に対して選択的に除去される。DRAMアプリケーションについて、金属ドープ炭素含有材料は、酸化物、窒化物、タングステン、およびそれらのドープ誘導体に対して選択的に除去される。MEOLロジックアプリケーションについて、金属ドープ炭素含有材料は、酸化物、窒化物、シリコン、ゲルマニウム、およびそれらのドープ誘導体に対して選択的に除去される。BEOLロジックアプリケーションについて、金属ドープ炭素含有材料は、ドープ酸化物、非ドープ酸化物、コバルト、窒化タンタル、銅、および低k誘電体に対して選択的に除去される。
特定の開示の実施形態を用いるオルトケイ酸テトラエチル堆積(TEOS堆積)酸化物に対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1であってよい。TEOS堆積酸化物は、オルトケイ酸テトラエチル(TEOS)をシリコン含有前駆体として用いて堆積された酸化物として定義される。例えば、TEOS堆積酸化物は、化学気相堆積、原子層堆積、またはそれらのプラズマ強化技術によって、TEOS、および、酸素含有反応剤を用いて堆積されてよい。ロジックアプリケーションでは、特定の開示の実施形態を用いる基板上の他の材料に対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約10:1、または約10:1から約30:1の間であってよい。
動作109の添加ガスおよび動作107のエッチングガスは、プラズマが点火されるときに金属ドープ炭素含有材料がエッチングガスおよび添加ガスと反応して揮発性副生成物を形成するように選択される。
様々な実施形態では、プラズマは、エッチング種を基板に供給するのに先立って、シャワーヘッド内の誘導結合プラズマにおいて生成される。そのような実施形態では、エッチングガスおよび添加ガスは、プラズマが点火されるシャワーヘッドに供給され、エッチング種は、次に、シャワーヘッドの孔を通ってプロセスチャンバ内の基板に流れる。いくつかの実施形態では、シャワーヘッドとウエハとの間の距離を調節することによりエッチングされない基板の表面上にプラズマによって引き起こされる損傷を低減することによって、エッチング選択性が増加される。様々な実施形態では、誘導結合プラズマを用いることは、金属ドープ炭素含有材料ではない材料上のエッチング速度を低減して、金属ドープ炭素含有材料を除去し、再堆積または不具合を引き起こすことなく揮発性副生成物を形成するのに十分なエッチング種を提供する、大規模な化学エッチングを実現する。様々な実施形態では、直接プラズマの使用は、高い材料ロスをもたらす可能性があるため、いくつかの実施形態では、基板上の材料に対する損傷を低減するために、シャワーヘッド内で生成されたリモートプラズマまたはICPプラズマが用いられてよい。プラズマ条件およびウエハとシャワーヘッドとの間の距離の調整は、エッチング種からの低エネルギイオンが、基板上の他の非金属ドープ炭素含有材料に対して選択的に金属ドープ炭素含有材料をエッチングすることを可能にする。
装置
開示の実施形態は、適したエッチングチャンバまたはエッチング装置(例えば、カリフォルニア州フレモントのラム・リサーチ・コーポレーションから入手可能なArgos)において実施されてよい。プラズマエッチングチャンバのさらなる説明は、その全てが参照により本明細書に援用される、米国特許第6,841,943号および第8,552,334号に記載されうる。
開示の実施形態は、誘導結合プラズマ(ICP)リアクタにおいて実施される。図2に一例が提供されている。そのようなICPリアクタは、本明細書に記載の技術の実施に適したICPリアクタを説明するために参照として援用される「METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLE HARDMASK」と題した、2013年12月10日出願かつ2016年6月7日発行の米国特許第9,362,133号においても記載されている。本明細書ではICPリアクタが説明されるが、いくつかの実施形態では、容量結合プラズマリアクタが用いられてもよいことを理解されたい。エッチングチャンバまたはエッチング装置の例は、本明細書に記載の、チャンバ壁を有するチャンバと、処理される基板またはウエハを保持するためのチャックであって、ウエハをチャックまたはデチャックするための静電電極を備え、高周波(RF)電源を用いて充電されうるチャックと、プラズマを生成するために電力をコイルに供給するように構成されているRF電源と、ガスを流入するためのガス流入口とを備えてよい。例えば、エッチングガスおよび添加ガスは、予備酸化ガスと共に、金属ドープ炭素含有材料の選択的なエッチングを実施するためにエッチングチャンバに流されてよい。いくつかの実施形態では、装置は、1つ以上のチャンバを備えてよく、その各々は、基板をエッチングし、堆積させ、または処理するのに用いられてよい。チャンバまたは装置は、チャンバまたは装置の動作(例えば、チャンバ圧、不活性ガス流、プラズマ電力、プラズマ周波数、反応ガス流(酸化ガス、エッチングガス、添加ガスなど)の調整;バイアス電力、温度、真空の設定;および他のプロセス条件)の一部または全てを制御するためのシステムコントローラを備えてよい。チャンバは、炭素含有材料を基板上に選択的に堆積するのにも用いられうる。
図2は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマの統合エッチング堆積装置200の断面図を概略的に示す。その例は、カリフォルニア州フレモントのラム・リサーチ・コーポレーションによって製造された誘導結合プラズマリアクタである。誘導結合プラズマ装置200は、チャンバ壁および窓211によって構造的に規定された処理チャンバ201を備える。チャンバ壁は、ステンレス鋼またはアルミニウムから製造されてよい。窓211は、石英または他の誘電物質から製造されてよい。任意のグリッド250は、処理チャンバ201を上部サブチャンバ202と下部サブチャンバ203とに分割する。シャワーヘッドは、ガスおよび/またはプラズマ種を下部サブチャンバ203に供給および分配するための1つの孔または複数の孔を備えてよい。ほとんどの実施形態では、グリッド250は、取り外し可能なため、サブチャンバ202およびサブチャンバ203で構成されたチャンバ空間を利用できる。チャック217は、底部内面付近の下部サブチャンバ203の内部に位置する。チャック217は、エッチングプロセスおよび堆積プロセスが実施される半導体ウエハ219を受け取って保持するように構成されている。チャック217は、ウエハ219が存在するときはウエハ219を支持するための静電チャックとなりうる。いくつかの実施形態では、エッジリング(図示せず)は、チャック217を囲み、ウエハ219がチャック217の上にあるときはウエハ219の上面とほぼ同一平面の上面を有する。チャック217は、ウエハをチャックおよびデチャックするための静電電極も備える。この目的のために、フィルタおよびDCクランプ電源(図示せず)が設けられてよい。ウエハ219をチャック217から持ち上げるための他の制御システムも設けられうる。チャック217は、チャンバの側壁に実質的に平行な軸に沿って移動可能なため、チャック217の表面は、地面に実質的に平行である。いくつかの実施形態では、プラズマ源とチャック217上のウエハ219との間の距離は、約4インチ(約10.16センチメートル)から約5インチ(約12.7センチメートル)の間であってよい。そのような距離は、ウエハ219上の金属ドープ炭素含有ハードマスクの高エッチング速度を可能にしてよい。シャワーヘッドが用いられる場合は、ウエハ219とシャワーヘッド(図示せず)との間の距離は、約0.5インチ(約1.27センチメートル)から約3.0インチ(約7.62センチメートル)の間であってよい。チャック217は、RF電源223を用いて充電されうる。RF電源223は、接続部227を介して整合回路221に接続される。整合回路221は、接続部225を介してチャック217に接続される。このようにして、RF電源223は、チャック217に接続される。
プラズマ生成のための要素は、窓211の上方に位置するコイル233を含む。様々な実施形態では、コイルは、開示の実施形態では用いられない。コイル233は、導電材料から製造され、少なくとも1つの完全なターンを含む。図2に示されるコイル233の例は、3ターンを含む。コイル233の断面は、記号によって示されており、「X」を有するコイルは、ページに回転して延び、「●」を有するコイルは、ページから回転して延びる。プラズマ生成のための要素は、RF電力をコイル233に供給するように構成されたRF電源241も含む。一般に、RF電源241は、接続部245を介して整合回路239に接続される。整合回路239は、接続部243を介してコイル233に接続される。このようにして、RF電源241は、コイル233に接続される。任意のファラデーシールド249は、コイル233と窓211との間に位置する。ファラデーシールド249は、コイル233に対して間隔をおいて維持される。ファラデーシールド249は、窓211の直上に配置される。コイル233、ファラデーシールド249、および窓211は、各々が互いに実質的に平行になるように構成されている。ファラデーシールドは、金属または他の種が処理チャンバ201の誘電体窓に堆積することを防いでよい。
プロセスガス(酸素、窒素、塩素など)は、上部チャンバ202に位置する1つ以上の主ガス流入口260を通じて、および/または、1つ以上の側ガス流入口270を通じて処理チャンバに流されてよい。同様に、明確に図示されていないが、プロセスガスを容量結合プラズマ処理チャンバに供給するために類似のガス流入口が用いられてよい。真空ポンプ(例えば、1段階もしくは2段階の機械式ドライポンプ、および/または、ターボ分子ポンプ240)は、プロセスガスを処理チャンバ201から引き出し、処理チャンバ201内の圧力を維持するのに用いられてよい。例えば、ポンプは、金属ドープ炭素含有材料と反応するエッチングケミストリから生成された揮発性副生成物を除去するために処理チャンバ201を排気するのに用いられてよい。弁制御された導管は、真空ポンプによって提供された真空環境の利用を選択的に制御するために、真空ポンプを処理チャンバ201に流体接続するのに用いられてよい。これは、プラズマ処理動作の間に閉ループ制御された流量制限装置(スロットルバルブ(図示せず)、または振り子式バルブ(図示せず)など)を用いることで実行されてよい。同様に、真空ポンプ、および、容量結合プラズマ処理チャンバへの弁制御された流体接続部も用いられてよい。
装置の動作中に、1つ以上のプロセスガスがガス流入口260および/またはガス流入口270を通じて供給されてよい。特定の実施形態では、プロセスガスは、主ガス流入口260のみを通じて、または、側ガス流入口270のみを通じて供給されてよい。場合によっては、図に示されるガス流入口は、より複雑なガス流入口(例えば、1つ以上のシャワーヘッド)に置き換えられうる。ファラデーシールド249および/または任意のグリッド250は、処理チャンバ201へのプロセスガスの供給を可能にする内部流路および孔を備えてよい。ファラデーシールド249および任意のグリッド250のいずれかまたは両方は、プロセスガスの供給のためのシャワーヘッドとして機能してよい。いくつかの実施形態では、液体反応剤または前駆体が蒸発すると、蒸発した反応剤または前駆体がガス流入口260および/またはガス流入口270を通って処理チャンバ201に導入されるように、液体気化供給システムは、処理チャンバ201の上流に位置してよい。
高周波電力は、RF電流をコイル233を通って流すためにRF電源241からコイル233に供給される。コイル233を通って流れるRF電流は、コイル233の周りに電磁場を形成する。電磁場は、上部サブチャンバ202の内部で誘導電流を生成する。様々な生成されたイオンおよびラジカルのウエハ219との物理的および化学的相互作用は、ウエハのフィーチャを選択的にエッチングし、ウエハ上に層を堆積させる。
上部サブチャンバ202および下部サブチャンバ203の両方が存在するようにプラズマグリッドが用いられた場合は、上部サブチャンバ202で電子イオンプラズマを生成するために、誘導電流は、上部サブチャンバ202に存在するガスに作用する。任意の内部プラズマグリッド250は、下部サブチャンバ203内のホットエレクトロンの量を限定する。いくつかの実施形態では、装置は、下部サブチャンバ203に存在するプラズマがイオン-イオンプラズマになるように設計され操作される。
上部の電子-イオンプラズマおよび下部のイオン-イオンプラズマの両方は、正負イオンを含みうるが、イオン-イオンプラズマは、正イオンより大きい比率の負イオンを有するだろう。揮発性のエッチングおよび/または堆積副生物は、ポート222を通じて下部サブチャンバ203から除去されてよい。本明細書に開示されたチャック217は、約200℃から約500℃の間の高温で動作してよい。温度は、プロセス動作および特定のレシピに依存するだろう。
処理チャンバ201は、クリーンルームまたは製造施設に設置されたときは、設備(図示せず)に結合されてよい。設備には、プロセスガス、真空、温度制御、および環境粒子制御を提供する配管が含まれる。これらの設備は、目的の製造施設に設置されたときは処理チャンバ201に結合される。また、処理チャンバ201は、ロボットが通常のオートメーションを用いて半導体ウエハを処理チャンバ201に搬入出できるようにする搬送チャンバに結合されてよい。
いくつかの実施形態では、システムコントローラ230(1つ以上の物理的コントローラまたはロジックコントローラを含んでよい)は、処理チャンバの一部または全ての動作を制御する。システムコントローラ230は、1つ以上のメモリデバイスおよび1つ以上のプロセッサを備えてよい。いくつかの実施形態では、装置は、開示の実施形態が実施されるときは、流量および継続時間を制御するためのスイッチシステムを備える。いくつかの実施形態では、装置は、最大約500msまたは約750msのスイッチング時間を有してよい。スイッチング時間は、フローケミストリ、選択レシピ、リアクタアーキテクチャ、および他の要素に依存してよい。
処理チャンバ201または装置は、システムコントローラを備えてよい。例えば、いくつかの実施形態では、コントローラ230は、上述の例の一部でありうるシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「コントローラ」を意味してよい。コントローラ230は、処理条件および/またはシステムの種類に応じて、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)生成器の設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツール、および/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬送を含む、本明細書に開示のプロセスを制御するようにプログラムされてよい。
概して、コントローラ230は、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ、もしくは、マイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、コントローラ230は、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラ230は、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。そのため、上述のように、コントローラは、例えば、互いにネットワーク接続される1つ以上の個別のコントローラを含むことや、本明細書に記載のプロセスや制御などの共通の目的に向かって協働することによって分散されてよい。そのような目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、クリーンチャンバまたはクリーンモジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理気相堆積(PVD)チャンバまたはPVDモジュール、化学気相堆積(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラ230は、他のツール回路もしくはツールモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通しうる。
処理チャンバ201は、図3に示されるようなマルチステーションツールに統合されてよい。各ステーションは、異なる動作を処理するのに用いられてよい。例えば、1つのステーションは、予備酸化を実施するのに用いられてよく、別のステーションは、金属ドープ炭素含有材料の選択的なエッチングを実施するのに用いられる。開示の実施形態は、真空を破壊することなく実施され、同じ装置で実施されてよい。
図3は、真空搬送モジュール338(VTM)と接続する様々なモジュールを備えた半導体プロセスクラスタアーキテクチャを示す。複数の収納設備と処理モジュールとの間でウエハを「搬送」するための搬送モジュールの配置は、「クラスタツールアーキテクチャ」システムを意味してよい。ロードロックまたは搬送モジュールとしても知られるエアロック330は、VTM338において、個々に様々な製造プロセスを実施するように最適化されうる4つの処理モジュール320a~320dによって示されている。例として、処理モジュール320a~320dは、基板のエッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または、他の半導体プロセスを実施するために実装されてよい。1つ以上の基板エッチング処理モジュール(320a~320dのいずれか)は、本明細書に開示されたように、すなわち、予備酸化、金属ドープ炭素含有材料の選択的除去、および、開示の実施形態による他の適した機能を実施するために実装されてよい。エアロック330およびプロセスモジュール320は、「ステーション」を意味してよい。各ステーションは、ステーションをVTM338に接続するファセット336を有する。各ファセット内では、ウエハ326がそれぞれのステーション間で移動されるときにその通過を検出するためにセンサ1~18が用いられる。
ロボット322は、ウエハ326をステーション間で搬送する。一実施形態では、ロボット322は1つのアームを有し、別の実施形態では、ロボット322は2つのアームを有する。各アームは、ウエハ326などのウエハを搬送のために取り上げるエンドエフェクタ324を有する。大気搬送モジュール(ATM)340におけるフロントエンドロボット332は、ウエハ326をロードポートモジュール(LPM)342のカセットまたは前面開口式一体型ポッド(FOUP)334からエアロック330に搬送するのに用いられる。処理モジュール320内のモジュール中央部328は、ウエハ326を設置するための1つの位置である。ATM340におけるアライナ344は、ウエハを並べるのに用いられる。
例示の処理方法では、ウエハは、LPM342におけるFOUP334の1つに設置される。フロントエンドロボット332は、ウエハをFOUP334からアライナ344に搬送し、アライナ344は、ウエハ326がエッチングまたは処理される前に適切に中心になるようにする。並べられた後に、ウエハ326は、フロントエンドロボット332によってエアロック330に移される。エアロックモジュールは、ATMとVTMとの間の環境を合わせる能力があるため、ウエハ326は、損傷を受けることなく2つの圧力環境の間を移動できる。ウエハ326は、ロボット322によってエアロックモジュール330からVTM338を通って処理モジュール320a~320dの1つに移される。このウエハの動きを実現するために、ロボット322は、その各アームにおいてエンドエフェクタ324を用いる。ウエハ326は、処理されると、ロボット322によって処理モジュール320a~320dからエアロックモジュール330に移される。ここからウエハ326は、フロントエンドロボット332によってFOUP334の1つまたはアライナ344に移されてよい。
ウエハの動きを制御するコンピュータは、クラスタアーキテクチャに固有でありうる、または、製造現場でクラスタアーキテクチャの外部に位置しうる、または、遠隔場所でネットワークを通じてクラスタアーキテクチャに接続されうる。図2に関して上述されたコントローラは、図3のツールに実装されてよい。
実験
実験1
実験は、高アスペクト比のビアをパターニングするために用いられたタングステンドープ炭素ハードマスクを有する基板において実施された。基板は、0.5Torrから6.0Torrの間の圧力を有するチャンバにおいて200℃から400℃の間の温度に加熱され、タングステンドープ炭素ハードマスクは、酸素ガスおよび塩素ガスの混合物(塩素は総流量の約10%から40%)に曝露された。プラズマは、バイアスを用いずに(バイアスに印加された電力=0W)約2000Wから5000Wの電力で点火された。約1ミクロンのタングステンドープ炭素ハードマスクがエッチングされ、基板上には不具合がほとんどなく、タングステンドープ炭素ハードマスクはきれいに除去された。
実験2
実験は、3つの異なる基板材料(酸化シリコン、窒化シリコン、およびシリコン)上の33%ドープされたタングステンドープ炭素ハードマスクおよび63%ドープされたタングステンドープ炭素ハードマスクにおいて実施された。33%タングステンドープ膜および63%タングステンドープ膜の両方の剥離速度は、約95nm/分だった。エッチング選択性は、これら6回の試みで決定され、図4は、結果の表を示す。
これらの結果は、酸化シリコン材に対してタングステンドープ炭素ハードマスクを除去するのにほぼ1000:1のエッチング選択性が実現可能であり、窒化シリコンおよびシリコン材に対する高エッチング選択性も実現可能であることを示唆している。
実験3
実験は、シャワーヘッドなしで基板上のタングステンドープ炭素ハードマスクをエッチングするために実施された。実験データは、台座の2つのz位置(1つは、処理チャンバの台座と単孔シャワーヘッドの間の0.5インチ(1.27センチ)の隙間、もう1つは、2.3インチ(5.842センチメートル)の隙間)における影響について収集された。結果は、図5および以下の表1に示されている。図5の各データ点は、台座位置、チャンバ圧、ガス総流量、およびガス総流量の塩素量割合を含む実験的試みによって表され、その結果、表1の最終欄に示されるタングステンドープ炭素ハードマスクの平均エッチング速度がもたらされた。例えば、データ点501は、約800sccmのガス総流量(そのうちの0%が塩素)で約750mTorrの圧力に設定されたチャンバ内の単孔シャワーヘッドから2.3インチ(5.842センチメートル)離して設置された台座上の基板を表し、0nm/分の平均エッチング速度をもたらす。別の例では、データ点503は、異なる位置に設置されたが同じ総流量および圧力を用いて処理された2つの基板を表す。従って、単孔シャワーヘッドから2.3インチ(5.842センチメートル)離れた台座上に設置されたデータ点503の基板は、約750mTorrのチャンバ圧および約800sccmの総流量を含み、総流量の塩素割合が40%、タングステンドープ炭素含有ハードマスクの平均エッチング速度が70nm/分だった。これらの実験結果は、以下の表1に示され、データ点は図5に対応する。
Figure 0007241705000001
これらの結果に示されるように、圧力の増加は、エッチング速度の増加をもたらした。
結論
前記実施形態は、明確な理解のためにある程度詳細に説明されたが、添付の請求項の範囲内で特定の変更および修正が行われてよいことは明らかだろう。本実施形態のプロセス、システム、および装置を実行する多くの代替方法があることに注意されたい。従って、本実施形態は、例示と見なされ制限的ではなく、本明細書に記載の詳細に限定されない。本開示は、以下の形態により実現されてもよい。
[形態1]
金属ドープ炭素含有材料を有する半導体基板を処理する方法であって、
前記金属ドープ炭素含有材料を有する基板を処理チャンバに提供することと、
前記基板を約200℃から約500℃の間の温度に加熱することと、
前記金属ドープ炭素含有材料をエッチングするために、第1のエッチングガスおよび第2のエッチングガスを含むガス混合物から生成されたプラズマに前記金属ドープ炭素含有材料を曝露することと、を含み、
前記第1のエッチングガスは、前記金属ドープ炭素含有材料の炭素を含む第1の揮発性副生成物を形成し、
前記第2のエッチングガスは、前記金属ドープ炭素含有材料の金属を含む第2の揮発性副生成物を形成する、方法。
[形態2]
形態1に記載の方法であって、
前記第2のエッチングガスは、窒素、三フッ化窒素、塩素、フッ素、臭素、ヨウ素、水素、六フッ化硫黄、およびこれらの組み合わせからなる群より選択される、方法。
[形態3]
形態1に記載の方法であって、さらに、
前記第1のエッチングガスおよび前記第2のエッチングガスのいずれかの導入に先立って、前記基板上の露出シリコン面を保護するために、前記基板を予備酸化ガスに曝露し、プラズマを点火することを含む、方法。
[形態4]
形態3に記載の方法であって、
前記予備酸化ガスは、酸素および窒素の混合物である、方法。
[形態5]
形態1に記載の方法であって、
前記金属ドープ炭素含有材料の前記金属は、タングステン、チタン、タンタル、それらの窒化物、それらのケイ化物、およびそれらの組み合わせからなる群より選択される、方法。
[形態6]
形態5に記載の方法であって、
前記金属ドープ炭素含有材料は、金属ドーパントを含み、原子%における前記金属ドープ炭素含有材料の前記金属ドーパントの濃度は、約33%から約66%の間である、方法。
[形態7]
形態1から形態6のいずれか一項に記載の方法であって、
前記プラズマは、約500Wから約10000Wの間のステーション毎の電力で点火される、方法。
[形態8]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、前記基板が設置される台座にバイアスを印加することなくエッチングされる、方法。
[形態9]
形態1から形態6のいずれか一項に記載の方法であって、
前記処理チャンバは、約100mTorrから約4000mTorrの間のチャンバ圧に設定される、方法。
[形態10]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料の金属含有率は、約5%から約95%の間である、方法。
[形態11]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、ドープ酸化シリコン、ドープ窒化シリコン、タングステン、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1である、方法。
[形態12]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、それらのドープ派生物、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料の前記エッチング選択性は、少なくとも約1000:1である、方法。
[形態13]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、ゲルマニウム、それらのドープ派生物、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料の前記エッチング選択性は、約10:1から約30:1の間である、方法。
[形態14]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、コバルト、窒化タンタル、銅、低k誘電体、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料の前記エッチング選択性は、約10:1から約30:1の間である、方法。
[形態15]
形態1から形態6のいずれか一項に記載の方法であって、
前記プラズマは、前記ガス混合物を供給するためのシャワーヘッドの上流で点火される、方法。
[形態16]
形態1から形態6のいずれか一項に記載の方法であって、
前記金属ドープ炭素含有材料は、約30nm/分から約1000nm/分の間のエッチング速度でエッチングされる、方法。
[形態17]
基板上のタングステンドープ炭素ハードマスクをエッチングする方法であって、
前記タングステンドープ炭素ハードマスクを有する前記基板を処理チャンバに提供することと、
前記基板を約200℃から約500℃の間の温度に加熱することと、
塩化タングステンまたはオキシ塩化タングステンを形成することによって前記タングステンドープ炭素ハードマスクをエッチングするために、前記タングステンドープ炭素ハードマスクを酸素および塩素から生成されたプラズマに曝露することと、を含む、方法。
[形態18]
形態17に記載の方法であって、
前記プラズマは、約500Wから約10000Wの間のステーション毎の電力を用いて点火される、方法。
[形態19]
形態17に記載の方法であって、
前記タングステンドープ炭素ハードマスクを前記プラズマに曝露したときに前記処理チャンバに流れるガスの総流量の構成は、少なくとも約10%の塩素を含む、方法。
[形態20]
形態17に記載の方法であって、
前記処理チャンバは、約100mTorrから約4000mTorrの間のチャンバ圧に設定される、方法。

Claims (19)

  1. 金属ドープ炭素含有材料を有する半導体基板を処理する方法であって、
    前記金属ドープ炭素含有材料を有する基板を処理チャンバに提供することと、
    前記基板を約200℃から約500℃の間の温度に加熱することと、
    前記金属ドープ炭素含有材料をエッチングするために、第1のエッチングガスおよび第2のエッチングガスを含むガス混合物から生成されたプラズマに前記金属ドープ炭素含有材料を曝露することと、を含み、
    前記第1のエッチングガスは、前記金属ドープ炭素含有材料の炭素を含む第1の揮発性副生成物を形成し、
    前記第2のエッチングガスは、前記金属ドープ炭素含有材料の金属を含む第2の揮発性副生成物を形成し、
    前記金属ドープ炭素含有材料の前記金属は、タングステン、チタン、タンタル、それらの窒化物、それらのケイ化物、およびそれらの組み合わせからなる群より選択される、方法。
  2. 請求項1に記載の方法であって、
    前記第2のエッチングガスは、窒素、三フッ化窒素、塩素、フッ素、臭素、ヨウ素、水素、六フッ化硫黄、およびこれらの組み合わせからなる群より選択される、方法。
  3. 請求項1に記載の方法であって、さらに、
    前記第1のエッチングガスおよび前記第2のエッチングガスのいずれかの導入に先立って、前記基板上の露出シリコン面を保護するために、前記基板を予備酸化ガスに曝露し、プラズマを点火することを含む、方法。
  4. 請求項3に記載の方法であって、
    前記予備酸化ガスは、酸素および窒素の混合物である、方法。
  5. 請求項に記載の方法であって、
    前記金属ドープ炭素含有材料は、金属ドーパントを含み、原子%における前記金属ドープ炭素含有材料の前記金属ドーパントの濃度は、約33%から約66%の間である、方法。
  6. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記プラズマは、約500Wから約10000Wの間のステーション毎の電力で点火される、方法。
  7. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、前記基板が設置される台座にバイアスを印加することなくエッチングされる、方法。
  8. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記処理チャンバは、約100mTorrから約4000mTorrの間のチャンバ圧に設定される、方法。
  9. 請求項1に記載の方法であって、
    前記金属ドープ炭素含有材料の金属含有率は、約5%から約95%の間である、方法。
  10. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、ドープ酸化シリコン、ドープ窒化シリコン、タングステン、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1である、方法。
  11. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、それらのドープ派生物、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料のエッチング選択性は、少なくとも約1000:1である、方法。
  12. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、窒化シリコン、シリコン、ゲルマニウム、それらのドープ派生物、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料のエッチング選択性は、約10:1から約30:1の間である、方法。
  13. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、前記基板上にフィーチャを形成するために用いられるパターニングされたハードマスクであり、酸化シリコン、コバルト、窒化タンタル、銅、低k誘電体、およびそれらの組み合わせからなる群より選択される材料に対する金属ドープ炭素含有材料のエッチング選択性は、約10:1から約30:1の間である、方法。
  14. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記プラズマは、前記ガス混合物を供給するためのシャワーヘッドの上流で点火される、方法。
  15. 請求項1から請求項のいずれか一項に記載の方法であって、
    前記金属ドープ炭素含有材料は、約30nm/分から約1000nm/分の間のエッチング速度でエッチングされる、方法。
  16. 基板上のタングステンドープ炭素ハードマスクをエッチングする方法であって、
    前記タングステンドープ炭素ハードマスクを有する前記基板を処理チャンバに提供することと、
    前記基板を約200℃から約500℃の間の温度に加熱することと、
    塩化タングステンまたはオキシ塩化タングステンを形成することによって前記タングステンドープ炭素ハードマスクをエッチングするために、前記タングステンドープ炭素ハードマスクを酸素および塩素から生成されたプラズマに曝露することと、を含む、方法。
  17. 請求項16に記載の方法であって、
    前記プラズマは、約500Wから約10000Wの間のステーション毎の電力を用いて点火される、方法。
  18. 請求項16に記載の方法であって、
    前記タングステンドープ炭素ハードマスクを前記プラズマに曝露したときに前記処理チャンバに流れるガスの総流量の構成は、少なくとも約10%の塩素を含む、方法。
  19. 請求項16に記載の方法であって、
    前記処理チャンバは、約100mTorrから約4000mTorrの間のチャンバ圧に設定される、方法。
JP2019567605A 2017-06-09 2018-06-04 半導体製造における金属ドープ炭素系ハードマスクの除去 Active JP7241705B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762517717P 2017-06-09 2017-06-09
US62/517,717 2017-06-09
US15/640,345 US11062897B2 (en) 2017-06-09 2017-06-30 Metal doped carbon based hard mask removal in semiconductor fabrication
US15/640,345 2017-06-30
PCT/US2018/035878 WO2018226594A1 (en) 2017-06-09 2018-06-04 Metal doped carbon based hard mask removal in semiconductor fabrication

Publications (3)

Publication Number Publication Date
JP2020523785A JP2020523785A (ja) 2020-08-06
JP2020523785A5 JP2020523785A5 (ja) 2021-07-26
JP7241705B2 true JP7241705B2 (ja) 2023-03-17

Family

ID=64563692

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019567605A Active JP7241705B2 (ja) 2017-06-09 2018-06-04 半導体製造における金属ドープ炭素系ハードマスクの除去

Country Status (5)

Country Link
US (1) US11062897B2 (ja)
JP (1) JP7241705B2 (ja)
KR (1) KR102653066B1 (ja)
TW (1) TW201921499A (ja)
WO (1) WO2018226594A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
US10395925B2 (en) * 2017-12-28 2019-08-27 International Business Machines Corporation Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
US11264249B2 (en) 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
JP2022539699A (ja) * 2019-06-24 2022-09-13 ラム リサーチ コーポレーション 選択的カーボン堆積
CN114830299A (zh) * 2019-11-12 2022-07-29 应用材料公司 减少氢沉积工艺
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11769671B2 (en) * 2020-09-11 2023-09-26 Applied Materials, Inc. Systems and methods for selective metal compound removal
JP2023542919A (ja) * 2020-09-25 2023-10-12 ラム リサーチ コーポレーション 堅牢なアッシング可能ハードマスク
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
US11702738B2 (en) 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles
WO2024006088A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Integrated high aspect ratio etching

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160293441A1 (en) 2015-04-02 2016-10-06 Applied Materials Inc. Mask etch for patterning
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
JP3524763B2 (ja) * 1998-05-12 2004-05-10 株式会社日立製作所 エッチング方法
US6440870B1 (en) * 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6930048B1 (en) 2002-09-18 2005-08-16 Lam Research Corporation Etching a metal hard mask for an integrated circuit structure
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
KR100560821B1 (ko) * 2004-08-17 2006-03-13 삼성전자주식회사 반도체 소자의 캐패시터 형성 방법
US7812381B2 (en) * 2005-01-24 2010-10-12 Samsung Electronics Co., Ltd. Image sensor with light receiving region having different potential energy according to wavelength of light and electronic product employing the same
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4919871B2 (ja) * 2007-02-09 2012-04-18 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法および記憶媒体
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20110303639A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for processing substrates having metal hard masks
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
US9312220B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
KR102170144B1 (ko) * 2013-08-23 2020-10-27 삼성전자주식회사 휨 제어 막을 이용한 반도체 소자 형성 방법 및 관련된 소자
KR102222909B1 (ko) * 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US10322495B2 (en) * 2014-01-31 2019-06-18 Suzhou Superior Industrial Technology Co. Ltd. Cemented tungsten carbide bodies having a cobalt-boron alloy matrix
US20150345642A1 (en) * 2014-05-29 2015-12-03 Caterpillar Inc. Thin film coating on mechanical face seals
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9362292B1 (en) 2015-04-17 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM cell structure for vertical devices
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9754793B2 (en) * 2015-06-12 2017-09-05 Toshiba Memory Corporation Method for manufacturing semiconductor device
KR102443695B1 (ko) 2015-08-25 2022-09-15 삼성전자주식회사 반도체 소자의 제조 방법
US10504838B2 (en) * 2016-09-21 2019-12-10 Micron Technology, Inc. Methods of forming a semiconductor device structure including a stair step structure
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US20160293441A1 (en) 2015-04-02 2016-10-06 Applied Materials Inc. Mask etch for patterning

Also Published As

Publication number Publication date
US11062897B2 (en) 2021-07-13
TW201921499A (zh) 2019-06-01
WO2018226594A1 (en) 2018-12-13
KR102653066B1 (ko) 2024-03-29
JP2020523785A (ja) 2020-08-06
KR20200006628A (ko) 2020-01-20
US20180358220A1 (en) 2018-12-13

Similar Documents

Publication Publication Date Title
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
US11637037B2 (en) Method to create air gaps
US10784086B2 (en) Cobalt etch back
US10714354B2 (en) Self limiting lateral atomic layer etch
US11742212B2 (en) Directional deposition in etch chamber
KR102644442B1 (ko) 고 종횡비 실린더 에칭을 위해 금속-함유 측벽 패시베이션을 증착하기 위한 기법
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
TW202137327A (zh) 半導體裝置製造中之氧化錫膜
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
TW202249116A (zh) 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護
US20220181141A1 (en) Etch stop layer
WO2024006088A1 (en) Integrated high aspect ratio etching
TW202335032A (zh) 高深寬比電漿蝕刻中的含金屬表面之改質

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210603

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230307

R150 Certificate of patent or registration of utility model

Ref document number: 7241705

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150