JP2023542919A - 堅牢なアッシング可能ハードマスク - Google Patents

堅牢なアッシング可能ハードマスク Download PDF

Info

Publication number
JP2023542919A
JP2023542919A JP2023518084A JP2023518084A JP2023542919A JP 2023542919 A JP2023542919 A JP 2023542919A JP 2023518084 A JP2023518084 A JP 2023518084A JP 2023518084 A JP2023518084 A JP 2023518084A JP 2023542919 A JP2023542919 A JP 2023542919A
Authority
JP
Japan
Prior art keywords
halogen
reactant
ahm
optionally substituted
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023518084A
Other languages
English (en)
Inventor
ウェイマー・マシュー・スコット
プゼンコヴィラカム・ラゲシュ
レディ・カプ・シリシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023542919A publication Critical patent/JP2023542919A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

【解決手段】本明細書では、アッシング可能ハードマスク(AHM)を形成するための方法および関連する装置が提供される。特定の例では、ハロゲン含有前駆体の使用により、改善された耐エッチング性を有するAHMを設けることができる。【選択図】図1

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。本出願は、2020年9月25日に出願された米国仮特許出願第63/198,061号の利益を主張し、上記の出願は、その全体が参照により本明細書に組み込まれる。
本開示は、アッシング可能ハードマスク(AHM)を形成するための方法および関連する装置に関する。特定の例では、ハロゲン含有前駆体の使用により、改善された耐エッチング性を有するAHMを設けることができる。
アモルファスカーボン膜が、メモリおよび論理デバイスの製作を含む半導体処理におけるハードマスクおよびエッチング停止層として使用される場合がある。これらの膜は、アッシング技法によって除去することができるため、アッシング可能ハードマスク(AHM)としても知られている。リソグラフィにおけるアスペクト比が増加するにつれて、AHMは、より高いエッチング選択性および/またはより大きな厚さを必要とする。
本明細書に含まれる背景および文脈上の説明は、本技術の内容を概ね提示することのみを目的として提供される。本開示の多くは発明者らによる研究を提示しており、そのような研究が背景技術のセクションで説明されているか、または本明細書の他の箇所で文脈として提示されているという理由だけで、その研究が先行技術であると認められることを意味するものではない。
本開示は、AHMを得るための特定の前駆体の使用に関する。いくつかの実施形態では、AHMは、改善された耐エッチング性を有する。
したがって、第1の態様では、本開示は、処理チャンバ内の半導体基板の表面をハロゲン含有前駆体に曝露することであって、ハロゲン含有前駆体は、処理チャンバに送給されるか、または処理チャンバ内でその場(in situ)で形成されることと、プラズマ強化化学気相堆積(PECVD)プロセスによってアッシング可能ハードマスク膜(AHM)を表面上に堆積することとを含む、方法を包含する。
いくつかの実施形態では、前記曝露することは、ハロゲン含有前駆体を処理チャンバに送給することを含む。他の実施形態では、前記曝露することは、ハロゲン含有前駆体および不活性ガスを含むプロセスガスを送給することをさらに含む。さらに他の実施形態では、前記曝露することは、ハロゲン含有前駆体および不活性ガスを含むプロセスガスを送給することをさらに含む。
いくつかの実施形態では、ハロゲン含有前駆体は、直鎖または分枝鎖脂肪族部分、芳香族部分、脂環式部分、または多脂環式部分を含み、各部分は、1つまたは複数のハロ置換基を含む。他の実施形態では、1つまたは複数のハロ置換基は、sp炭素、sp2炭素、sp3炭素、分岐部分、または非分岐部分に対して提供される。さらに他の実施形態では、ハロゲン含有前駆体は、ハロカーボン、ハロ脂肪族、ハロアルカン、ハロアルケン、ハロアルキン、ハロ芳香族、またはハロ炭素環を含む。
いくつかの実施形態では、前記曝露することは、有機反応剤およびハロゲン含有反応剤を用いて、処理チャンバ内でハロゲン含有前駆体をその場(in situ)で形成することを含む。特定の実施形態では、有機反応剤は、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、または複素環反応剤を含む。非限定的な純粋な炭化水素反応剤には、アルカン、アルケン、アルキン、芳香族、または炭素環、ならびに本明細書に記載のいずれかのものが挙げられる。非限定的なハロカーボン反応剤には、アルカン、アルケン、アルキン、芳香族、または1つまたは複数のハロ置換基を含む炭素環が挙げられる。脱離基を有する非限定的な炭化水素反応剤には、アルカン、アルケン、アルキン、芳香族、または1つまたは複数の脱離基(例えば、ハロスルホニル基、スルホン酸基、シアノ基、亜酸化窒素基(N2O)、硝酸基(-ONO2)、または本明細書に記載の他の基)を含む炭素環、例えばアルキルスルホニルハライド、アリールスルホニルハライド、アルキルトリフレート、アリールトリフレート、アルキルメシレート、アリールメシレート、シアノアルカン、シアノ芳香族、硝酸アルキル、または硝酸アリールが挙げられる。非限定的なハロゲン含有反応剤には、ハロゲン化物、ハロゲンガス、テトラハロシラン、トリハロシラン、ジハロシラン、モノハロシラン、またはグリニャール試薬が挙げられる。
いくつかの実施形態では、前記曝露することは、有機反応剤を含む第1のプロセスガスを第1の不活性ガスと共に、かつハロゲン含有反応剤を含む第2のプロセスガスを第2の不活性ガスと共に送給することをさらに含む(例えば、それによって処理チャンバ内でハロゲン含有前駆体をその場(in situ)で形成する)。
いくつかの実施形態では、前記曝露することは、水素(H2)ガスを送給することをさらに含む。
他の実施形態では、前記曝露することは、炭化水素前駆体をハロゲン含有前駆体と共に送給することをさらに含む。非限定的な炭化水素前駆体には、アルカン、アルケン、アルキン、芳香族、または炭素環が挙げられる。
いくつかの実施形態では、前記曝露することは、金属含有反応剤を送給することをさらに含み、前記堆積することは、金属ドープAHMを設ける。非限定的な金属含有反応剤には、有機金属試薬、金属ハロゲン化物、または金属水素化物が挙げられる。
いくつかの実施形態では、前記PECVDプロセスは、低周波(LF)成分および/または高周波(HF)成分を含むプラズマを点火することを含む。
他の実施形態では、方法は、AHMをパターニングし、それによってパターニングされたAHMを設けることと、パターニングされたAHMをエッチングし、半導体基板内にAHMのフィーチャを画定することとをさらに含む。いくつかの実施形態では、方法は、パターニングされたAHMの下にある半導体基板内の1つまたは複数の層をエッチングすることと、パターニングされたAHMを除去することとをさらに含む。
第2の態様では、本開示は、AHMを形成するための装置であって、処理チャンバと、処理チャンバ内の基板ホルダと、ガスを処理チャンバ内に流すための1つまたは複数のガス入口と、処理チャンバ内でプラズマを生成するためのプラズマ発生器と、基板ホルダ、1つまたは複数のガス入口、およびプラズマ発生器を動作させ、AHMを半導体基板上に堆積するための機械可読命令を含む1つまたは複数のコントローラとを含む、装置を包含する。
いくつかの実施形態では、1つまたは複数のコントローラの命令は、(a)1つまたは複数のガス入口を動作させ、ハロゲン含有前駆体またはハロゲン含有反応剤を有する有機反応剤を処理チャンバ内に流し、有機反応剤およびハロゲン含有反応剤は、ハロゲン含有前駆体を形成し、それによって基板ホルダに保持された半導体基板の表面をハロゲン含有前駆体に曝露するための命令を含む。他の実施形態では、1つまたは複数のコントローラの命令は、(b)プラズマ発生器を動作させてプラズマを生成し、表面上にAHMを形成するための命令を含む。
さらに他の実施形態では、1つまたは複数のコントローラの命令は、(c)プラズマ発生器を動作させる前に、さらに1つまたは複数のガス入口を動作させ、水素(H2)ガスを処理チャンバ内に流すための命令を含む。いくつかの実施形態では、1つまたは複数のコントローラの命令は、(c)プラズマ発生器を動作させる前に、さらに1つまたは複数のガス入口を動作させ、金属含有反応剤を処理チャンバ内に流すための命令を含む。
他の実施形態では、1つまたは複数のコントローラの命令は、(c)少なくとも約50℃の温度で基板ホルダを動作させるための命令を含む。
いくつかの実施形態では、プラズマ発生器は、低周波(LF)成分および/または高周波(HF)成分を含むプラズマを供給するように動作される。LF成分およびHF成分は、本明細書で説明するように、連続波および/またはパルス化モードで供給することができる。
本明細書における任意の実施形態では、ハロゲン含有前駆体は、直鎖または分枝鎖脂肪族部分、芳香族部分、脂環式部分、または多脂環式部分を含み、各部分は、1つまたは複数のハロ置換基を含む。いくつかの実施形態では、1つまたは複数のハロ置換基は、sp炭素、sp2炭素、sp3炭素、分岐部分、または非分岐部分に対して提供される。
本明細書における任意の実施形態では、ハロゲン含有前駆体は、ハロカーボン、ハロ脂肪族、ハロアルカン、ハロアルケン、ハロアルキン、ハロ芳香族、またはハロ炭素環を含む。
本明細書における任意の実施形態では、ハロゲン含有前駆体は、少なくとも約1:3の炭素原子対ハロゲン原子の比(C:H比)および/または少なくとも約1:20のハロゲン原子対水素原子の比(X:H比)を含む。
本明細書における任意の実施形態では、方法は、AHMを含む多層スタックを設ける。
本明細書における任意の実施形態では、AHMは、本明細書に記載の前駆体または反応剤、例えばハロゲン含有前駆体、炭化水素前駆体、有機反応剤、ハロゲン含有反応剤、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、脂肪族部分、芳香族部分、炭素環、複素環、ハロゲン元素、水素ガス、金属含有反応剤、またはこれらのいずれかの組み合わせを使用することによって形成される。追加の詳細は、以下の通りである。
図1は、様々な実施形態による、エッチング動作においてアッシング可能ハードマスク(AHM)を使用する方法の関連する動作を示すプロセスフロー図である。
図2Aは、AHMを形成する方法の関連する動作を示すプロセスフロー図である。 図2Bは、AHMを形成する方法の関連する動作を示すプロセスフロー図である。 図2Cは、AHMを形成する方法の関連する動作を示すプロセスフロー図である。
図3は、様々な実施形態を実践するのに適したプラズマ強化化学気相堆積(PECVD)チャンバの概略図である。
図4は、様々な実施形態を実践するのに適した別のPECVDチャンバの別の概略図である。
図5は、様々な実施形態を実践するのに適したモジュールクラスタの概略図である。
図6Aは、様々な実施形態を実践するのに適したさらに別のPECVDチャンバの概略図である。 図6Bは、様々な実施形態を実践するのに適したさらに別のPECVDチャンバの概略図である。 図6Cは、様々な実施形態を実践するのに適したさらに別のPECVDチャンバの概略図である。
図7は、様々な実施形態を実践するための誘導結合プラズマエッチング装置の概略図である。
図8は、様々な実施形態を実践するのに適したモジュールクラスタの概略図である。
序論及びコンテキスト
半導体処理では、マスキング方法が基板をパターニングおよびエッチングするために使用される。基板のアスペクト比が増加するにつれて、高度に選択的なハードマスクに対する需要が増加する。エッチング選択性が高く、さらに基板に損傷を与えることなく容易に除去することができるマスクは、基板の処理において重要である。アッシング可能ハードマスク(AHM)は、選択的エッチング中、高アスペクト比(HAR)エッチングのために、またはフォトレジストが下層をマスクするのに十分に厚くない可能性がある場合、エッチング停止層におけるマスクとして使用することができる。AHMはまた、ディスプレイおよび他の技術に使用されるガラス基板上で使用することも可能である。
AHM膜は、それらが目的を果たすと「アッシング」、「プラズマアッシング」、または「ドライストリッピング」と呼ばれる技法によって除去することが可能な化学組成を有する。カーボンハードマスクは、新しく形成されたフィーチャに大きな影響を与えないプロセスによりきれいに除去することができる。そのようなプロセスの一例は、酸素プラズマとの接触である。
AHM膜の一例は、アモルファスカーボン層または膜である。AHM膜は、一般に炭素および水素で構成され、任意選択で、少量の1つまたは複数のドーパント(例えば、窒素、フッ素、ホウ素、およびケイ素)を有する。AHMの結合構造は、堆積条件に応じて、sp2(グラファイト様)もしくはsp3(ダイヤモンド様)、または両方の組み合わせから変化し得る。
アッシング可能ハードマスクの現在の用途は、高アスペクト比(HAR)エッチングのためのスタック(例えば、酸化ケイ素と窒化ケイ素の交互層(ONON)または酸化ケイ素とポリシリコンの交互層(OPOP))の上の保護ハードマスクとしての用途を含む。スタックの高さの増加により、ハードマスクの厚さも増加し続けることになる。一部の現在の用途は、約1.6~2.2μmのAHMを必要とする。
多くの用途では、利用可能なAHM技術は、密度が比較的低いおよび/または水素含有量が高い膜に限定される。低い密度または高い水素含有量を有することにより、フィーチャエッチング中のエッチング速度が大幅に増加する。
膜厚が大きいため、AHMは、例えば、中性に近い-40~-100MPaの比較的低い内部応力を有する必要がある。この低レベルの応力は、例えば、約50GPaの比較的低い弾性率に相関する。この方式では、AHMにおける水素含有量を約50%減少させると、パターンエッチング選択性が約22%改善されることが観察されている。多くのAHMは、ラザフォード後方散乱/水素前方散乱(RBS/HFS)によって測定されるように、約10~12%の水素含有量を有する場合がある。
膜のいくつかの性質、堆積速度、応力、および透明度を決定するマスクの厚さに対する要求により、これらの性質の低下は、エッチング選択性の大幅な改善を必要とする。言い換えると、プロセスは、水素含有量を減少させるためだけに堆積速度を犠牲にすることはできない。言い換えると、堆積速度の減少、または他の膜性質の低下を伴わずに、これらのAHM膜の水素含有量を減少させることが有利であろう。
本明細書では、堆積温度の範囲にわたって炭素膜またはドープ炭素膜の特性を改善するシステムおよび方法が開示される。場面によっては、AHMは、150℃~650℃で堆積されことがある。プラズマ強化堆積中にプラズマガス中の炭素およびハロゲン含有前駆体と不活性ガスを賢明に組み合わせることにより、エッチング性質が改善され、かつ/または膜中の水素の有効量が減少する。いくつかの実施形態では、プラズマ強化堆積プロセスは、単一周波数または二重周波数プラズマ源を用いる導電結合プラズマ(CCP)プロセスである。
様々な実施形態は、ハロゲン、炭素、および水素原子を含有する気体前駆体種を用いる。特に、前駆体種は、ハロゲン含有前駆体を含む。そのような前駆体は、処理チャンバ内に送給することができ(例えば、ハロカーボンを用いて)、または代替の実施形態では、処理チャンバ内でその場(in situ)で形成することができる(例えば、有機反応剤およびハロゲン含有反応剤を用いて)。いくつかのこのようなプロセスは、C22のみを用いるベースラインプロセスに対して、ハロゲンおよび/または水素含有量が約25~70%減少したAHMを設ける。そして、そのようなAHMは、約0~21原子%の末端結合(例えば、水素(H)、酸素(O)、窒素(N)、金属(M)、および/またはハロゲン(X)を含む)含有量を有し得る。ハロカーボン堆積AHMについてのいくつかの研究は、C22ベースラインと比較した場合、エッチング速度が8~32%減少することを示している。
ハロカーボンは、少なくとも1個の水素原子および少なくとも1個のハロゲン原子を有する炭化水素であり得る。いくつかの実施態様では、水素およびハロゲンの含有量は、水素(H2)ガスをプラズマに加えることによってさらに調節することができる。そのようなプロセスでは、水素種がAHM中のハロゲン種を置き換えることができる。いくつかの非限定的なプロセスでは、水素種およびハロゲン種は、約300℃未満の温度で表面に供給することができる。さらなるハロゲン含有前駆体、有機反応剤、およびハロゲン含有反応剤が本明細書に記載されている。
図1は、エッチング動作においてハードマスクとしてAHMを使用する方法の関連する動作を示すプロセスフロー図100である。以下の説明は主に半導体基板に言及しているが、方法は、ガラス基板を含む他のタイプの基板上の層にも適用することが可能である。AHMでマスクすることができる材料の例には、酸化物(例えば、SiO2)および窒化物(例えば、SiNおよびTiN)、ポリシリコン(Poly-Si)、ならびに金属、例えばアルミニウム(Al)、銅(Cu)、およびタングステン(W)などの誘電体材料が挙げられる。特定の実施形態では、本明細書に記載のAHMは、酸化物、窒化物、またはポリシリコン層をパターニングするために使用される。
動作102では、アッシング可能ハードマスクが、プラズマ強化化学気相堆積(PECVD)によってエッチングされる層上に堆積される。PECVDプロセスは、堆積チャンバ内でプラズマを生成することを伴う。特定の実施形態では、高周波(HF)電力および低周波(LF)電力を含む二重高周波(RF)プラズマ源が使用されてもよい。いくつかのプロセスでは、1つまたは複数のAHM層が堆積される。
動作104では、フォトレジスト層が、所望のエッチングパターンに従って堆積、露光、および現像される。いくつかの実施態様では、反射防止層(ARL)が、フォトレジスト堆積の前にAHM膜上に堆積され得る。
動作106では、AHM膜は、AHMの露出部分をエッチングすることによって開口される。AHMの開口は、フッ素に富むドライエッチングによって実施することができる。
次に、動作108では、基板層が選択的にエッチングされ、パターンを基板層に転写する。選択的エッチングは、AHM壁を実質的に減少させることなく基板層がエッチングされるように実施することができる。エッチングの例には、ラジカルおよび/またはイオンベースのエッチングが挙げられ得る。エッチング化学物質の例には、フッ素含有および塩素含有エッチング化学物質などのハロゲンベースのエッチング化学物質が挙げられ得る。例えば、フルオロカーボン含有プロセスガスから生成される容量結合プラズマを使用して、酸化物層を選択的にエッチングすることが可能である。プロセスガスの特定の例には、任意選択で酸素(O2)および不活性ガス、例えばC48/CH22/O2/Arを有するCxy含有プロセスガスが挙げられる。
最後に、動作110では、アッシング、プラズマアッシング、またはドライストリッピングと呼ばれる技法を使用して、AHMを除去する。アッシングは、酸素に富むドライエッチングによって実施することができる。多くの場合、酸素が真空下でチャンバ内に導入され、RF電力がプラズマ内に酸素ラジカルを生成してAHMと反応し、その反応剤を水(H2O)、一酸化炭素(CO)、および二酸化炭素(CO2)に酸化する。任意選択で、残っているAHM残留物は、アッシング後にウェットまたはドライエッチングプロセスによって除去することも可能である。その結果、パターニングされた基板層が得られる。
HARエッチングについての深さおよび/またはアスペクト比が増加するにつれて、AHMのエッチング選択性または厚さも増加させる必要がある。エッチング選択性は、AHM層のエッチング速度を下地層と比較することによって決定することができる。エッチング選択性は、AHM層の水素含有量、屈折率(RI、n)、吸光係数(k)、密度、および弾性率または剛性を決定することによって概算することができる場合がある。典型的には、低い水素含有量、低いRI、高い密度、および高い弾性率を有するAHMは、イオン衝撃を伴うエッチングプロセスにおいて高いエッチング速度に耐えることができる。したがって、低い水素含有量、低いRI、高い密度、および/または高い弾性率を有するAHMは、高い選択性および低いエッチング速度を有し、したがってHAR半導体プロセスに対してより効率的かつ効果的に使用することが可能である。AHMの所望のエッチング選択性はエッチングプロセスおよび下地層の組成に依存し得るが、エッチング選択性と上記の材料性質との間の相関関係は、下地層のエッチングプロセスまたは組成に関係なく同じままである。ここで説明される選択性の相関関係は、ポリシリコン層、酸化物層、および窒化物層を含むすべてのタイプの下地層に適用される。
図2Aは、様々な実施形態によるAHMを形成する方法の関連する動作を示すプロセスフロー図200を示す。動作202では、基板が処理チャンバ内に受け取られる。基板は、この動作においてチャンバに設けられてもよく、または基板は、前の動作からチャンバ内にすでに存在していてもよい。
動作204では、基板はハロゲン含有前駆体に曝露され、ハロゲン含有前駆体はチャンバに送給されるか、またはチャンバ内でその場(in situ))で形成され得る。次に、動作206では、アッシング可能ハードマスクが、PECVDプロセスによって基板上に堆積される。PECVDプロセスは、単一HF RFプラズマ源または二重HF/LF RFプラズマ源を含み、処理チャンバ内でプラズマを発生させることができる。動作206の結果、AHM膜が得られる。その後、AHM膜は、膜のアニーリング、エッチング、および/またはアッシングなどによって任意選択で処理することができる。
図2Bは、関連する動作を示す非限定的なプロセスフロー図210を示す。動作212では、基板が処理チャンバ内に受け取られる。動作214では、ハロゲン含有前駆体がチャンバに送給され、そこで前駆体は、前駆体および不活性ガスキャリア(例えば、本明細書に記載のいずれか)を含むプロセスガスとして供給され得る。次に、動作216では、アッシング可能ハードマスクが、本明細書で説明するように、PECVDプロセスによって基板上に堆積される。
本明細書で説明するように、ハロゲン含有前駆体は、チャンバ内でその場(in situ))で形成することができる。図2Cに見られるように、別の非限定的なプロセスフロー図220は、処理チャンバ内に基板を受け取るための動作222、およびハロゲン含有前駆体をその場(in situ))で形成するための動作224を示す。動作224は、反応して前駆体を形成することができる少なくとも2つの反応剤の送給を含むことができる。一例では、反応剤は、ハロゲン含有反応剤(例えば、HX、Xは、ハロゲンである)を有する有機反応剤(例えば、アルカン)を含むことができる。特に、反応剤の組み合わせは、ハロゲン原子が堆積種に含有されるように、反応剤が互いに反応することを確実にするように選択される。そのような反応剤は、別々のプロセスガスとして送給することができる。次に、動作226では、アッシング可能ハードマスクが、本明細書で説明するように、PECVDプロセスによって基板上に堆積される。
本明細書の方法のいずれかを使用して、1つまたは複数のAHM層を含む多層スタックを含むAHMを設けることができる。例えば、多層スタックは、少なくとも1つの層がAHM層である複数の層を含むことができる。いくつかの実施形態では、少なくとも1つの層は、ハロゲン含有前駆体を使用することによって形成される。他の実施形態では、少なくとも1つの層は、本明細書に記載の前駆体または反応剤、例えばハロゲン含有前駆体、炭化水素前駆体、有機反応剤、ハロゲン含有反応剤、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、脂肪族部分、芳香族部分、炭素環、複素環、ハロゲン元素、水素ガス、金属含有反応剤、またはこれらのいずれかの組み合わせを使用することによって形成される。
多層スタックのための層の非限定的な例には、以下のいずれかの1つまたは複数が挙げられ得る:AHM層、炭素含有層、金属ドープ炭素含有層、ケイ素含有層、誘電体層、金属層、炭化物層、窒化物層、酸窒化物層、酸炭化物層、金属炭化物層、金属窒化物層など。層のさらに他の非限定的な例には、炭素(例えば、アモルファスカーボン)、金属ドープ炭素、炭化物、窒化ケイ素、二酸化ケイ素(SiO2)、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化チタン、窒化タングステン、炭化タンタル、ポリシリコン(Poly-Si)、アルミニウム、銅、およびタングステンを含むことができ、これらはそのアモルファス、水素化された、ドープされていない、および/またはドープされた形態を含む。
多層スタックは、任意選択で、第1の層と第2の層の交互層を含むことができる。いくつかの実施形態では、第1または第2の層の少なくとも1つは、ハロゲンを含む。他の実施形態では、第1または第2の層の少なくとも1つは、ハロゲン含有前駆体を使用することによって形成される。さらに他の実施形態では、第1の層は、第1のハロゲン含有前駆体(例えば、本明細書の実施形態1~15のいずれか)を使用することによって形成され、第2の層は、別の前駆体または反応剤(例えば、本明細書に記載のいずれか、例えば第2のハロゲン含有前駆体、炭化水素前駆体、有機反応剤、ハロゲン含有反応剤、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、脂肪族部分、芳香族部分、炭素環、複素環、ハロゲン元素、水素ガス、金属含有反応剤、またはこれらのいずれかの組み合わせ)を使用することによって形成される。
プロセス機構
堆積中の水素ゲッターとしてのハロゲン原子の存在により、より低い水素含有量を有するAHM膜が設けられる。機構に限定されることを望むものではないが、そのような原子を伴う2つのプロセスが存在し、1つは気相、もう1つは堆積した固相におけるプロセスである。
気相において、ハロゲン原子は、いくつかの炭素含有前駆体フラグメント中の水素原子を置換することができる。ハロゲン含有気相種は、イオンではなくラジカルであることが好ましく、これは、ハロゲン原子を除去することがより困難な堆積膜の奥深くまでイオンが加速される可能性があるためである(全体的により高いハロゲン含有量を有する膜が得られる)。さらに、これらのハロゲン含有種はプラズマガスから水素ラジカルを隔離することができ、それによって膜中の有効水素含有量を低下させ、堆積速度を増加させる。例えば、Hラジカルは、膜成長中に競合エッチングプロセスをもたらし、総堆積速度は、Hラジカルエッチング速度と炭化水素ラジカル堆積速度との間の差である。
気相機構とは別に、ハロゲンおよび/または水素が成長膜から引き抜かれる堆積相機構が存在する。結合エネルギーを考慮すると、成長膜中の炭素マトリックスから水素よりもハロゲンを引き抜くことが好ましい。したがって、一部の水素原子がハロゲン原子で置き換えられた前駆体を用いると、膜内の結合された水素とハロゲンの正味の減少が生じることになる。これら2つの機構の正味の影響は、水素含有量の低下である。
堆積種にハロゲンを追加することのさらなる利点は、C-X(Xは、ハロゲンである)結合がほとんどのC-H結合よりも動力学的に利用可能であり、したがって熱エネルギーがより迅速かつ低温でハロゲン化物含有量を低下させることを可能にすることであり得る。末端結合種、C-H、またはC-Xにおけるこの減少は、純粋な炭化水素前駆体、例えば、C22またはC36を用いた現在の技術水準と同等またはそれ以上の堆積速度および同様の応力対弾性率比を示す。
特定の実施形態では、AHM膜は、水素含有量が低く(例えば、約15原子%未満、場合によっては約1原子%未満である)、かつ堆積速度が速く、応力対弾性率比が同等である。そのような膜は、改善されたエッチング速度、例えば、C22ベースライン(例えば、650℃においてC22またはC36で堆積されたAHM)よりも8~30%改善されたパターンエッチング速度と良好に相関するブランケットエッチング速度を示すことができる。
このような利点を得るために、ハロゲン原子は、堆積種として関与するべきである。例えば、ハロゲン含有前駆体は、直接送給されるかその場(in situ))で形成されるかにかかわらず、堆積種として関与するべきである。機構によって限定されることを望むものではないが、ハロゲン化物ラジカルによる水素引き抜き機構の追加は、前駆体が引き抜き可能な種を含有し、追加の非堆積種としては含有しない場合にのみアクセス可能であり得る。堆積は、ハロゲン含有前駆体単独で、または純粋な炭化水素前駆体、例えば、C22と共流させて行うことができる。膜の水素含有量の改善は、単一高周波および二重高周波タイプと低周波RF設定タイプの両方で生じる。
本明細書のプロセスおよびそれらの結果として得られる膜は、C22がほとんどかまたはC22のみを含有する前駆体で堆積されたAHMなど、任意の有用なベースラインと比較することができる。一実施形態では、本開示のAHM膜は、本明細書に記載(例えば、段落[0088]~[0124]など)の任意の前駆体または反応剤を用いて本明細書に記載のプロセスを用いる。特定の実施形態では、本開示のAHM膜は、C22ベースラインの総水素(H)含有量と比較して、減少した水素およびハロゲン(H+X)含有量を示す。他の実施形態では、本開示のAHM膜は、C22ベースラインの総H含有量と比較して、減少したH含有量を示す。そのような減少は、C22ベースラインの総H含有量と比較して、約10%~65%(例えば、約10%~20%、10%~25%、10%~30%、10%~35%、10%~40%、10%~45%、10%~50%、10%~55%、10%~60%、15%~20%、15%~25%、15%~30%、15%~35%、15%~40%、15%~45%、15%~50%、15%~55%、15%~60%、15%~65%、20%~25%、20%~30%、20%~35%、20%~40%、20%~45%、20%~50%、20%~55%、20%~60%、または20%~65%)の減少を含み得る。そのようなプロセスは、任意の有用な堆積温度(例えば、80℃~750℃、ならびに本明細書に記載の他の範囲)でのCVDまたはPECVDを含む体積を含むことができる。
1つの非限定的な実施形態では、ハロゲン含有前駆体を用いた堆積は、C22ベースラインの総H含有量のパーセントとして表される水素およびハロゲン(H+X)含有量の20%から80%への減少をもたらす。特定の実施形態では、H含有量の30%から80%への減少が観察される。他の実施形態では、H+X含有量の減少またはH含有量の減少は、同じ温度におけるC22で堆積されたAHMと比較して、約500℃を超える、または約500℃~750℃の堆積温度で観察される。特定の実施形態では、堆積は、PECVDプロセスによって行われる。
1つの非限定的な実施形態では、すべての温度において、かつC22ベースラインと同様の処理条件下において、本開示のAHM膜を提供するハロゲン含有前駆体を用いた堆積速度は、C22ベースラインの堆積速度よりも速いか、またはそれに匹敵することが可能である。いくつかの実施形態では、本開示のAHM膜の全体的な応力対弾性率比は、C22ベースラインと同じである。いくつかの実施形態では、本開示のAHM膜は、C22ベースラインと比較して、より高い応力およびより高い弾性率を有する。
本明細書のプロセスは、Hおよび/またはX含有量(例えば、温度、RF条件など)を増加させることなく応力を匹敵するレベルまで減少させるようにさらに調節することができる。一実施形態では、応力は、プロセスの全圧を増加させること、LFを減少させること、および/または堆積種、例えばハロゲン含有前駆体、炭化水素前駆体、有機反応剤、ハロゲン含有反応剤、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、脂肪族部分、芳香族部分、炭素環、複素環、ハロゲン元素、水素ガス、金属含有反応剤、またはこれらのいずれかの組み合わせの分圧を増加させることによって減少させることができる。
特定の非限定的な実施形態では、本明細書に記載のAHM膜は、10原子%、8原子%、5原子%、または3原子%未満のH+X含有量を有する。他の実施形態では、すべての温度条件にわたって、ハロゲン含有前駆体は、C22ベースラインよりも低いHおよびX含有量を有するAHM膜を提供する。
さらに、堆積中に水素(H2)ガスを使用すると、特定の温度範囲(例えば、300℃未満の温度)で膜内のハロゲン含有量を低下させることができる。そのようなプロセスは、プラズマへのH2ガスの追加を含むことができ、これにより水素種がAHM中のハロゲン種を置き換えることが可能になり得る。このようにして、H2ガスをプラズマに含めることによって膜内のハロゲン含有量を低下させることができる。
本開示のAHM膜は、改善されたエッチング速度を示すことができる。いくつかの実施形態では、本開示のAHM膜は、C22ベースラインと比較して、約5%~40%(例えば、約5%~10%、5%~20%、5%~30%、7%~10%、7%~20%、7%~30%、7%~40%、10%~20%、10%~30%、10%~40%、15%~20%、15%~30%、または15%~40%)の改善されたエッチング速度を提供する。標準的な酸化ケイ素論理エッチングを、試験媒体として使用することができる。
プロセスウィンドウ
このセクションでは、AHM膜を得るために用いることができる様々なプロセスパラメータについて説明する。プロセスパラメータは、以下に説明するような処理チャンバ内で行われるプラズマ強化化学気相堆積プロセスのために提供される。
本明細書に記載のAHM膜堆積方法は、所望のAHM特性を得るために任意の適切なプロセス温度で実施することができ、台座の例示的な温度は、約80℃~750℃、80℃~700℃、80℃~650℃、80℃~600℃、80℃~550℃、80℃~500℃、80℃~450℃、80℃~400℃、80℃~350℃、80℃~300℃、80℃~250℃、80℃~200℃、80℃~150℃、80℃~100℃、85℃~750℃、85℃~700℃、85℃~650℃、85℃~600℃、85℃~550℃、85℃~500℃、85℃~450℃、85℃~400℃、85℃~350℃、85℃~300℃、85℃~250℃、85℃~200℃、85℃~150℃、85℃~100℃、90℃~750℃、90℃~700℃、90℃~650℃、90℃~600℃、90℃~550℃、90℃~500℃、90℃~450℃、90℃~400℃、90℃~350℃、90℃~300℃、90℃~250℃、90℃~200℃、90℃~150℃、90℃~100℃、95℃~750℃、95℃~700℃、95℃~650℃、95℃~600℃、95℃~550℃、95℃~500℃、95℃~450℃、95℃~400℃、95℃~350℃、95℃~300℃、95℃~250℃、95℃~200℃、95℃~150℃、95℃~100℃、100℃~750℃、100℃~700℃、100℃~650℃、100℃~600℃、100℃~550℃、100℃~500℃、100℃~450℃、100℃~400℃、100℃~350℃、100℃~300℃、100℃~250℃、100℃~200℃、150℃~750℃、150℃~700℃、150℃~650℃、150℃~600℃、150℃~550℃、150℃~500℃、150℃~450℃、150℃~400℃、150℃~350℃、150℃~300℃、150℃~250℃、150℃~200℃、200℃~750℃、200℃~700℃、200℃~650℃、200℃~600℃、200℃~550℃、200℃~500℃、200℃~450℃、200℃~400℃、200℃~350℃、200℃~300℃、200℃~250℃、250℃~750℃、250℃~700℃、250℃~650℃、250℃~600℃、250℃~550℃、250℃~500℃、250℃~450℃、250℃~400℃、250℃~350℃、250℃~300℃、300℃~750℃、300℃~700℃、300℃~650℃、300℃~600℃、300℃~550℃、300℃~500℃、300℃~450℃、300℃~400℃、300℃~350℃、350℃~750℃、350℃~700℃、350℃~650℃、350℃~600℃、350℃~550℃、350℃~500℃、350℃~450℃、350℃~400℃、400℃~750℃、400℃~700℃、400℃~650℃、400℃~600℃、400℃~550℃、400℃~500℃、400℃~450℃、450℃~750℃、450℃~700℃、450℃~650℃、450℃~600℃、450℃~550℃、450℃~500℃、500℃~750℃、500℃~700℃、500℃~650℃、500℃~600℃、500℃~550℃、550℃~750℃、550℃~700℃、550℃~650℃、550℃~600℃、600℃~750℃、600℃~700℃、600℃~650℃、650℃~750℃、650℃~700℃、または700℃~750℃の範囲である。
より高い温度、例えば、約200℃または300℃を超える温度でAHMを堆積することにより、AHMのエッチング選択性を改善することができる。プロセス温度は、sp2結合対sp3結合の形成に少なくとも部分的に起因して、応力、選択性、および透明性に影響を及ぼす可能性がある。高温はC-H結合の容易な切断およびその後の水素の拡散を可能にするので、より高い温度がsp2に富むアモルファスカーボンネットワークの形成に有利に働く。例えば、約200℃を超える温度で堆積された膜は、sp2 CHおよびCH2結合が著しく多く、sp3結合が比較的少ない可能性があり、したがって炭素含有量が増加し、密度が高くなり、エッチング選択性の増加と相関する。低温、例えば、約175℃未満で堆積されたAHM膜は、高温で堆積された膜と比較して、sp2結合が少なくなる可能性がある。
様々な実施形態において、処理チャンバ、基板ホルダ、および/または台座内の温度は、少なくとも約50℃であり得る。他の実施形態では、温度は、少なくとも約500℃、または約80℃~750℃、約80℃~650℃、もしくは約550℃~650℃の範囲内である。処理チャンバ内の全圧は、約1~10Torrまたは約5Torrとすることができる。
処理チャンバへの前駆体の送給は、1つまたは複数のガス入口を使用することによって行うことができる。送給は、1つまたは複数のプロセスガスの使用を含むことができ、単一のプロセスガスを使用して、ハロゲン含有前駆体を不活性ガスと共に送給することができる。他の実施形態では、第1の不活性ガスと共に有機反応剤を有する第1のプロセスガス、ならびに第2の不活性ガスと共にハロゲン含有反応剤を有する第2のプロセスガスなど、複数のプロセスガスを用いることができる。チャンバ内への送給時、有機反応剤およびハロゲン含有反応剤が反応し、その場(in situ))でハロゲン含有前駆体を形成することができる。
前駆体種(例えば、ハロゲン含有前駆体、有機反応剤、またはハロゲン含有反応剤)の送給に加えて、プロセスガスは、他の化合物を含むことができる。そのような化合物は、水素(H2)ガス、炭化水素前駆体(例えば、ハロゲン化または非ハロゲン化され得る、C22および本明細書に記載のいずれかなどの炭化水素)、および/または金属含有反応剤(例えば、W、Mo、Hf、B、Ru、またはCoを含む)を含むことができ、プロセスガスとしての送給は、1つまたは複数の不活性ガスを含むことができる。
いくつかの実施形態では、不活性ガスは、使用される全不活性ガスの少なくとも約10体積%、または少なくとも約80体積%、または少なくとも約95体積%を構成する。他の実施形態では、不活性ガスは、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、窒素(N2)、クリプトン(Kr)、キセノン(Xe)、またはそれらのいずれかの組み合わせである。
前駆体ガスの体積流量は、特定のプロセスチャンバ、基板、および他のプロセス条件に依存する。単一の300mm基板に使用することができる体積流量の例は、前駆体種および不活性ガスの総体積流量の約200~5500sccm、約300~2500sccm、または約400~2000sccmである。いくつかの実施形態では、体積流量は、不活性ガスについて約300~5000sccmまたは約400~2000sccmであり、前駆体種(例えば、ハロゲン含有前駆体、炭化水素前駆体、有機反応剤、またはハロゲン含有反応剤)について約1~500sccmまたは約20~100sccmである。
前駆体種と不活性ガスとの間の比は、約0.01~約0.5であり得る。いくつかの実施形態では、ハロゲン含有種の流量は、総流量の約1%~約50%であり、不活性ガスは、総流量の残りを構成する。他の実施形態では、炭化水素前駆体(例えば、C22)を伴うハロゲン含有種の流量は、総流量の約1%~約50%であり、不活性ガスは、総流量の残りを構成する。
追加の化合物は、約0~500sccm、1~500sccm、0~250sccm、1~250sccm、約0~50sccm、または約5~50sccmの体積流量で供給され得る。例えば、水素(H2)ガス、炭化水素前駆体(例えば、C22などの炭化水素)、および/または金属含有反応剤をそのような流量で供給することができる。一実施形態では、水素ガスは、0~500sccmまたは1~500sccmの流量で供給される。別の実施形態では、金属含有反応剤は、0~250sccmまたは1~250sccmの流量で供給される。別段の指定がない限り、本明細書に開示される流量は、300mmウエハ用に構成された単一ステーションツールに対するものである。流量は、一般に、ステーションの数および基板面積に比例して変化する。
アニーリングは、約500℃以上の温度で実施することが可能である。アニーリングを実施することで、膜の水素含有量を低減することができる。
いくつかの実施形態では、低周波(LF)RF電力は、約200kHz~2MHzの周波数を有するRF電力を指す。いくつかの実施形態では、LF RF電力は、約400kHzの周波数を有する。高周波RF電力は、約2MHz~約100MHzの周波数を有するRF電力を指す。いくつかの実施形態では、HF RF電力は、約60MHzの周波数、約27MHzの周波数、または約13.56MHzの周波数を有する。
RF動作は、連続波モードまたはパルス化モードのいずれかを含むことができる。パルス化は、HF成分とLF成分の同期パルス化、LF成分の連続波によるHF成分のパルス化、またはLF成分のパルス化によるHF成分の連続波を含むことができる。ここで、パルス化とは、パルス化サイクルの「オフ」部分の間にわたってHFおよび/またはLFの電力を0Wにすることを指す。
いくつかの実施形態では、HF電力は、300mmウエハ当たり約10W~8000Wであり得る。他の実施形態では、LF電力は、300mmウエハ当たり0W~4000Wの間で変動し得る。LFプラズマまたはHFプラズマのいずれかを、単独で使用することも可能である。他の実施形態では、LF成分とHF成分の両方が存在し、HF電力は、約10W~8000Wであり、LF電力は、約4000W未満であり得る。
多くの実施形態では、HF RF成分の最小電力およびLF RF成分の最小電力は、プラズマを維持するのに十分である。本明細書で提供されるすべての電力は、300mm基板当たりのものである。本明細書に記載のRF電力は、一般に、ステーションの数およびウエハの面積に比例して変化する。電力値は、面積ベースで表すことができ、例えば、2500Wは、300mmウエハに対して0.884W/cm2として表すことも可能である。
いくつかの実施形態では、基板は、処理チャンバ内の台座上に、台座とシャワーヘッドとの間に配置される。台座とシャワーヘッドとの間のギャップは、限定はしないが、0.1リットル~2リットルの体積を含むことができる微小体積、および/または限定はしないが、0.2インチ~2.5インチ(5.08ミリメートル~63.5ミリメートル)、または最大6インチ(152.4ミリメートル)の距離を含むことができる距離によって特徴付けることができる。
本明細書のいくつかのプロセスでは、AHM膜は、少なくとも約200Å(オングストローム)/分または約400Å/分または約600Å/分または約1000Å/分の速度で堆積する。いくつかの実施形態では、AHM膜は、約200Å/分~約5000Å/分の速度で堆積する。AHM膜の堆積速度は、LFおよびHF電力、チャンバ圧力、プロセス温度、および前駆体種に依存し得る。
プロセスガスおよびハロゲン含有前駆体の組成
本明細書の実施形態における方法および装置は、ハロゲン含有前駆体を使用する。そのような前駆体は、一緒に反応してチャンバ内でその場(in situ))でハロゲン含有前駆体を形成することができる反応剤を含む、本明細書に記載のいずれかのものを含むことができる。
本明細書で使用される場合、前駆体種は、AHM膜を形成する化合物を広く包含するために使用される。したがって、前駆体種は、ハロゲン含有前駆体、有機反応剤、ハロゲン含有反応剤、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、炭化水素前駆体、または金属含有反応剤を含むことができる。これらの種の各々は、不活性ガス(例えば、He、Ne、Ar、N2、Kr、Xe、またはそれらのいずれかの組み合わせなど、本明細書に記載のいずれか)を有するプロセスガスとして供給することができる。
前駆体種は、1つまたは複数の化合物を含むことができ、これは、本明細書に記載のいずれかの前駆体種を単独でまたは組み合わせて使用することができることを意味する。そのような組み合わせは、処理チャンバ内に順次または同時に導入される同じプロセスガス内または異なるプロセスガス中に供給され得る。いくつかの実施形態では、前駆体種は、1つまたは複数の化合物中にハロゲン、炭素、および水素原子(任意選択の他のヘテロ原子を有する)を集合的に含む。他の実施形態では、前駆体種は、不活性ガスまたはH2ガスと共に使用することができる。
実施形態1では、前駆体種は、少なくとも1つの水素原子および少なくとも1つのハロゲン原子を含むことができる。特定の実施形態では、そのような前駆体種は、ハロゲン含有前駆体である。いくつかの実施形態では、前駆体種は、少なくとも約1:3もしくは場合によっては1:0、または約1:3~8:1もしくは約1:2~2:1である炭素原子対水素原子の比(C:H比)によって特徴付けられる。他の実施形態では、前駆体種は、少なくとも約1:20もしくは場合によっては1:0、または約17:1~1:17もしくは約3:3:1~1:3であるハロゲン原子対水素原子の比(X:H比)によって特徴付けられる。ハロゲン原子は、F、Cl、Br、および/またはIを含むことができる。
前駆体種は、各化合物中の炭素原子の数によって特徴付けることができる。いくつかの実施形態では、前駆体種は、1~8個の炭素原子を有する。他の実施形態では、前駆体種は、C1-8アルキル、C2-8アルケニル、C2-8アルキニル、C5-8アリール、C1-8ハロアルキル、C2-8ハロアルケニル、C2-8ハロアルキニル、またはC5-8ハロアリールを含む。
前駆体種は、任意の有用なクラスの化合物を含むことができる。特定の実施形態では、前駆体種は、1つまたは複数のハロ置換基を含む、直鎖または分枝鎖脂肪族部分、芳香族部分、脂環式部分、多脂環式部分であるか、またはそれらを含むことができる。
非限定的な脂肪族部分には、C1-10脂肪族またはC1-8脂肪族部分が挙げられ得る。脂肪族部分の実施形態は、アルカン、アルケン、アルキン、芳香族化合物、脂環式化合物、および多脂環式化合物を含むことができる。そのような部分は、sp2炭素、sp3炭素、分岐部分、非分岐部分、または分岐部分と非分岐部分の両方に対して提供される1つまたは複数のハロ置換基を含むことができる。少なくとも1つのハロ置換基が存在する場合、そのような前駆体種は、本明細書で説明するように、ハロカーボン(例えば、1つまたは複数のハロおよび1つまたは複数の炭素原子を有する化合物)、ハロ脂肪族、ハロアルカン、ハロアルケン、ハロアルキン、ハロ芳香族、またはハロ炭素環であり得る。
アルカンは、直鎖アルカンまたは分岐鎖アルカンを含むことができる。そのようなアルカンは、1つのタイプのハロ原子で置換することができる(またはモノハロ置換)。例えば、モノフッ素置換アルカンは、ハロゲン原子としてフッ素のみを含むが、1つまたは複数のフッ素原子がアルカン内に存在することができる。非限定的なモノハロゲン置換直鎖アルカンには、純粋なハロカーボン(例えば、Xがハロであり、aが1~10であり、bが2a+2であるCab、例えばCF4、CCl4、CBr4、またはCI4)、1,2-ジフルオロエタン、1,1-ジフルオロエタン、1,1-ジフルオロエタン、1-フルオロプロパン、2-フルオロプロパン、1,2-ジクロロエタン、1,1-ジクロロエタン、1-クロロプロパン、2-クロロプロパン、1,2-ジブロモエタン、1,1-ジブロモエタン、1-ブロモプロパン、2-ブロモプロパン、1,2-ジヨードエタン、1,1-ジヨードエタン、1-ヨードプロパン、または2-ヨードプロパンが挙げられる。特定の実施形態では、直鎖アルカンは、鎖中の1つまたは両方の末端炭素原子にハロ置換基を含む。
分岐鎖アルカンは、分岐部分もしくは非分岐部分、または分岐部分と非分岐部分の両方の上にハロ置換基を含むことができる。非限定的な分岐アルカンには、フッ化tert-ブチル(2-フルオロ-2-メチルプロパン)、フッ化sec-ブチル(2-フルオロブタン)、フッ化イソブチル(1-フルオロ-2-メチルプロパン)、塩化tert-ブチル(2-クロロ-2-メチルプロパン)、塩化sec-ブチル(2-クロロブタン)、塩化イソブチル(1-クロロ-2-メチルプロパン)、臭化tert-ブチル(2-ブロモ-2-メチルプロパン)、臭化sec-ブチル(2-ブロモブタン)、臭化イソブチル(1-ブロモ-2-メチルプロパン)、ヨウ化tert-ブチル(2-ヨード-2-メチルプロパン)、ヨウ化sec-ブチル(2-ヨードブタン)、またはヨウ化イソブチル(2-ヨード-2-メチルプロパン)が挙げられる。特定の実施形態では、分枝鎖アルカンは、鎖中の1つまたは複数の末端炭素原子にハロ置換基を含む。
アルケンは、直鎖および分枝鎖アルケンを含むことができる。そのようなアルケンは、1つまたは複数のハロ置換基(例えば、sp2炭素、sp3炭素、分岐部分、非分岐部分上に、分枝部分のsp2炭素上に、または非分枝部分のsp2炭素上に)を含むことができる。いくつかの実施形態では、直鎖アルケンは、sp2炭素上に1つまたは複数のハロゲン置換基(例えば、シス-1,2-ジフルオロエテン、トランス-1,2-ジフルオロエテン、2,3-ジフルオロ-2-ブテン、1-フルオロプロペン、シス-1,2-ジクロロエテン、トランス-1,2-ジクロロエテン、2,3-ジクロロ-2-ブテン、1-クロロプロペン、シス-1,2-ジブロモエテン、トランス-1,2-ジブロモエテン、2,3-ジブロモ-2-ブテン、1-ブロモプロペン、シス-1,2-ジヨードエテン、トランス-1,2-ジヨードエテン、2,3-ジヨード-2-ブテン、または1-ヨードプロペン)、またはより長い鎖についてはsp3炭素上に1つまたは複数のハロゲン置換基(例えば、2,3-ジフルオロ-1-ブテン、2,3-ジクロロ-1-ブテン、2,3-ジブロモ-1-ブテン、または2,3-ジヨード-1-ブテン)を含むことができる。特定の実施形態では、直鎖アルケンは、鎖中の1つまたは複数の末端炭素原子にハロ置換基を含む。
他の実施形態では、分岐鎖アルケンは、分岐部分上にsp2炭素を含むことができ(例えば、sp2炭素上に少なくとも1つのハロ置換基、またはsp3炭素上に少なくとも1つのハロ置換基、またはsp2炭素上に少なくとも1つのハロ置換基およびsp3炭素上に少なくとも1つのハロ置換基を含む)、かつ/またはより長い鎖については非分岐部分上にsp2炭素を含むことができる(例えば、sp2炭素上に少なくとも1つのハロ置換基、またはsp3炭素上に少なくとも1つのハロ置換基、またはsp2炭素上に少なくとも1つのハロ置換基およびsp3炭素上に少なくとも1つのハロ置換基を含む)。
アルキンは、直鎖および分枝鎖アルキンを含むことができる。そのようなアルキンは、1つまたは複数のハロ置換基(例えば、sp炭素、sp2炭素、sp3炭素、分岐部分、非分岐部分上に、分岐部分のsp炭素上に、または非分岐部分のsp炭素上に)を含むことができる。直鎖アルキンは、sp炭素上に少なくとも1つのハロ置換基、またはより長い鎖についてはsp3炭素上に少なくとも1つのハロ置換基を含むことができる。分枝鎖アルキンは、分枝部分上にsp炭素を含むことができ(例えば、sp炭素上に少なくとも1つのハロ置換基、またはsp3炭素上に少なくとも1つのハロ置換基、またはsp炭素上に少なくとも1つのハロ置換基およびsp3炭素上に少なくとも1つのハロ置換基を含む)、かつ/またはより長い鎖については非分岐部分上にsp炭素を含むことができる(例えば、sp炭素上に少なくとも1つのハロ置換基、またはsp3炭素上に少なくとも1つのハロ置換基、またはsp2炭素上に少なくとも1つのハロ置換基およびsp3炭素上に少なくとも1つのハロ置換基を含む)。
芳香族は、単環(例えば、ベンゼン)または複数環(例えば、ナフタレン)を有するものを含む、本明細書に記載のいずれかのものを含む。芳香族は、環内の炭素上および/または環上に配置された脂肪族置換基内の炭素上に少なくとも1つのハロ置換基を含むことができる。環上の非限定的なハロ置換基には、モノハロ置換基、例えばフルオロベンゼン、クロロベンゼン、ブロモベンゼン、ヨードベンゼン、フルオロナフタレン、クロロナフタレン、ブロモナフタレン、ヨードナフタレン、1,4-フルオロベンゼン、1,3-ジフルオロベンゼン、1,2-ジフルオロベンゼン、1,4-ジクロロベンゼン、1,3-ジクロロベンゼン、1,2-ジクロロベンゼン、1,4-ジブロモベンゼン、1,3-ジブロモベンゼン、1,2-ジブロモベンゼン、1,4-ジヨードベンゼン、1,3-ジヨードベンゼン、1,2-ジヨードベンゼン、およびそれらの類似体が挙げられる。芳香族はまた、1つまたは複数の脂肪族またはハロ脂肪族置換基(例えば、アルキル、アルケニル、アルキニル、ハロアルキル、ハロアルケニル、またはハロアルキニル)を含むことができる。いくつかの実施形態では、芳香族の環および/または脂肪族置換基は、1つまたは複数のハロ置換基を含むことができる。少なくとも1つのハロ置換基を有する非限定的な脂肪族置換芳香族には、環部分上に1つまたは複数のハロ置換基を有するもの(例えば、3-フルオロトルエン、2-フルオロトルエン、4-フルオロトルエン、3-クロロトルエン、2-クロロトルエン、4-クロロトルエン、3-ブロモトルエン、2-ブロモトルエン、4-ブロモトルエン、3-ヨードトルエン、2-ヨードトルエン、または4-ヨードトルエン)、または環に結合した脂肪族部分上に1つまたは複数のハロ置換基を有するもの(例えば、フッ化ベンジル、塩化ベンジル、臭化ベンジル、またはヨウ化ベンジル)、または芳香族部分上に少なくとも1つのハロ置換基、および脂肪族部分上に少なくとも1つのハロ置換基を有するもの(例えば、2,4-ジフルオロベンジルフルオリド、4-フルオロベンジルフルオリド、2,4-ジクロロベンジルクロリド、4-クロロベンジルクロリド、2,4-ジブロモベンジルブロミド、4-ブロモベンジルブロミド、2,4-ジヨードベンジルヨージド、または4-ヨードベンジルヨージド)が挙げられる。
炭素環は、単環式脂肪族または多環式脂肪族部分を含むことができる。そのような炭素環は、1つまたは複数のハロ置換基を含むことができ、環状基は、飽和または不飽和であることができる。飽和炭素環は、C3-6脂環式部分を含むことができ、少なくとも1つのハロ置換基が環部分上に存在し(例えば、フルオロシクロブタン、クロロシクロブタン、ブロモシクロブタン、ヨードシクロブタン、フルオロシクロプロパン、クロロシクロプロパン、ブロモシクロプロパン、ヨードシクロプロパン、フルオロシクロペンタン、クロロシクロペンタン、ブロモシクロペンタン、またはヨードシクロペンタン)、または少なくとも1つのハロ置換基が環に結合した脂肪族鎖上に存在し(例えば、(フルオロメチル)シクロブテン、(フルオロメチル)シクロプロパン、(クロロメチル)シクロブテン、(クロロメチル)シクロプロパン、(ブロモメチル)シクロブテン、(ブロモメチル)シクロプロパン、(ヨードメチル)シクロブテン、(ヨードメチル)シクロプロパンなど)、または少なくとも1つのハロ置換基が環上に存在し、少なくとも1つのハロ置換基が環に結合した脂肪族鎖上に存在する。不飽和炭素環は、少なくとも1つのハロ置換基が環部分上に存在する(例えば、3-フルオロシクロブテン、3-クロロシクロブテン、3-ブロモシクロブテン、または3-ヨードシクロブテン)、または環に結合した脂肪族鎖上に存在する少なくとも1つのハロ置換基、または環上に存在する少なくとも1つのハロ置換基、および環の脂肪族鎖上に存在する少なくとも1つのハロ置換基を含むことができる。
炭素環は、複数の環部分を含むことができる。そのような多環式部分は飽和とすることができ(例えば、ノルボルナンまたはその誘導体のように)、これは環部分上に少なくとも1つのハロ置換基(例えば、1-フルオロ-ノルボルナン、2-フルオロ-ノルボルナン、1-クロロ-ノルボルナン、2-クロロ-ノルボルナン、1-ブロモ-ノルボルナン、2-ブロモ-ノルボルナン、1-ヨード-ノルボルナン、または2-ヨード-ノルボルナン)、もしくは環に結合した脂肪族鎖上に少なくとも1つのハロ置換基(例えば、2-(フルオロメチル)ノルボルナン、2-(クロロメチル)ノルボルナン、2-(ブロモメチル)ノルボルナン、または2-(ヨードメチル)ノルボルナン)、もしくは環上に少なくとも1つのハロ置換基および環の脂肪族鎖上に少なくとも1つのハロ置換基(例えば、2-フルオロ-3-(フルオロメチル)ノルボルナン、2-クロロ-3-(クロロメチル)ノルボルナン、2-ブロモ-3-(ブロモメチル)ノルボルナン、または2-ヨード-3-(ヨードメチル)ノルボルナン)を含むことができるか、またはそのような多環式部分は不飽和とすることができ(例えば、ノルボルネンまたはその誘導体のように)、これは環部分上に少なくとも1つのハロ置換基(例えば、2-フルオロ-2-ノルボルネン、2-クロロ-2-ノルボルネン、2-ブロモ-2-ノルボルネン、または2-ヨード-2-ノルボルネン)、もしくは環に結合した脂肪族鎖上に少なくとも1つのハロ置換基(例えば、2-フルオロメチル-2-ノルボルネン、2-クロロメチル-2-ノルボルネン、2-ブロモメチル-2-ノルボルネン、または2-ヨードメチル-2-ノルボルネン)、もしくは環上に少なくとも1つのハロ置換基および環の脂肪族鎖上に少なくとも1つのハロ置換基を含むことができる。
本明細書のいずれかの前駆体において、単一のタイプのハロが存在し得る(例えば、フルオロ、クロロ、ブロモ、またはヨード)。他の前駆体では、2つ以上の異なるハロ置換基が存在する場合がある。
実施形態2では、前駆体種は、少なくとも1つのハロゲン含有前駆体と少なくとも1つの炭化水素前駆体の混合物を含むことができる。特定の実施形態では、混合物は、ハロ含有前駆体(例えば、ハロカーボン、ハロ脂肪族、ハロアルカン、ハロアルケン、ハロアルキン、ハロ芳香族、またはハロ炭素環)および炭化水素前駆体(例えば、非置換脂肪族、アルカン、アルケン、アルキン、芳香族、または炭素環)を含む。ハロゲン含有前駆体は、ハロカーボンなどの本明細書に記載のいずれかのもの、ならびに上記の実施形態1(段落[0091]~[0103])について記載したものを含むことができる。特定の実施形態では、ハロゲン含有前駆体は、少なくとも約1:3のC:H比および/または少なくとも約1:20のX:H比を有し、炭化水素前駆体は、少なくとも1:2のC:H比を有する。
さらに他の非限定的な炭化水素前駆体が本明細書に記載されており、アルカン、アルケン、アルキン、芳香族、炭素環などを含むことができる。特定の実施形態では、炭化水素前駆体は、飽和、不飽和、直鎖、分枝鎖、または芳香族であることができる水素および炭素原子のみを含む。いくつかの実施形態では、炭化水素前駆体は、Cxyであり、xは、2~10の整数であり、yは、2~24の整数であり、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、ブテン(C48)、シクロブタン(C48)、シクロヘキサン(C612)、ベンゼン(C66)、トルエン(C78)、ノルボルナン(C712)、またはノルボルネン(C710)を含む。いくつかの実施形態では、2つ以上の炭化水素前駆体を使用することができる。これらの炭化水素前駆体のいずれも、1つまたは複数のハロゲン含有前駆体(例えば、ハロカーボンなどの本明細書に記載のいずれかのもの、ならびに段落[0091]~[0103]で上記の実施形態1について記載したもの)と共に用いることができる。
実施形態3では、前駆体種は、有機反応剤とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。有機反応剤は、ハロゲン含有反応剤と反応する任意の炭素含有化合物とすることができる。有機反応剤およびハロゲン含有反応剤の各々は、別々のプロセスガスとして供給することができ、そのようなプロセスガスは、処理チャンバに順次または同時に送給することができる。
ハロゲン含有反応剤は、有機反応剤(例えば、C-H結合、sp3炭素、sp2炭素、またはsp炭素)と反応する任意のものであり得る。非限定的なハロゲン含有反応剤には、ハロゲン化物(例えば、HX、Xは、HF、HCl、HBr、またはHIなどのハロである)、ハロゲン元素もしくはハロゲンガス(例えば、F2、Cl2、Br2、またはI2)、テトラハロシラン(例えば、SiX4、各Xは、独立してハロである)、トリハロシラン(例えば、SiX3H、各Xは、独立してハロである)、ジハロシラン(例えば、SiX22、各Xは、独立してハロである)、モノハロシラン(例えば、SiXH3、Xは、ハロである)、またはグリニャール試薬(例えば、R-Mg-X、Rは、任意選択で置換されたアルキル、脂肪族、ヘテロアルキル、ヘテロ脂肪族、アリール、または芳香族であり、Xは、ハロである)が挙げられる。
実施形態4では、前駆体種は、純粋な炭化水素反応剤とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な純粋な炭化水素反応剤には、例えば、段落[0104]~[0105]における上記の実施形態2など、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態5では、前駆体種は、ハロカーボン反応剤とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的なハロカーボン反応剤には、例えば、段落[0091]~[0103]における上記の実施形態1など、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態6では、前駆体種は、脱離基を有する炭化水素反応剤とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。使用中、ハロゲン含有反応剤は脱離基を置換することができ、それによってその場(in situ))で形成され、ハロゲン部分(ハロゲン含有反応剤からの)を有する(脱離基を有する炭化水素反応剤からの)炭化水素部分を含むハロゲン含有前駆体を供給する。
脱離基を有する炭化水素反応剤の例には、少なくとも1つの脱離基を有するアルカン、アルケン、アルキン、芳香族、または炭素環が挙げられる。非限定的な脱離基には、ハロスルホニル基(例えば、-OS(O)X、Xは、ハロである)、スルホン酸基(例えば、-OS(O)2R、Rは、ハロ、任意選択で置換されたアルキル、任意選択で置換されたヘテロアルキル、任意選択で置換されたアルコキシ、任意選択で置換されたハロアルキル、任意選択で置換されたアリール、または任意選択で置換されたハロアリールである)、シアノ基(-CN基)、亜酸化窒素基(-N2O)、または硝酸基(-ONO2)が挙げられる。さらに他の非限定的な脱離基には、ハロ、トリフレート、メシレート、トシレート、ブロシレート、ノシレート、またはトレシレートが挙げられる。
脱離基を有する他の炭化水素反応剤には、アルキルスルホニルハライド(例えば、Ak-OS(O)X、Akは、任意選択で置換されたアルキルまたは脂肪族であり、Xは、ハロである)、アリールスルホニルハライド(例えば、Ar-OS(O)X、Arは、任意選択で置換されたアリールまたは芳香族であり、Xは、ハロである)、アルキルトリフレート(例えば、Ak-OTf、Akは、任意選択で置換されたアルキルまたは脂肪族である)、アリールトリフレート(例えば、Ar-OTf、Arは、任意選択で置換されたアリールまたは芳香族である)、アルキルメシレート(例えば、Ak-OM、Akは、任意選択で置換されたアルキルまたは脂肪族である)、アリールメシレート(例えば、Ar-OM、Arは、任意選択で置換されたアリールまたは芳香族である)、アルキルトシレート(例えば、Ak-OT、Akは、任意選択で置換されたアルキルまたは脂肪族である)、アリールトシレート(例えば、Ar-OT、Arは、任意選択で置換されたアリールまたは芳香族である)、シアノアルカン(例えば、Ak-CN、Akは、任意選択で置換されたアルキルまたは脂肪族である)、シアノ芳香族(例えば、Ar-CN、Arは、任意選択で置換されたアリールまたは芳香族である)、硝酸アルキル(例えば、Ak-ONO2、Akは、任意選択で置換されたアルキルまたは脂肪族である)、または硝酸アリール(例えば、Ar-ONO2、Arは、任意選択で置換されたアリールまたは芳香族である)が挙げられる。
実施形態7では、前駆体種は、脂肪族部分とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な脂肪族部分には、例えば、アルカン、アルケン、アルキン、ならびに段落[0091]~[0103]における上記の実施形態1のいずれかなど、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態8では、前駆体種は、芳香族部分とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な芳香族部分には、例えば、単環または複数環を有する芳香族、ならびに段落[0091]~[0103]における上記の実施形態1のいずれかなど、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態9では、前駆体種は、炭素環とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な炭素環には、例えば、単一の飽和環、単一の不飽和環、複数の飽和環、複数の不飽和環、飽和環と不飽和環の組み合わせである複数環を有する炭素環、ディールスアルダー生成物である炭素環であって、生成物は、単環または少なくとも1つの不飽和結合を有する複数環であり得る炭素環、ならびに段落[0091]~[0103]における上記の実施形態1のいずれかなど、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態10では、前駆体種は、複素環反応剤とハロゲン含有反応剤の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な複素環には、例えば、例えば、単一の飽和環、単一の不飽和環、複数の飽和環、複数の不飽和環、飽和環と不飽和環の組み合わせである複数環を有する複素環、またはディールスアルダー生成物である複素環であって、生成物は、単環または少なくとも1つの不飽和結合を有する複数環であり得る複素環など、本明細書に記載のいずれかのものが挙げられる。ハロゲン含有反応剤は、例えば、段落[0106]~[0107]における上記の実施形態3など、本明細書に記載のいずれかのものであり得る。
実施形態11では、前駆体種は、純粋な炭化水素反応剤とハロゲン元素(例えば、X2、Xは、ハロである)の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的な純粋な炭化水素反応剤には、例えば、段落[0104]~[0105]における上記の実施形態2など、本明細書に記載のいずれかのものが挙げられる。
実施形態12では、前駆体種は、ハロカーボン反応剤とハロゲン元素(例えば、X2、Xは、ハロである)の混合物を含むことができ、反応剤は反応し、膜として堆積させることができる少なくとも1つのハロゲン含有前駆体をその場(in situ))で形成することができる。非限定的なハロカーボン反応剤には、例えば、段落[0091]~[0103]における上記の実施形態1など、本明細書に記載のいずれかのものが挙げられる。
実施形態13では、実施形態1~12の前駆体種のいずれも、1つまたは複数の炭化水素前駆体と共にさらに使用することができる。いくつかの実施形態では、炭化水素前駆体は、少なくとも1:2のC:H比を有する。いくつかの実施形態では、炭化水素前駆体は、最大で約50g/molの分子量を有する化合物を含む。さらに他の非限定的な炭化水素前駆体が本明細書に記載されており、アルカン、アルケン、アルキン、芳香族、炭素環などを含むことができる。特定の実施形態では、炭化水素前駆体は、飽和、不飽和、直鎖、分枝鎖、または芳香族であることができる水素および炭素原子のみを含む。いくつかの実施形態では、炭化水素前駆体は、炭化水素である。非限定的な炭化水素には、Cxyが挙げられ、xは、2~10の整数であり、yは、2~24の整数であり、メタン、アセチレン、エチレン、プロピレン、ブタン、ブテン、シクロブタン、シクロヘキサン、ベンゼン、トルエン、ノルボルナン、またはノルボルネンを含む。いくつかの実施形態では、2つ以上の炭化水素前駆体が使用されてもよい。炭化水素前駆体は、ハロゲン化または非ハロゲン化され得る。特定の実施形態では、炭化水素前駆体は、ハロゲン化炭化水素であり、1つまたは複数の水素原子は、ハロゲン、特にフッ素、塩素、臭素、および/またはヨウ素で置き換えられる。
実施形態14では、実施形態1~13の前駆体種のいずれも、水素ガスと共にさらに使用することができる。特に、水素ガスは、基板への前駆体種の曝露中、PECVDプロセスの前、またはPECVDプロセスによる堆積中に導入することができる。
実施形態15では、実施形態1~14の前駆体種のいずれも金属含有反応剤と共にさらに使用することができ、金属含有反応剤は、ハロゲン含有前駆体と反応し、膜として堆積させることができる。いくつかの実施形態では、金属含有反応剤を用いて、金属ドープAHM膜を発生させる。特定の実施形態では、金属含有反応剤は、ハロカーボン、脱離基を有する炭化水素反応剤、複素環反応剤、またはハロゲン含有前駆体と共に用いられる。
非限定的な金属反応剤には、有機金属試薬、金属ハロゲン化物、または1つまたは複数の金属またはメタロイド原子を有する金属水素化物が挙げられる。金属またはメタロイド原子は、遷移金属またはメタロイド、例えば、タングステン(W)、モリブデン(Mo)、ハフニウム(Hf)、ホウ素(B)、ルテニウム(Ru)、またはコバルト(Co)を含むことができる。金属反応剤の例には、MmnまたはMmpまたはMmnpが挙げられ、Mは、W、Mo、Hf、B、Ru、またはCoであり、mは、1~3の整数であり、nおよびpの各々は、独立して、1~8の整数であり、各Xは、独立して、ハロまたはHであり、各Rは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、中性配位子、アニオン配位子、または多座配位子(例えば、二座配位子)である。
非限定的な配位子には、任意選択で置換されたアミン(例えば、NR3またはR2N-Ak-NR2、各Rは、独立して、H、任意選択で置換されたアルキル、任意選択で置換された脂肪族、または任意選択で置換されたアリールであり得、Akは、アルキルの任意選択で置換された二価形態である)、任意選択で置換されたホスフィン(例えば、PR3またはR2P-Ak-PR2、各Rは、独立して、H、任意選択で置換されたアルキル、任意選択で置換された脂肪族、または任意選択で置換されたアリールであり得、Akは、アルキルの任意選択で置換された二価形態である)、任意選択で置換されたエーテル(例えば、OR2、各Rは、独立して、H、任意選択で置換されたアルキル、任意選択で置換された脂肪族、または任意選択で置換されたアリールであり得る)、任意選択で置換されたアルキル、任意選択で置換されたアルキン、任意選択で置換されたベンゼン、オキソ、一酸化炭素(CO)、ジケトナート(例えば、アセチルアセトナート(acac)または-OC(R1)-Ak-(R1)CO-または-OC(R1)-C(R2)-(R1)CO-)、芳香族(例えば、-Ar-)、シクロペンタジエニル(例えば、-Cp、アルキルまたはアリールについて本明細書で提供される置換基などのその置換形態を含む)、ピラゾレート、任意選択で置換されたヘテロシクリル、アルキルの任意選択で置換された多価形態、またはヘテロアルキルの任意選択で置換された多価形態が挙げられる。特定の実施形態では、各R1は、独立して、H、任意選択で置換されたアルキル、任意選択で置換されたハロアルキル、または任意選択で置換されたアリールであり、各R2は、独立して、Hまたは任意選択で置換されたアルキルであり、Akは、アルキルの任意選択で置換された二価形態であり、Arは、アリールの任意選択で置換された二価形態である。
金属含有反応剤の例には、WX6、WR4、WR5、WR6、MoX22、MoR5、MoR6、HfX4、HfR3、HfR4、B26、BR3、RuR2、RuR4、CoR2、またはCoX6が挙げられ得、各Xは、独立して、Hまたはハロであり、各Rは、独立して、H、ハロ、任意選択で置換されたアルキル、任意選択で置換されたアリール、中性配位子、アニオン配位子、または多座配位子(例えば、二座配位子)である。
膜性質
開示された方法に従って得られるAHM膜は、典型的には、主に炭素および水素、ならびに任意選択でにいくらかのハロゲンで構成されるが、他の元素が膜中に存在してもよい(例えば、ドーパントなど)。一般に、AHM中の水素の原子パーセントが低いほど、弾性率および選択性が高くなる。AHM膜中に存在し得る他の元素の例には、ハロゲン、窒素、硫黄、ホウ素、酸素、タングステン、モリブデン、ハフニウム、ホウ素、ルテニウム、コバルト、チタン、およびアルミニウムが挙げられる。典型的には、そのような他の元素は、約20原子パーセント(原子%)、15原子%、または10原子%以下の量で存在する。
ハロゲン含有前駆体を使用することによって、AHM膜は、低い水素含有量を有することができる。いくつかの実施形態では、水素含有量は、最大で約5原子%または約4原子%である。他の実施形態では、水素含有量は、約15原子%、10原子%、5原子%、または1原子%未満である。他の実施形態では、水素含有量は、約0.5原子%~20原子%、0.5原子%~15原子%、0.5原子%~10原子%、0.5原子%~5原子%、1原子%~20原子%、1原子%~15原子%、1原子%~10原子%、1原子%~5原子%、1.5原子%~20原子%、1.5原子%~15原子%、1.5原子%~10原子%、1.5原子%~5原子%、2原子%~20原子%、2原子%~15原子%、2原子%~10原子%、2原子%~5原子%、2.5原子%~20原子%、2.5原子%~15原子%、2.5原子%~10原子%、2.5原子%~5原子%、3原子%~20原子%、3原子%~15原子%、3原子%~10原子%、3原子%~5原子%、3.5原子%~20原子%、3.5原子%~15原子%、3.5原子%~10原子%、3.5原子%~5原子%、4原子%~20原子%、4原子%~15原子%、4原子%~10原子%、または4原子%~5原子%である。
ハロゲンは処理チャンバ内に存在することができるが、ハロゲン含有量は、最大で約25原子%、または最大で約15原子%であり得る。他の実施形態では、ハロゲン含有量は、約0.1原子%~2原子%または約0原子%~25原子%であり、約0原子%~1原子%、0原子%~1.5原子%、0原子%~2原子%、0原子%~3原子%、0原子%~4原子%、0原子%~5原子%、0原子%~8原子%、0原子%~10原子%、0原子%~12原子%、0原子%~15原子%、0原子%~18原子%、0原子%~20原子%、0原子%~22原子%、0.1原子%~1原子%、0.1原子%~1.5原子%、0.1原子%~2原子%、0.1原子%~3原子%、0.1原子%~4原子%、0.1原子%~5原子%、0.1原子%~8原子%、0.1原子%~10原子%、0.1原子%~12原子%、0.1原子%~15原子%、0.1原子%~18原子%、0.1原子%~20原子%、0.1原子%~22原子%、または0.1原子%~25原子%を含む。
水素とハロゲンの組み合わせは、約35原子%未満、または最大で約7原子%の量で存在することができる。他の実施形態では、水素とハロゲンの組み合わせは、約1原子%~40原子%、例えば1原子%~35原子%、1原子%~30原子%、1原子%~25原子%、1原子%~20原子%、1原子%~15原子%、1原子%~10原子%、2原子%~40原子%、2原子%~35原子%、2原子%~30原子%、2原子%~25原子%、2原子%~20原子%、2原子%~15原子%、2原子%~10原子%、3原子%~40原子%、3原子%~35原子%、3原子%~30原子%、3原子%~25原子%、3原子%~20原子%、3原子%~15原子%、3原子%~10原子%、4原子%~40原子%、4原子%~35原子%、4原子%~30原子%、4原子%~25原子%、4原子%~20原子%、4原子%~15原子%、4原子%~10原子%、5原子%~40原子%、5原子%~35原子%、5原子%~30原子%、5原子%~25原子%、5原子%~20原子%、5原子%~15原子%、5原子%~10原子%、10原子%~40原子%、10原子%~35原子%、10原子%~30原子%、10原子%~25原子%、10原子%~20原子%、または10原子%~15原子%であり得る。
炭素含有量は、少なくとも約90原子%、91原子%、92原子%、93原子%、94原子%、95原子%、96原子%、97原子%、98原子%、99原子%、またはそれ以上であり得る。
低い水素含有量に加えて、場合によっては、AHM膜は、高弾性率および/または低応力によって特徴付けることができる。機構によって限定されることを望むものではないが、弾性率は、sp3含有量を決定するための1つの非限定的な代用であり、より高い弾性率が、エッチング選択性を促進するために好まれる。応力は、C-C結合歪みについての1つの非限定的な代用であり、より低い応力が好まれる。いくつかの実施形態では、膜は、約75%~95%のsp2含有量、および/または少なくとも約50GPaもしくは少なくとも約70GPaの弾性率を有する。他の実施形態では、AHM膜は、約50~190GPaまたは約60~190GPaの弾性係数を有する。非ドープAHM膜の場合、膜は、最大で約-1000MPa、または約-150~-1000MPaもしくは約-200~-1000MPaの内部応力の大きさを有することができる(負の内部応力は圧縮応力を表し、したがって値が低いほど内部応力が小さくなる)。金属ドープAHM膜の場合、膜は、最大で約1000MPaの内部応力の大きさ(正の内部応力は引張応力を表す)、または約-500MPa~1000MPaの応力を有することができる。
いくつかの実施形態では、AHM膜は、少なくとも約5GPaまたは少なくとも約10GPaの硬度を有する。他の実施形態では、硬度は、約5GPa~約24GPaである。いくつかの実施形態では、AHM膜は、少なくとも約1.7g/cm3、または約1.7g/cm3~約2.3gm/cm3の密度を有する。
いくつかの実施形態では、本明細書に開示される方法に従って堆積されるAHM膜の厚さは、少なくとも約1μmもしくは少なくとも約2μm、または約1μm~約3.5μmである。一般に、AHM膜の所望の厚さは、エッチングされる下地層の厚さおよびAHMのエッチング選択性に応じて変化し得、エッチングされる下地層が厚いほど、より厚いAHMが必要になる。上述のように、AHM膜は、様々な下地材料をエッチングするために使用され、材料ごとに異なるエッチング選択性を有する場合がある。AHMのエッチング選択性は、材料のエッチング速度とAHMのエッチング速度の比として表すことができ、異なる材料およびエッチング化学物質に対して変化し得る。
いくつかの実施形態では、AHM膜は、約0.6~1.0または約0.6~0.8の正規化エッチング量を有する。正規化エッチング量は、650℃で堆積されたAHM膜(650℃ AHM)などの標準に対して正規化することができる。正規化エッチング量はパーセンテージとして解釈することもでき、0.8の正規化エッチング量は、ブランケットエッチング中の650℃ AHMなど、標準よりも20%遅くエッチングされるプロセスを提供する。
いくつかの実施形態では、本明細書に記載の方法に従って得られるAHM膜は、633nmにおいて少なくとも1.8、または約1.80~2.70もしくは約2.3~2.6の屈折率(nまたはRI)を有する。屈折率は、一般に、材料の選択性についての代用として使用することができ、屈折率が高いほど、AHMの選択性が高いことを示す。いくつかの実施形態では、本明細書に記載の方法に従って得られるAHM膜は、633nmにおいて最大で約1.1、または約0.5~1.3もしくは約0.8~1.1の吸光係数(k)を有する。
用途
AHMは、典型的には、基板の1つまたは複数の下地層をエッチングすることによって、集積回路などの電子デバイスのフィーチャを形成するために使用される。AHMを使用してエッチングすることができる材料には、ケイ素(単結晶、ポリシリコン、またはアモルファスケイ素)、酸化ケイ素、窒化ケイ素、およびタングステンが挙げられる。いくつかの実施形態では、複数の層が単一のAHMを使用して積み重ねられ、エッチングされる。そのようなスタックの例には、ケイ素の層と酸化ケイ素の層、およびタングステンの層と窒化ケイ素の層が挙げられる。いくつかの実施形態では、スタックは、単一のAHMを使用してエッチングされる繰り返し層を含む。そのような繰り返し層の例には、酸化ケイ素/ポリシリコン(OPOP)または酸化ケイ素と窒化ケイ素(ONON)の繰り返し層が挙げられる。ラインの前端およびラインの後端のフィーチャは、本明細書に開示されるようにAHMを使用してエッチングされ得る。メモリまたは論理デバイスのフィーチャは、パターニングすることが可能である。メモリデバイスの例には、DRAM、NAND、および3D NANDが挙げられる。
典型的には、低い水素含有量、低いRI、高い密度、低い応力、および/または高い弾性率を有するAHMは、イオン衝撃を伴うエッチングプロセスにおいて高いエッチング速度に耐えることができる。したがって、低い水素含有量、低いRI、高い密度、低い応力、および/または高い弾性率を有するAHMは、高い選択性および低いエッチング速度を有し、したがってHAR半導体プロセスに対してより効率的かつ効果的に使用することが可能である。したがって、本明細書のプロセスは、少なくとも約5:1のアスペクト比を有するものなどのHAR構造のエッチングを行うことができる。
装置
図3は、プラズマ強化化学気相堆積(PECVD)を使用して材料を堆積するために使用され得るプロセスステーション300の一実施形態を概略的に示す。簡略化のために、プロセスステーション300は、低圧環境を維持するためのプロセスチャンバ本体302を有する独立型プロセスステーションとして図示されている。しかし、複数のプロセスステーション300が共通のプロセスツール環境に含まれてもよいことが理解されよう。さらに、いくつかの実施形態では、プロセスステーション300の1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)は、1つまたは複数のコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
プロセスステーション300は、プロセスガスを分配シャワーヘッド306に送給するための反応剤送給システム301と流体連通する。反応剤送給システム301は、シャワーヘッド306に送給するプロセスガスをブレンドおよび/または調整するための混合容器304を含む。1つまたは複数の混合容器入口弁320は、混合容器304へのプロセスガスの導入を制御することができる。同様に、シャワーヘッド入口弁305は、シャワーヘッド306へのプロセスガスの導入を制御することができる。
例えば、図3の実施形態は、混合容器304に供給される液体反応剤を気化させるための気化ポイント303を含む。いくつかの実施形態では、気化ポイント303は、加熱された気化器であり得る。このような気化器から発生された反応剤蒸気は、下流の送給配管で凝縮する可能性がある。凝縮した反応剤に不適合なガスを曝露すると、小さな粒子が生成される場合がある。これらの小さな粒子は、配管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする可能性がある。これらの問題に対処するいくつかのアプローチは、送給配管を掃除および/または排気し、残留反応剤を除去することを伴う。しかし、送給配管を掃除すると、プロセスステーションのサイクル時間が増加し、プロセスステーションのスループットが低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント303の下流の送給配管は、ヒートトレースされ得る。いくつかの例では、混合容器304もまた、ヒートトレースされ得る。1つの非限定的な例では、気化ポイント303の下流の配管は、混合容器304において約100℃~約150℃に及ぶ上昇温度プロファイルを有する。
いくつかの実施形態では、反応剤液体は、液体注入器で気化され得る。例えば、液体注入器は、液体反応剤のパルスを混合容器の上流のキャリアガス流に注入することができる。1つのシナリオでは、液体注入器は、液体を高圧から低圧にフラッシュすることによって反応剤を気化させることができる。別のシナリオでは、液体注入器は、液体を分散微小液滴に霧化し、続いて加熱された送給パイプ内で気化することができる。小さな液滴は大きな液滴よりも速く気化することができ、液体注入と完全気化との間の遅延を減少させることが理解されよう。気化が速いほど、気化ポイント303から下流の配管の長さを短くすることができる。1つのシナリオでは、液体注入器は、混合容器304に直接取り付けられてもよい。別のシナリオでは、液体注入器は、シャワーヘッド306に直接取り付けられてもよい。
いくつかの実施形態では、気化されてプロセスステーション300に送給される液体の質量流量を制御するために、液体流コントローラを気化ポイント303の上流に設けることができる。例えば、液体流コントローラ(LFC)は、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整され得る。しかし、フィードバック制御を使用して液体の流れを安定化するには1秒以上かかる場合がある。これは、液体反応剤の投与時間を延長する可能性がある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてもよい。いくつかの実施形態では、LFCの感知管およびPIDコントローラを無効にすることによって、LFCをフィードバック制御モードから直接制御モードに動的に切り替えることができる。
シャワーヘッド306は、プロセスガスを基板312に向かって分配する。図3に示す実施形態では、基板312は、シャワーヘッド306の下に位置し、台座308上に静止した状態で示されている。シャワーヘッド306は、任意の適切な形状を有してもよく、プロセスガスを基板312に分配するための任意の適切な数および配置のポートを有してもよいことが理解されよう。
いくつかの実施形態では、マイクロ体積307がシャワーヘッド306の下に位置する。プロセスステーションの全体積ではなくマイクロ体積でALDおよび/またはCVDプロセスを実施することは、反応剤への曝露および掃除時間を短縮することができ、プロセス条件(例えば、圧力、温度など)を変更するための時間を短縮することができ、プロセスガスへのプロセスステーションロボットの曝露を制限することなどが可能である。例示的なマイクロ体積サイズには、限定はしないが、0.1リットル~2リットルの体積が挙げられる。このマイクロ体積もまた、生産性スループットに影響を与える。サイクル当たりの堆積速度が低下する一方で、サイクル時間も同時に短縮される。場合によっては、後者の影響は、膜の所与の目標厚さに対するモジュールの全体的なスループットを改善するのに十分効果的である。
いくつかの実施形態では、台座308を上昇または下降させて基板312をマイクロ体積307に露出させ、かつ/またはマイクロ体積307の体積を変化させることができる。例えば、基板移送段階では、台座308を下降させ、基板312が台座308上にロードされることを可能にすることができる。堆積プロセス段階中、台座308を上昇させ、マイクロ体積307内に基板312を位置決めすることができる。いくつかの実施形態では、マイクロ体積307は、基板312ならびに台座308の一部を完全に包囲し、堆積プロセス中に流れインピーダンスの高い領域を形成することができる。
任意選択で、台座308は、マイクロ体積307内のプロセス圧力、反応剤濃度などを調節するために、堆積プロセスの一部の間に下降および/または上昇されてもよい。プロセスチャンバ本体302が堆積プロセス中にベース圧力のままである1つのシナリオでは、台座308を下降させることにより、マイクロ体積307を排気することができる。マイクロ体積対プロセスチャンバ体積の例示的な比には、限定はしないが、1:500~1:10の体積比が挙げられる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
別のシナリオでは、台座308の高さを調整することにより、堆積プロセスに含まれるプラズマ活性化サイクルおよび/またはプラズマ処理サイクル中にプラズマ密度を変化させることが可能になり得る。堆積プロセス段階の終わりに、別の基板の移送段階中に台座308を下降させ、台座308から基板312を取り出し可能にすることができる。
本明細書に記載の例示的なマイクロ体積変動は高さ調整可能な台座に言及しているが、いくつかの実施形態では、シャワーヘッド306の位置を台座308に対して調整し、マイクロ体積307の体積を変化させることができることが理解されよう。さらに、台座308および/またはシャワーヘッド306の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させてもよいことが理解されよう。いくつかの実施形態では、台座308は、基板312の配向を回転させるための回転軸を含んでもよい。いくつかの実施形態では、これらの例示的な調整の1つまたは複数は、1つまたは複数の適切なコンピュータコントローラによってプログラム的に実施することができることが理解されよう。
図3に示す実施形態に戻ると、シャワーヘッド306および台座308は、プラズマに電力を供給するために、RF電源314および整合ネットワーク316と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源314および整合ネットワーク316は、任意の適切な電力で動作してラジカル種の所望の組成を有するプラズマを形成することができる。適切な電力の例は、上記に含まれている。同様に、RF電源314は、任意の適切な周波数のRF電力を提供することができる。いくつかの実施形態では、RF電源314は、互いに独立して高周波および低周波のRF電源を制御するように構成され得る。例示的な低周波RF周波数は、限定はしないが、50kHz~500kHzの周波数を含み得る。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHzの周波数を含み得る。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に調節することができることが理解されよう。1つの非限定的な例では、プラズマ電力を断続的にパルス化し、連続的に電力を供給されるプラズマと比較して基板表面でのイオン衝撃を低減することができる。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってその場(in situ))で監視することができる。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、そのようなその場(in situ))プラズマモニタからの測定値に基づいてプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用され得る。いくつかの実施形態では、他のモニタを使用して、プラズマおよび他のプロセス特性を監視することができることが理解されよう。そのようなモニタには、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器が挙げられ得る。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令を介して制御され得る。一例では、プラズマプロセス段階に対するプラズマ条件を設定するための命令は、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、堆積プロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令が、プラズマプロセス段階に先行するレシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または前駆体ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、および第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、プラズマ発生器を有効にするための命令、および第2のレシピ段階のための時間遅延命令を含んでもよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令、および第3のレシピ段階のための時間遅延命令を含んでもよい。これらのレシピ段階は、本開示の範囲内で任意の適切な方法でさらに細分化および/または反復され得ることが理解されよう。
いくつかの実施形態では、台座308は、ヒータ310を介して温度制御され得る。さらに、いくつかの実施形態では、堆積プロセスステーション300についての圧力制御は、バタフライ弁318によって提供され得る。図3の実施形態に示すように、バタフライ弁318は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション300の圧力制御はまた、プロセスステーション300に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。
図4は、インバウンドロードロック402およびアウトバウンドロードロック404を備え、これらのいずれかまたは両方がリモートプラズマ源を備え得るマルチステーション処理ツール400の一実施形態の概略図を示す。ロボット406は、大気圧において、ポッド408を介してロードされたカセットから、大気圧ポート410を介してインバウンドロードロック402にウエハを移動させるように構成される。ウエハは、ロボット406によって、インバウンドロードロック402の台座412上に載置され、大気圧ポート410が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック402がリモートプラズマ源を備える場合、ウエハは、処理チャンバ414に導入される前にロードロック内でリモートプラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸収したガスを除去するためにインバウンドロードロック402においても加熱されてもよい。次に、処理チャンバ414へのチャンバ搬送ポート416が開かれ、別のロボット(図示せず)が、ウエハをリアクタ内に移動させ、リアクタ内に示す第1のステーションの台座上に処理のために載置する。図4に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことが理解されよう。
図示の処理チャンバ414は、図4に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1に対して418で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが理解されよう。図示の処理チャンバ414は4つのステーションを備えるが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解される。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。
図4はまた、処理チャンバ414内でウエハを移送するためのウエハハンドリングシステム490の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム490は、様々なプロセスステーション間および/またはプロセスステーションとロードロックとの間でウエハを移送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが理解されよう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図4はまた、プロセスツール400のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ450の一実施形態を図示する。システムコントローラ450は、1つまたは複数のメモリデバイス456と、1つまたは複数の大容量記憶デバイス454と、1つまたは複数のプロセッサ452とを含むことができる。プロセッサ452は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施形態では、システムコントローラ450は、プロセスツール400の活動のすべてを制御する。システムコントローラ450は、大容量記憶デバイス454に記憶され、メモリデバイス456にロードされ、プロセッサ452で実行されるシステム制御ソフトウェア458を実行する。システム制御ソフトウェア458は、タイミング、ガスの混合、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、パージ条件およびタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール400によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア458は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、開示された方法に従って様々なプロセスツールプロセスを実行するために必要なプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア458は、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
いくつかの実施形態では、システム制御ソフトウェア458は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含み得る。いくつかの実施形態では、システムコントローラ450に関連する大容量記憶デバイス454および/またはメモリデバイス456に記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座418上にロードし、基板とプロセスツール400の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含むことができる。
プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、ガス組成および流量を制御するためのコード、および任意選択で、堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。プロセスガス制御プログラムは、開示された範囲のいずれか内にガス組成および流量を制御するためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。圧力制御プログラムは、開示された圧力範囲のいずれか内にプロセスステーション内の圧力を維持するためのコードを含んでもよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の送給を制御することができる。ヒータ制御プログラムは、開示された範囲のいずれか内に基板の温度を維持するための命令を含んでもよい。
プラズマ制御プログラムは、例えば本明細書に開示されるRF電力レベルのいずれかを使用して、1つまたは複数のプロセスステーション内のプロセス電極に適用されるRF電力レベルおよび周波数を設定するためのコードを含むことができる。プラズマ制御プログラムはまた、各プラズマ曝露の持続時間を制御するためのコードを含むことができる。
いくつかの実施形態では、システムコントローラ450に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
いくつかの実施形態では、システムコントローラ450によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および曝露時間などなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ450のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール400のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
開示された実施形態を実施するために、任意の適切なチャンバを使用することができる。例示的な堆積装置には、限定はしないが、各々がカリフォルニア州フリーモントのLam Research社から入手可能なALTUS(登録商標)製品ファミリー、VECTOR(登録商標)製品ファミリー、および/もしくはSPEED(登録商標)製品ファミリーからの装置、または様々な他の市販されている処理システムのいずれかが挙げられる。2つ以上のステーションが、同じ機能を実施してもよい。同様に、2つ以上のステーションが異なる機能を実施してもよい。各ステーションは、所望の特定の機能/方法を実施するように設計/構成することができる。
図5は、特定の実施形態による薄膜堆積プロセスを行うのに適した処理システムのブロック図である。システム500は、移送モジュール503を含む。移送モジュール503は、処理中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な加圧環境を提供する。移送モジュール503には、各々が特定の実施形態に従って原子層堆積(ALD)および/または化学気相堆積(CVD)を実施することが可能な、2つのマルチステーションリアクタ509および510が取り付けられている。リアクタ509および510は、開示された実施形態に従って動作を順次または非順次に実施することができる複数のステーション511、513、515、および517を含むことができる。ステーションは、加熱された台座もしくは基板支持体、1つまたは複数のガス入口またはシャワーヘッドもしくは分散プレートを含んでもよい。
移送モジュール503には、プラズマもしくは化学的(非プラズマ)前洗浄、または開示された方法に関連して説明された任意の他のプロセスを実施することが可能な、1つまたは複数のシングルまたはマルチステーションモジュール507が取り付けられてもよい。モジュール507は、場合によっては、例えば、堆積プロセスのための基板を準備する様々な処理に使用されてもよい。モジュール507はまた、エッチングまたは研磨などの様々な他のプロセスを実施するように設計/構成することもできる。システム500はまた、処理前および処理後のウエハを格納する1つまたは複数のウエハソースモジュール501を含んでいる。大気移送チャンバ519内の大気ロボット(図示せず)は、最初にウエハをソースモジュール501から取り出してロードロック521に移送することができる。移送モジュール503内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ウエハをロードロック521から移送モジュール503に取り付けられたモジュールに移動させたり、モジュール間で移動させたりする。
様々な実施形態において、システムコントローラ529を用いて、堆積中のプロセス条件を制御する。コントローラ529は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
コントローラ529は、堆積装置の活動のすべてを制御することができる。システムコントローラ529は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、高周波(RF)電力レベル、ウエハチャック位置または台座位置、および特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラ529に関連するメモリデバイスに記憶された他のコンピュータプログラムを用いることができる。
典型的には、コントローラ529に関連するユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
システム制御論理は、任意の適切な方法で構成することができる。一般に、論理はハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコードされるか、またはソフトウェアとして提供され得る。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングは、デジタル信号プロセッサのハードコードされた論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含む、あらゆる形式の論理を含むと理解される。プログラミングはまた、汎用プロセッサで実行され得るソフトウェアまたはファームウェア命令も含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
プロセスシーケンスにおけるゲルマニウム含有還元剤パルス、水素フロー、およびタングステン含有前駆体パルス、ならびに他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランなど)で書かれ得る。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実施するためにプロセッサによって実行される。また、示されているように、プログラムコードはハードコードされてもよい。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力することができる。プロセスを監視するための信号は、システムコントローラ529のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置500のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成することができる。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、開示された実施形態による堆積プロセス(および場合によっては、他のプロセス)を実行するために必要なチャンバ構成要素の動作を制御するために書かれてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
図6A~図6Cは、本明細書に記載のエッチング動作を実施するために使用することができる、調整可能なギャップを有する容量結合閉じ込めRFプラズマリアクタ600の一実施形態を示している。図示のように、真空チャンバ602は、下部電極606を収容する内部空間を囲むチャンバハウジング604を含む。チャンバ602の上部において、上部電極608は、下部電極606から垂直方向に離間されている。上部電極および下部電極608、606の平面は、電極間の垂直方向に対して実質的に平行であり、かつ直交している。好ましくは、上部電極および下部電極608、606は、垂直軸に対して円形であり、かつ同軸である。上部電極608の下面は、下部電極606の上面に面している。離間されて面している電極面は、それらの間に調整可能なギャップ610を画定する。動作中、下部電極606は、RF電源(マッチ)620によってRF電力が供給される。RF電力は、RF供給導管622、RFストラップ624、およびRF電力部材626を通して下部電極606に供給される。接地シールド636が、RF電力部材626を囲み、より均一なRF場を下部電極606に提供することができる。その内容全体が参照により本明細書に組み込まれる、米国特許第7,732,728号に記載されているように、ウエハは、ウエハポート682を通して挿入され、処理のために下部電極606上のギャップ610で支持され、プロセスガスがギャップ610に供給され、RF電力によってプラズマ状態に励起される。上部電極608は、通電または接地されてもよい。
図6A~図6Cに示す実施形態では、下部電極606は、下部電極支持プレート616上に支持される。下部電極606と下部電極支持プレート616との間に挿入された絶縁リング614は、支持プレート616から下部電極606を絶縁する。
RFバイアスハウジング630が、RFバイアスハウジングボウル632上に下部電極606を支持する。ボウル632は、RFバイアスハウジング630のアーム634によって、チャンバ壁プレート618における開口部を通して導管支持プレート638に接続される。好ましい実施形態では、RFバイアスハウジングボウル632およびRFバイアスハウジングアーム634は1つの構成要素として一体的に形成されるが、アーム634およびボウル632はまた、互いにボルト締めまたは接合される2つの別々の構成要素であり得る。
RFバイアスハウジングアーム634は、下部電極606の裏側の空間で、真空チャンバ602の外側から真空チャンバ602の内側にガス冷却剤、液体冷却剤、RFエネルギー、リフトピン制御用のケーブル、電気監視および作動信号など、RF電力および設備を通過させるための1つまたは複数の中空通路を含む。RF供給導管622は、RFバイアスハウジングアーム634から絶縁されており、RFバイアスハウジングアーム634は、RF電源620へのRF電力用の帰還経路を提供する。設備導管640は、設備構成要素用の通路を提供する。設備構成要素のさらなる詳細は、米国特許第5,948,704号および第7,732,728号に記載されており、説明を簡単にするためにここでは示されない。ギャップ610は、好ましくは、閉じ込めリングアセンブリまたはシュラウド(図示せず)によって囲まれ、その詳細は、参照により本明細書に組み込まれる米国特許第7,740,736号に見出すことができる。真空チャンバ602の内部は、真空ポータル680を通して真空ポンプに接続することによって低圧に維持される。
導管支持プレート638は、作動機構642に取り付けられる。作動機構の詳細は、上記により本明細書に組み込まれる米国特許第7,732,728号に記載されている。サーボ機械モータ、ステッピングモータなどの作動機構642は、例えば、ボールねじなどのねじギア646、およびボールねじを回転させるためのモータによって、垂直リニアベアリング644に取り付けられる。ギャップ610のサイズを調整するための動作中、作動機構642は、垂直リニアベアリング644に沿って移動する。図6Aは、作動機構642がリニアベアリング644上の高い位置にあり、結果として小さなギャップ610aをもたらすときの配置を示している。図6Bは、作動機構642がリニアベアリング644上の中間位置にあるときの配置を示している。示すように、下部電極606、RFバイアスハウジング630、導管支持プレート638、RF電源620はすべて、チャンバハウジング604および上部電極608に対して下に移動し、中程度のサイズのギャップ610bをもたらす。
図6Cは、作動機構642がリニアベアリングの低い位置にあるときの大きなギャップ610cを示している。好ましくは、上部電極および下部電極608、606は、ギャップ調整の間は同軸のままであり、ギャップにわたる上部電極および下部電極の対向する表面は、平行のままである。
この実施形態は、例えば、300mmウエハまたはフラットパネルディスプレイなどの大径基板全体にわたって均一なエッチングを維持するために、多段階プロセスレシピ(BARC、HARC、およびSTRIPなど)中のCCPチャンバ602内の下部電極および上部電極606、608間のギャップ610を調整することを可能にする。特に、このチャンバは、下部電極および上部電極606、608の間に調整可能なギャップを提供するために必要な線形運動を可能にする機械的配置に関係する。
図6Aは、近位端で導管支持プレート638に、および遠位端でチャンバ壁プレート618の段状フランジ628に封止された、横方向に偏向されたベローズ650を示している。段状フランジの内径は、RFバイアスハウジングアーム634が通過するチャンバ壁プレート618における開口部612を画定する。ベローズ650の遠位端は、クランプリング652によってクランプされる。
横方向に偏向されたベローズ650は、RFバイアスハウジング630、導管支持プレート638、および作動機構642の垂直移動を可能にしながら、真空シールを提供する。RFバイアスハウジング630、導管支持プレート638、および作動機構642は、カンチレバーアセンブリと呼ぶことができる。好ましくは、RF電源620は、カンチレバーアセンブリと共に移動し、導管支持プレート638に取り付けることができる。図6Bは、カンチレバーアセンブリが中間位置にあるときに中立位置にあるベローズ650を示す。図6Cは、カンチレバーアセンブリが低い位置にあるときに横方向に偏向されたベローズ650を示す。
ラビリンスシール648が、ベローズ650とプラズマ処理チャンバハウジング604の内部との間に粒子バリアを提供する。固定シールド656が、可動シールドプレート658がカンチレバーアセンブリの垂直移動に対応するために垂直方向に移動するラビリンス溝660(スロット)を提供するように、チャンバ壁プレート618でチャンバハウジング604の内壁に不動に取り付けられる。可動シールドプレート658の外側部分は、下部電極606のすべての垂直位置でスロット内に留まる。
図示の実施形態では、ラビリンスシール648は、ラビリンス溝660を画定するチャンバ壁プレート618における開口部612の周囲でチャンバ壁プレート618の内面に取り付けられた固定シールド656を含む。可動シールドプレート658が取り付けられ、RFバイアスハウジングアーム634から半径方向に延び、アーム634は、チャンバ壁プレート618における開口部612を通過する。可動シールドプレート658は、ラビリンス溝660内に延び、第1のギャップによって固定シールド656から離間され、第2のギャップによってチャンバ壁プレート618の内面から離間され、カンチレバーアセンブリが垂直に移動することを可能にする。ラビリンスシール648は、ベローズ650から剥落された粒子が移動して真空チャンバ内部605に入るのをブロックし、プロセスガスプラズマからのラジカルがベローズ650に移動するのをブロックする。ベローズ650に入ったラジカルは堆積物を形成し、その後堆積物は剥落されるおそれがある。
図6Aは、カンチレバーアセンブリが高い位置(小さなギャップ610a)にあるとき、RFバイアスハウジングアーム634の上のラビリンス溝660内でより高い位置にある可動シールドプレート658を示す。図6Cは、カンチレバーアセンブリが低い位置(大きなギャップ610c)にあるとき、RFバイアスハウジングアーム634の上のラビリンス溝660内でより低い位置にある可動シールドプレート658を示す。図6Bは、カンチレバーアセンブリが中間位置(中程度のギャップ610b)にあるときのラビリンス溝660内で中立または中間位置にある可動シールドプレート658を示す。ラビリンスシール648はRFバイアスハウジングアーム634に関して対称的なものとして示されているが、他の実施形態では、ラビリンスシール648は、RFバイアスアーム634に関して非対称であってもよい。
図7は、本明細書の特定の実施形態による誘導結合プラズマエッチング装置700の断面図を概略的に示す。カリフォルニア州フリーモントのLam Research社によって製造されるKiyo(登録商標)リアクタは、本明細書に記載の技法を実施するために使用され得る適切なリアクタの一例である。誘導結合プラズマエッチング装置700は、チャンバ壁701および窓711によって構造的に画定された総合エッチングチャンバを含む。チャンバ壁701は、ステンレス鋼またはアルミニウムから製作することができる。窓711は、石英または他の誘電体材料から製作することができる。任意選択の内部プラズマグリッド750は、総合エッチングチャンバを上部サブチャンバ702および下部サブチャンバ703に分割する。プラズマグリッド750は、単一のグリッドまたは複数の個々のグリッドを含むことができる。多くの実施形態では、プラズマグリッド750を除去することができ、それによってサブチャンバ702および703からなるチャンバ空間を利用することができる。
チャック717が、底部内面近くの下部サブチャンバ703内に位置決めされる。チャック717は、エッチングプロセスが実施される半導体ウエハ719を受け入れて保持するように構成される。チャック717は、存在する場合、ウエハ719を支持するための静電チャックであり得る。いくつかの実施形態では、エッジリング(図示せず)がチャック717を囲み、チャック717の上に存在する場合、ウエハ719の上面とほぼ平面である上面を有する。チャック717はまた、ウエハをチャックおよびデチャックするための静電電極を含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)が設けられてもよい。チャック717からウエハ719を持ち上げるための他の制御システムもまた、設けられてもよい。チャック717は、RF電源723を使用して充電させることができる。RF電源723は、接続部727を通して整合回路721に接続される。整合回路721は、接続部725を通してチャック717に接続される。このようにして、RF電源723はチャック717に接続される。
コイル733が、窓711の上に位置決めされる。コイル733は、導電性材料から製作され、少なくとも1つの完全なターンを含む。図7に示す例示的なコイル733は、3ターンを含む。コイル733の断面は記号で示されており、「X」を有するコイルはページ内に回転して延びるが、「●」を有するコイルはページ外に回転して延びる。RF電源741が、RF電力をコイル733に供給するように構成される。一般に、RF電源741は、接続部745を通して整合回路739に接続される。整合回路739は、接続部743を通してコイル733に接続される。このようにして、RF電源741はコイル733に接続される。任意選択のファラデーシールド749は、コイル733と窓711との間に位置決めされる。ファラデーシールド749は、コイル733に対して間隔を置いて離れた関係に維持される。ファラデーシールド749は、窓711のすぐ上に配置される。コイル733、ファラデーシールド749、および窓711は各々、互いに実質的に平行になるように構成される。ファラデーシールドは、金属または他の種がプロセスチャンバの誘電体窓上に堆積するのを防止することができる。
プロセスガスは、上部チャンバに位置決めされた主注入ポート760を通して、および/またはSTGと呼ばれることもあるサイド注入ポート770を通して供給され得る。真空ポンプ、例えば、1段または2段機械式ドライポンプおよび/またはターボ分子ポンプ740を使用して、プロセスチャンバからプロセスガスを引き出し、プラズマ処理動作中、スロットル弁(図示せず)または振り子弁(図示せず)などの閉ループ制御流量制限デバイスを使用することによってプロセスチャンバ700内の圧力を維持することができる。
装置の動作中、1つまたは複数の反応剤ガスは、注入ポート760および/または770を通して供給され得る。特定の実施形態では、ガスは、主注入ポート760を通してのみ、またはサイド注入ポート770を通してのみ供給され得る。場合によっては、注入ポートは、シャワーヘッドに置き換えることができる。ファラデーシールド749および/または任意選択のグリッド750は、チャンバへのプロセスガスの送給を可能にする内部チャネルおよび孔を含み得る。ファラデーシールド749および任意選択のグリッド750のいずれかまたは両方が、プロセスガスを送給するためのシャワーヘッドとして機能してもよい。
高周波電力は、RF電源741からコイル733に供給され、RF電流がコイル733を通って流れるようにする。コイル733を通って流れるRF電流は、コイル733の周りに電磁場を生成する。電磁場は、上部サブチャンバ702内で誘導電流を生成する。様々な生成されたイオンおよびラジカルとウエハ719の物理的および化学的相互作用により、ウエハのフィーチャが選択的にエッチングされる。
プラズマグリッド750が、上部サブチャンバ702と下部サブチャンバ703の両方が存在するように使用される場合、誘導電流は、上部サブチャンバ702に存在するガスに作用し、上部サブチャンバ702に電子-イオンプラズマを生成する。任意選択の内部プラズマグリッド750は、存在する場合、下部サブチャンバ703内の熱電子の数を限定するように作用することができる。いくつかの実施形態では、装置は、下部サブチャンバ703に存在するプラズマがイオン-イオンプラズマであるように設計および動作される。他の実施形態では、装置は、下部サブチャンバ703に存在するプラズマが電子-イオンプラズマであるように設計および動作されてもよい。内部プラズマグリッドおよびイオン-イオンプラズマは、2013年11月15日に出願され、「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION」と題する米国特許出願第14/082,009号、および米国特許第9,245,761号においてさらに説明されており、上記の開示の各々は、参照によりその全体が本明細書に組み込まれる。
揮発性エッチング副生成物は、ポート722を通して下部サブチャンバ703から除去され得る。本明細書に開示されるチャック717は、約30℃~約250℃の範囲の高温で動作することができる。場合によっては、チャック717はまた、例えばチャック717が積極的に冷却されるときなど、より低い温度でも動作することができる。そのような場合、チャック717は、所望に応じて、実質的により低い温度で動作することができる。温度は、エッチングプロセス動作および特定のレシピに依存する。いくつかの実施形態では、チャンバ701は、約1mTorr~約95mTorrの範囲の圧力で動作することができる。特定の実施形態では、圧力はより高くてもよい。
チャンバ701は、クリーンルームまたは製作施設に設置される場合、設備(図示せず)に結合されてもよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、目的の製作施設に設置されると、チャンバ701に結合される。加えて、チャンバ701は、ロボットが典型的な自動操作を使用して半導体ウエハがチャンバ701に出入りすることを可能にする移送チャンバに結合され得る。
いくつかの実施形態では、システムコントローラ730(1つまたは複数の物理的または論理的コントローラを含み得る)が、エッチングチャンバの動作のいくつかまたはすべてを制御する。システムコントローラ730は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。プロセッサは、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボード、ならびに他の同様の構成要素を含み得る。適切な制御動作を実施するための命令が、プロセッサ上で実行される。これらの命令は、システムコントローラ730に関連付けられたメモリデバイスに記憶されてもよいし、ネットワークを介して提供されてもよい。特定の実施形態では、システムコントローラ730は、システム制御ソフトウェアを実行する。
場合によっては、システムコントローラ730は、ガス濃度、ウエハの移動、ならびに/またはコイル733および/もしくは静電チャック717に供給される電力を制御する。システムコントローラ730は、例えば、適切な濃度で必要な反応剤を供給する1つまたは複数の入口ガス流を発生するために、関連する弁を開閉することによってガス濃度を制御することができる。ウエハの移動は、例えば、ウエハ位置決めシステムを所望のように移動させるように指示することによって制御することができる。コイル733および/またはチャック717に供給される電力を制御し、特定のRF電力レベルを提供することができる。同様に、内部グリッド750が使用される場合、グリッドに適用されるRF電力は、システムコントローラ730によって調整され得る。
システムコントローラ730は、センサ出力(例えば、電力、電位、圧力などが特定の閾値に達したとき)、動作のタイミング(例えば、プロセス中の特定の時間に弁を開く)に基づいて、またはユーザから受け取った命令に基づいて、これらおよび他の態様を制御することができる。例示的なコントローラについて、以下でさらに説明する。
図8は、真空移送モジュール838(VTM)とインターフェースする様々なモジュールを備えた半導体プロセスクラスタアーキテクチャを図示する。複数の保管設備および処理モジュール間でウエハを「移送」するための移送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。ロードロックまたは移送モジュールとしても知られるエアロック830は、様々な製作プロセスを実施するために個々に最適化され得る4つの処理モジュール820a~820dを備えたVTM838に示されている。例として、処理モジュール820a~820dは、基板エッチング、堆積、イオン注入、基板洗浄、スパッタリング、および/または他の半導体プロセス、ならびにレーザ計測および他の欠陥検出および欠陥識別方法を実施するために実装され得る。処理モジュールの1つまたは複数(820a~820dのいずれか)は、本明細書に開示されるように、すなわち、凹状フィーチャを基板にエッチングするために実装され得る。エアロック830およびプロセスモジュール820a~820dは、「ステーション」と呼ばれることがある。各ステーションは、ステーションをVTM838にインターフェースするファセット836を有する。ファセットの内側で、センサ1~18は、それぞれのステーション間を移動するときにウエハ826の通過を検出するために使用される。
ロボット822は、ステーション間で基板を移送する。一実施態様では、ロボットは1つのアームを有し得、別の実施態様では、ロボットは2つのアームを有し得、各アームは、搬送用の基板を取り上げるためのエンドエフェクタ824を有する。大気移送モジュール(ATM)840内のフロントエンドロボット832を使用して、基板をカセットまたはロードポートモジュール(LPM)842内のフロントオープニングユニファイドポッド(FOUP)834からエアロック830に移送することができる。プロセスモジュール820a~820d内のモジュールセンタ828は、基板を載置するための1つの場所である。ATM840内のアライナ844を使用して、基板を位置合わせすることができる。
例示的な処理方法では、基板は、LPM842内のFOUP834の1つに載置される。フロントエンドロボット832は、基板をFOUP834からアライナ844に移送し、これによりウエハ826は、エッチングされるか、堆積されるか、あるいは処理される前に、適切に中心に置かれることが可能になる。位置合わせされた後、基板は、フロントエンドロボット832によってエアロック830内に移動される。エアロックモジュールがATMとVTMとの間の環境を一致させる能力を有するので、基板は、損傷を受けることなく2つの圧力環境の間を移動することができる。エアロックモジュール830から、基板は、ロボット822によってVTM838を通してプロセスモジュール820a~820dの1つ、例えばプロセスモジュール820aに移動される。この基板の移動を達成するために、ロボット822は、そのアームの各々にあるエンドエフェクタ824を使用する。ウエハ826は処理されると、ロボット822によってプロセスモジュール820a~820dからエアロックモジュール830に移動される。ここから、ウエハ826は、フロントエンドロボット832によってFOUP834の1つまたはアライナ844に移動され得る。
ウエハの移動を制御するコンピュータは、クラスタアーキテクチャに対してローカルなものであってもよく、または製造フロア内でクラスタアーキテクチャの外部に、もしくは遠隔地に位置され、ネットワークを介してクラスタアーキテクチャに接続されてもよいことに留意されたい。
いくつかの実施態様では、コントローラはシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、特定のシステムに接続または連動するツールおよび他の移送ツールに対するウエハの搬入と搬出、および/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上記のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
定義
「脂肪族」とは、少なくとも1個の炭素原子~50個の炭素原子(C1-50)、例えば1~25個の炭素原子(C1-25)、または1~10個の炭素原子(C1-10)を有する炭化水素基を意味し、これはアルカン(またはアルキル)、アルケン(またはアルケニル)、アルキン(またはアルキニル)を含み、それらの環状バージョンを含み、さらに直鎖および分岐鎖配列、ならびにすべての立体異性体および位置異性体も含む。そのような脂肪族は、置換されていないか、またはアルキル基について本明細書で説明される基などの1つまたは複数の基で置換することができる。さらに他の置換基には、芳香族、ハロ芳香族、ハロ、硝酸塩、シアノ、スルホン酸塩、スルホニルなどが挙げられ得る。
「アルカン」とは、本明細書で定義される1つまたは複数のアルキル基を有する化合物を意味する。アルカンは、置換されていないか、または本明細書で説明される1つまたは複数の置換基、例えば、アルキルなどで置換することができる。
「アルケン」とは、本明細書で定義される1つまたは複数のアルケニル基を有する化合物を意味する。アルケンは、置換されていないか、または本明細書で説明される1つまたは複数の置換基、例えば、アルキルなどで置換することができる。
「アルキン」とは、本明細書で定義される1つまたは複数のアルキニル基を有する化合物を意味する。アルキンは、置換されていないか、または本明細書で説明される1つまたは複数の置換基、例えば、アルキルなどで置換することができる。
「アルケニル」とは、少なくとも2個の炭素原子~50個の炭素原子(C2-50)、例えば2~25個の炭素原子(C2-25)、または2~10個の炭素原子(C2-10)、および少なくとも1個の炭素-炭素二重結合を有する不飽和一価炭化水素を意味し、不飽和一価炭化水素は、親アルケンの1個の炭素原子から1個の水素原子を除去することから得ることができる。アルケニル基は、分岐、直鎖、環状(例えば、シクロアルケニル)、シス、またはトランス(例えば、EまたはZ)であり得る。例示的なアルケニルには、1つまたは複数の二重結合を有する任意選択で置換されたC2-24アルキル基が挙げられる。アルケニル基は、親分子基への適切な結合、または親分子基と別の置換基との間に適切な結合を形成するために1つまたは複数の水素を除去することによって、一価または多価(例えば、二価)になり得る。アルケニル基はまた、置換または非置換であり得る。例えば、アルケニル基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「アルコキシ」とは、-ORを意味し、Rは、本明細書で説明される任意選択で置換された脂肪族基である。例示的なアルコキシ基には、限定はしないが、メトキシ、エトキシ、n-プロポキシ、イソプロポキシ、n-ブトキシ、t-ブトキシ、sec-ブトキシ、n-ペントキシ、トリハロアルコキシ、例えばトリフルオロメトキシなどが挙げられる。アルコキシ基は、置換または非置換であり得る。例えば、アルコキシ基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。例示的な非置換アルコキシ基には、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、またはC1-24アルコキシ基が挙げられる。
「アルキル」とは、少なくとも1個の炭素原子~50個の炭素原子(C1-50)、例えば1~25個の炭素原子(C1-25)、または1~10個の炭素原子(C1-10)を有する飽和一価炭化水素を意味し、飽和一価炭化水素は、親化合物(例えば、アルカン)の1個の炭素原子から1個の水素原子を除去することから得ることができる。アルキル基は、分岐、直鎖、または環状(例えば、シクロアルキル)であり得る。例示的なアルキルには、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、イソブチル、s-ブチル、t-ブチル、n-ペンチル、イソペンチル、s-ペンチル、ネオペンチル、ヘキシル、ヘプチル、オクチル、ノニル、デシル、ドデシル、テトラデシル、ヘキサデシル、エイコシル、テトラコシルなど、1~24個の炭素原子の分岐または非分岐の飽和炭化水素基が挙げられる。アルキル基はまた、置換または非置換であり得る。アルキル基は、親分子基への適切な結合、または親分子基と別の置換基との間に適切な結合を形成するために1つまたは複数の水素を除去することによって、一価または多価(例えば、二価)になり得る。例えば、アルキル基は、以下からなる群から独立して選択される1、2、3個、または2個以上の炭素のアルキル基の場合には4個の置換基で置換することができる:(1)C1-6アルコキシ(例えば、-O-R、Rは、C1-6アルキルである)、(2)C1-6アルキルスルフィニル(例えば、-S(O)-R、Rは、C1-6アルキルである)、(3)C1-6アルキルスルホニル(例えば、-SO2-R、Rは、C1-6アルキルである)、(4)アミン(例えば、-C(O)NR12または-NHCOR1、R1およびR2の各々は、独立して、本明細書で定義される水素、脂肪族、ヘテロ脂肪族、ハロ脂肪族、ハロヘテロ脂肪族、芳香族、またはそれらの任意の組み合わせから選択されるか、またはR1およびR2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する)、(5)アリール、(6)アリールアルコキシ(例えば、-O-L-R、Lは、アルキルであり、Rは、アリールである)、(7)アリーロイル(例えば、-C(O)-R、Rは、アリールである)、(8)アジド(例えば、-N3-、(9)シアノ(例えば、-CN)、(10)アルデヒド(例えば、-C(O)H)、(11)C3-8シクロアルキル、(12)ハロ、(13)ヘテロシクリル(例えば、本明細書で定義される、1、2、3、または4個の非炭素ヘテロ原子を含む5、6、または7員環など)、(14)ヘテロシクリルオキシ(例えば、-O-R、Rは、本明細書で定義されるヘテロシクリルである)、(15)ヘテロシクリル(例えば、-C(O)-R、Rは、本明細書で定義されるヘテロシクリルである)、(16)ヒドロキシル(例えば、-OH)、(17)N-保護アミノ、(18)ニトロ(例えば、-NO2)、(19)オキソ(例えば、=O)、(20)C1-6チオアルコキシ(例えば、-S-R、Rは、アルキルである)、(21)チオール(例えば、-SH)、(22)-CO21、R1は、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択される、(23)-C(O)NR12、R1およびR2の各々は、独立して、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択される、(24)-SO21、R1は、(a)C1-6アルキル、(b)C4-18アリール、および(c)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択される、(25)-SO2NR12、R1およびR2の各々は、独立して、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18である)からなる群から選択される、ならびに(26)-NR12、R1およびR2の各々は、独立して、(a)水素、(b)N-保護基、(c)C1-6アルキル、(d)C2-6アルケニル、(e)C2-6アルキニル、(f)C4-18アリール、(g)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18である)、(h)C3-8シクロアルキル、および(i)C1-6アルキル-C3-8シクロアルキル(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C3-8である)からなる群から選択され、一実施形態では、カルボニル基またはスルホニル基を介して窒素原子に結合する基は2つも存在しない。アルキル基は、1つまたは複数の置換基(例えば、1つまたは複数のハロまたはアルコキシ)で置換された第一級、第二級、または第三級アルキル基であり得る。いくつかの実施形態では、非置換アルキル基は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、またはC1-24アルキル基である。
「アルキニル」とは、少なくとも2個の炭素原子~50個の炭素原子(C2-50)、例えば2~25個の炭素原子(C2-25)、または2~10個の炭素原子(C2-10)、および少なくとも1個の炭素-炭素三重結合を有する不飽和一価炭化水素を意味し、不飽和一価炭化水素は、親アルキンの1個の炭素原子から1個の水素原子を除去することから得ることができる。アルキニル基は、分岐、直鎖、または環状(例えば、シクロアルキニル)であり得る。例示的なアルキニルには、1つまたは複数の三重結合を有する任意選択で置換されたC2-24アルキル基が挙げられる。アルキニル基は、環状または非環状とすることができ、エチニル、1-プロピニルなどによって例示される。アルキニル基は、親分子基への適切な結合、または親分子基と別の置換基との間に適切な結合を形成するために1つまたは複数の水素を除去することによって、一価または多価(例えば、二価)になり得る。アルキニル基はまた、置換または非置換であり得る。例えば、アルキニル基は、アルキルについて本明細書で説明される1つまたは複数の置換基で置換することができる。
「芳香族」とは、別段の指定がない限り、単環(例えば、フェニル)、または少なくとも1つの環が芳香族(例えば、ナフチル、インドリル、またはピラゾロピリジニル)である複数の縮合環を有する環状の共役基または5~15個の環原子の部分を意味し、すなわち、少なくとも1つの環、および任意選択で複数の縮合環は、連続的な非局在化π電子系を有する。典型的には、面外π電子の数は、ヒュッケル則(4n+2)に対応する。親構造への結合点は、典型的には、縮合環系の芳香族部分を介する。そのような芳香族は、置換されていないか、またはアルキル基またはアリール基について本明細書で説明される基などの1つまたは複数の基で置換することができる。さらに他の置換基には、脂肪族、ハロ脂肪族、ハロ、硝酸塩、シアノ、スルホン酸塩、スルホニルなどが挙げられ得る。
「アリール」とは、単環または複数の縮合環を有する、少なくとも5個の炭素原子~15個の炭素原子(C5-15)、例えば5~10個の炭素原子(C5-10)を含む芳香族炭素環式基を意味し、その縮合環は、本明細書に開示される化合物の残りの位置への結合点が芳香族炭素環基の原子を介する場合、芳香族であってもなくてもよい。アリール基は、脂肪族、ヘテロ脂肪族、芳香族、他の官能基、またはそれらの任意の組み合わせなど、水素以外の1つまたは複数の基で任意選択で置換されてもよい。例示的なアリール基には、限定はしないが、ベンジル、ナフタレン、フェニル、ビフェニル、フェノキシベンゼンなどが挙げられる。アリールという用語は、芳香族基の環内に組み込まれた少なくとも1つのヘテロ原子を有する芳香族基を含む基として定義されるヘテロアリールも含む。ヘテロ原子の例には、限定はしないが、窒素、酸素、硫黄、およびリンが挙げられる。同様に、アリールという用語にも含まれる非ヘテロアリールという用語は、ヘテロ原子を含まない芳香族基を含む基を定義する。アリール基は、置換または非置換であり得る。アリール基は、以下からなる群から独立して選択される1、2、3、4、または5個の置換基で置換することができる:(1)C1-6アルカノイル(例えば、-C(O)-R、Rは、C1-6アルキルである)、(2)C1-6アルキル、(3)C1-6アルコキシ(例えば、-O-R、Rは、C1-6アルキルである)、(4)C1-6アルコキシ-C1-6アルキル(例えば-L-O-R、LおよびRの各々は、独立して、C1-6アルキルである)、(5)C1-6アルキルスルフィニル(例えば、-S(O)-R、Rは、C1-6アルキルである)、(6)C1-6アルキルスルフィニル-C1-6アルキル(例えば-L-S(O)-R、LおよびRの各々は、独立して、C1-6アルキルである)、(7)C1-6アルキルスルホニル(例えば、-SO2-R、Rは、C1-6アルキルである)、(8)C1-6アルキルスルホニル-C1-6アルキル(例えば、-L-SO2-R、LおよびRの各々は、独立して、C1-6アルキルである)、(9)アリール、(10)アミン(例えば、-NR12、R1およびR2の各々は、独立して、本明細書で定義される水素、脂肪族、ヘテロ脂肪族、ハロ脂肪族、ハロヘテロ脂肪族、芳香族、またはそれらの任意の組み合わせから選択されるか、またはR1およびR2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成する)、(11)C1-6アミノアルキル(例えば、-L1-NR12または-L2-C(NR12)(R3)-R4、L1は、C1-6アルキルであり、L2は、共有結合またはC1-6アルキルであり、R1およびR2の各々は、独立して、本明細書で定義される水素、脂肪族、ヘテロ脂肪族、ハロ脂肪族、ハロヘテロ脂肪族、芳香族、またはそれらの任意の組み合わせから選択されるか、またはR1およびR2は、各々が結合している窒素原子と一緒になって、本明細書で定義されるヘテロシクリル基を形成し、R3およびR4の各々は、独立して、HまたはC1-6アルキルである)、(12)ヘテロアリール、(13)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)、(14)アリーロイル(例えば、-C(O)-R、Rは、アリールである)、(15)アジド(例えば、-N3)、(16)シアノ(例えば、-CN)、(17)C1-6アジドアルキル(例えば、-L-N3、Lは、C1-6アルキルである)、(18)アルデヒド(例えば、-C(O)H)、(19)アルデヒド-C1-6アルキル(例えば、-L-C(O)H、Lは、C1-6アルキルである)、(20)C3-8シクロアルキル、(21)C1-6アルキル-C3-8シクロアルキル(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C3-8シクロアルキルである)、(22)ハロ、(23)C1-6ハロアルキル(例えば、-L1-Xまたは-L2-C(X)(R1)-R2、L1は、C1-6アルキルであり、L2は、共有結合またはC1-6アルキルであり、Xは、フルオロ、ブロモ、クロロ、またはヨードであり、R1およびR2の各々は、独立して、HまたはC1-6アルキルである)、(24)ヘテロシクリル(例えば、本明細書で定義される、1、2、3、または4個の非炭素ヘテロ原子を含む5、6、または7員環など)、(25)ヘテロシクリルオキシ(例えば、-O-R、Rは、本明細書で定義されるヘテロシクリルである)、(26)ヘテロシクリル(例えば、-C(O)-R、Rは、本明細書で定義されるヘテロシクリルである)、(27)ヒドロキシル(-OH)、(28)C1-6ヒドロキシアルキル(例えば、-L1-OHまたは-L2-C(OH)(R1)-R2、L1は、C1-6アルキルであり、L2は、共有結合またはアルキルであり、R1およびR2の各々は、独立して、本明細書で定義されるHまたはC1-6アルキルである)、(29)ニトロ、(30)C1-6ニトロアルキル(例えば、-L1-NOまたは-L2-C(NO)(R1)-R2、L1は、C1-6アルキルであり、L2は、共有結合またはアルキルであり、R1およびR2の各々は、独立して、本明細書で定義されるHまたはC1-6アルキルである)、(31)N-保護アミノ、(32)N-保護アミノ-C1-6アルキル、(33)オキソ(例えば、=O)、(34)C1-6チオアルコキシ(例えば、-S-R、Rは、C1-6アルキルである)、(35)チオ-C1-6アルコキシ-C1-6アルキル(例えば、-L-S-R、LおよびRの各々は、独立して、C1-6アルキルである)、(36)-(CH2rCO21、rは、0~4の整数であり、R1は、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択される、(37)-(CH2rCONR12、rは、0~4の整数であり、各R1およびR2は、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から独立して選択される、(38)-(CH2rSO21、rは、0~4の整数であり、R1は、(a)C1-6アルキル、(b)C4-18アリール、および(c)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択される、(39)-(CH2rSO2NR12、rは、0~4の整数であり、R1およびR2の各々は、独立して、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および(d)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)からなる群から選択され、(40)-(CH2rNR12、rは、0~4の整数であり、R1およびR2の各々は、独立して、(a)水素、(b)N-保護基、(c)C1-6アルキル、(d)C2-6アルケニル、(e)C2-6アルキニル、(f)C4-18アリール、(g)C1-6アルキル-C4-18アリール(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C4-18アリールである)、(h)C3-8シクロアルキル、および(i)C1-6アルキル-C3-8シクロアルキル(例えば、-L-R、Lは、C1-6アルキルであり、Rは、C3-8シクロアルキルである)からなる群から選択され、一実施形態では、カルボニル基またはスルホニル基を介して窒素原子に結合する基は2つも存在しない、(41)チオール(例えば、-SH)、(42)パーフルオロアルキル(例えば、-(CF2nCF3、nは、0~10の整数である)、(43)パーフルオロアルコキシ(例えば、-O-(CF2nCF3、nは、0~10の整数である)、(44)アリールオキシ(例えば、-O-R、Rは、アリールである)、(45)シクロアルコキシ(例えば、-O-R、Rは、シクロアルキルである)、(46)シクロアルキルアルコキシ(例えば、-O-L-R、Lは、アルキルであり、Rは、シクロアルキルである)、ならびに(47)アリールアルコキシ(例えば、-O-L-R、Lは、アルキルであり、Rは、アリールである)。特定の実施形態では、非置換アリール基は、C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、またはC6-10アリール基である。
「炭素環」とは、本明細書で定義される1つまたは複数の脂環式部分または多脂環式部分を有する化合物を意味する。炭素環は、置換されていないか、または本明細書で説明される1つまたは複数の置換基、例えば、アルキルなどで置換することができる。
「シアノ」とは、-CN基を意味する。
「シアノアルカン」とは、1つまたは複数の水素原子がシアノで置き換えられる、本明細書で定義されるアルカンを意味する。
「シアノ芳香族」とは、1つまたは複数の水素原子がシアノで置き換えられる、本明細書で定義される芳香族を意味する。
「脂環式」とは、環状である、本明細書で定義される脂肪族基を意味する。そのような脂環式基は、飽和または不飽和であり得る。
「シクロアルキル」とは、特に明記しない限り、3~8個の炭素の一価の飽和または不飽和非芳香族環状炭化水素基を意味し、シクロプロピル、シクロブチル、シクロペンチル、シクロヘキシル、シクロヘプチル、ビシクロ[2.2.1.ヘプチル]などによって例示される。シクロアルキル基はまた、置換または非置換であり得る。例えば、シクロアルキル基は、アルキルについて本明細書で説明されるものを含む1つまたは複数の基で置換することができる。
「ハロ」とは、F、Cl、Br、またはIを意味する。接頭辞として使用される場合、「ハロ」は、基が1つまたは複数のハロ置換基を含むことができることを示す。
「ハロ脂肪族」とは、本明細書で定義される脂肪族基を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロアルカン」とは、本明細書で定義されるアルカンを意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロアルケン」とは、本明細書で定義されるアルケンを意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロアルケニル」とは、本明細書で定義されるアルケニル基を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロアルキル」とは、本明細書で定義されるアルキル基を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。独立した実施形態では、ハロアルキルは、-CX3基とすることができ、各Xは、独立して、フルオロ、ブロモ、クロロ、またはヨードから選択することができる。いくつかの実施形態では、ハロアルキル基は、-L-Xであり、Lは、本明細書で定義されるアルキル基であり、Xは、フルオロ、ブロモ、クロロ、またはヨードである。他の実施形態では、ハロアルキル基は、-L-C(X)(R1)-R2であり、Lは、本明細書で定義される共有結合またはアルキル基であり、Xは、フルオロ、ブロモ、クロロ、またはヨードであり、R1およびR2の各々は、独立して、本明細書で定義されるHまたはアルキルである。
「ハロアルキン」とは、本明細書で定義されるアルキンを意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロアルキニル」とは、本明細書で定義されるアルキニル基を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロ芳香族」とは、本明細書で定義される芳香族を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。ハロゲンで置き換えられるそのような水素原子は、環内または環上に配置された置換基内に存在することができる。
「ハロアリール」とは、本明細書で定義されるアリール基を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。独立した実施形態では、ハロアリールは、-Ph-CX3基とすることができ、Phは、フェニルであり、各Xは、独立して、フルオロ、ブロモ、クロロ、またはヨードから選択することができる。いくつかの実施形態では、ハロアリール基は、-L-Xであり、Lは、本明細書で定義されるアリール基であり、Xは、フルオロ、ブロモ、クロロ、またはヨードである。他の実施形態では、ハロアリール基は、-L-C(X)(R1)-R2であり、Lは、本明細書で定義されるアリール基であり、Xは、フルオロ、ブロモ、クロロ、またはヨードであり、R1およびR2の各々は、独立して、本明細書で定義されるHまたはアルキルである。
「ハロ炭素環」とは、本明細書で定義される炭素環を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロヘテロ脂肪族」とは、本明細書で定義されるヘテロ脂肪族を意味し、1~10個の水素原子などの1つまたは複数の水素原子は、独立して、フルオロ、ブロモ、クロロ、またはヨードなどのハロゲン原子で置き換えられる。
「ハロスルホニル」とは、-S(O)2X基または-OS(O)X基を意味し、Xは、ハロ(例えば、フルオロ、ブロモ、クロロ、またはヨード)である。
「ヘテロ脂肪族」とは、本明細書で定義される脂肪族基を意味し、少なくとも1個のヘテロ原子~20個のヘテロ原子、例えば1~15個のヘテロ原子、または1~5個のヘテロ原子を含み、これらは、限定はしないが、酸素、窒素、硫黄、ケイ素、ホウ素、セレン、リン、および群内のそれらの酸化形態から選択することができる。
「ヘテロアルキル」、「ヘテロアルケニル」、および「ヘテロアルキニル」とは、本明細書で定義されるアルキル基、アルケニル基、またはアルキニル基(分岐、直鎖、または環状であり得る)をそれぞれ意味し、少なくとも1個のヘテロ原子~20個のヘテロ原子、例えば1~15個のヘテロ原子、または1~5個のヘテロ原子を含み、これらは、限定はしないが、酸素、窒素、硫黄、ケイ素、ホウ素、セレン、リン、および群内のそれらの酸化形態から選択することができる。
「複素環」とは、1つまたは複数の複素環部分を有する化合物を意味する。非限定的な複素環には、任意選択で置換されたイミダゾール、任意選択で置換されたトリアゾール、任意選択で置換されたテトラゾール、任意選択で置換されたピラゾール、任意選択で置換されたイミダゾリン、任意選択で置換されたピラゾリン、任意選択で置換されたイミダゾリジン、任意選択で置換されたピラゾリジン、任意選択で置換されたピロール、任意選択で置換されたピロリン、任意選択で置換されたピロリジン、任意選択で置換されたテトラヒドロフラン、任意選択で置換されたフラン、任意選択で置換されたチオフェン、任意選択で置換されたオキサゾール、任意選択で置換されたイソキサゾール、任意選択で置換されたイソチアゾール、任意選択で置換されたチアゾール、任意選択で置換されたオキサチオラン、任意選択で置換されたオキサジアゾール、任意選択で置換されたチアジアゾール、任意選択で置換されたスルホラン、任意選択で置換されたスクシンイミド、任意選択で置換されたチアゾリジンジオン、任意選択で置換されたオキサゾリドン、任意選択で置換されたヒダントイン、任意選択で置換されたピリジン、任意選択で置換されたピペリジン、任意選択で置換されたピリダジン、任意選択で置換されたピペラジン、任意選択で置換されたピリミジン、任意選択で置換されたピラジン、任意選択で置換されたトリアジン、任意選択で置換されたピラン、任意選択で置換されたピリリウム、任意選択で置換されたテトラヒドロピラン、任意選択で置換されたジオキシン、任意選択で置換されたジオキサン、任意選択で置換されたジチアン、任意選択で置換されたトリチアン、任意選択で置換されたチオピラン、任意選択で置換されたチアン、任意選択で置換されたオキサジン、任意選択で置換されたモルホリン、任意選択で置換されたチアジン、任意選択で置換されたチオモルホリン、任意選択で置換されたシトシン、任意選択で置換されたチミン、任意選択で置換されたウラシル、任意選択で置換された二酸化チオモルホリン、任意選択で置換されたインデン、任意選択で置換されたインドリン、任意選択で置換されたインドール、任意選択で置換されたイソインドール、任意選択で置換されたインドリジン、任意選択で置換されたインダゾール、任意選択で置換されたベンゾイミダゾール、任意選択で置換されたアザインドール、任意選択で置換されたアザインダゾール、任意選択で置換されたピラゾロピリミジン、任意選択で置換されたプリン、任意選択で置換されたベンゾフラン、任意選択で置換されたイソベンゾフラン、任意選択で置換されたベンゾチオフェン、任意選択で置換されたベンゾイソオキサゾール、任意選択で置換されたアントラニル、任意選択で置換されたベンゾイソチアゾール、任意選択で置換されたベンゾオキサゾール、任意選択で置換されたベンズチアゾール、任意選択で置換されたベンズチアジアゾール、任意選択で置換されたアデニン、任意選択で置換されたグアニン、任意選択で置換されたテトラヒドロキノリン、任意選択で置換されたジヒドロキノリン、任意選択で置換されたジヒドロイソキノリン、任意選択で置換されたキノリン、任意選択で置換されたイソキノリン、任意選択で置換されたキノリジン、任意選択で置換されたキノキサリン、任意選択で置換されたフタラジン、任意選択で置換されたキナゾリン、任意選択で置換されたシンノリン、任意選択で置換されたナフチリジン、任意選択で置換されたピリドピリミジン、任意選択で置換されたピリドピラジン、任意選択で置換されたプテリジン、任意選択で置換されたクロメン、任意選択で置換されたイソクロメン、任意選択で置換されたクロメノン、任意選択で置換されたベンゾキサジン、任意選択で置換されたキノリノン、任意選択で置換されたイソキノリノン、任意選択で置換されたカルバゾール、任意選択で置換されたジベンゾフラン、任意選択で置換されたアクリジン、任意選択で置換されたフェナジン、任意選択で置換されたフェノキサジン、任意選択で置換されたフェノチアジン、任意選択で置換されたフェノキサチイン、任意選択で置換されたキヌクリジン、任意選択で置換されたアザアダマンタン、任意選択で置換されたジヒドロアゼピン、任意選択で置換されたアゼピン、任意選択で置換されたジアゼピン、任意選択で置換されたオキセパン、任意選択で置換されたチエピン、任意選択で置換されたチアゼピン、任意選択で置換されたアゾカン、任意選択で置換されたアゾシン、任意選択で置換されたチオカン、任意選択で置換されたアゾナン、任意選択で置換されたアゼシンなどが挙げられる。任意選択の置換基には、アリールについて本明細書で説明されるいずれかのものが挙げられる。複素環はまた、これらのいずれかのカチオンおよび/または塩を含むことができる。
「ヘテロシクリル」とは、別段の指定がない限り、1、2、3、または4個の非炭素ヘテロ原子(例えば、窒素、酸素、リン、硫黄、セレン、またはハロからなる群から独立して選択される)を含む3、4、5、6、または7員環(例えば、5、6、または7員環)を意味する。3員環は、0~1個の二重結合を有し、4員環および5員環は、0~2個の二重結合を有し、6員環および7員環は、0~3個の二重結合を有する。「ヘテロシクリル」という用語はまた、二環式、三環式、および四環式の基を含み、上記の複素環のいずれかは、アリール環、シクロヘキサン環、シクロヘキセン環、シクロペンタン環、シクロペンテン環、およびインドリル、キノリル、イソキノリル、テトラヒドロキノリル、ベンゾフリル、ベンゾチエニルなどの別の単環式複素環からなる群から独立して選択される1つ、2つ、または3つの環に縮合している。複素環には、アクリジニル、アデニル、アロキサジニル、アザアダマンタニル、アザベンズイミダゾリル、アザビシクロノニル、アザシクロヘプチル、アザシクロオクチル、アザシクロノニル、アザヒポキサンチニル、アザインダゾリル、アザインドリル、アゼシニル、アゼパニル、アゼピニル、アゼチジニル、アゼチル、アジリジニル、アジリニル、アゾカニル、アゾシニル、アゾナニル、ベンゾイミダゾリル、ベンゾイソチアゾリル、ベンゾイソオキサゾリル、ベンゾジアゼピニル、ベンゾジアゾシニル、ベンゾジヒドロフリル、ベンゾジオキセピニル、ベンゾジオキシニル、ベンゾジオキサニル、ベンゾジオキソシニル、ベンゾジオキソリル、ベンゾジチエピニル、ベンゾジチイニル、ベンゾジオキソシニル、ベンゾフラニル、ベンゾフェナジニル、ベンゾピラノニル、ベンゾピラニル、ベンゾピレニル、ベンゾピロニル、ベンゾキノリニル、ベンゾキノリジニル、ベンゾチアジアゼピニル、ベンゾチアジアゾリル、ベンゾチアゼピニル、ベンゾチアゾシニル、ベンゾチアゾリル、ベンゾチエニル、ベンゾチオフェニル、ベンゾチアジノニル、ベンゾチアジニル、ベンゾチオピラニル、ベンゾチオピロニル、ベンゾトリアゼピニル、ベンゾトリアジノニル、ベンゾトリアジニル、ベンゾトリアゾリル、ベンゾキサチイニル、ベンゾトリオキセピニル、ベンゾオキサジアゼピニル、ベンゾキサチアゼピニル、ベンゾキサチエピニル、ベンゾキサチオシニル、ベンゾキサゼピニル、ベンゾキサジニル、ベンゾオキサゾシニル、ベンゾオキサゾリノニル、ベンゾオキサゾリニル、ベンゾオキサゾリル、ベンジルスルタミル、ベンジルスルチミル、ビピラジニル、ビピリジニル、カルバゾリル(例えば、4H-カルバゾリル)、カルボリニル(例えば、β-カルボリニル)、クロマノニル、クロマニル、クロメニル、シンノリニル、クマリニル、シトジニル、シトシニル、デカヒドロイソキノリニル、デカヒドロキノリニル、ジアザビシクロオクチル、ジアゼチル、ジアジリジンチオニル、ジアジリジノニル、ジアジリジニル、ジアジリニル、ジベンゾイソキノリニル、ジベンゾアクリジニル、ジベンゾカルバゾリル、ジベンゾフラニル、ジベンゾフェナジニル、ジベンゾピラノニル、ジベンゾピロニル(キサントニル)、ジベンゾキノキサリニル、ジベンゾチアゼピニル、ジベンゾチエピニル、ジベンゾチオフェニル、ジベンゾオキセピニル、ジヒドロアゼピニル、ジヒドロアゼチル、ジヒドロフラニル、ジヒドロフリル、ジヒドロイソキノリニル、ジヒドロピラニル、ジヒドロピリジニル、ジヒドロピリジル、ジヒドロキノリニル、ジヒドロチエニル、ジヒドロインドリル、ジオキサニル、ジオキサジニル、ジオキシンドリル、ジオキシラニル、ジオキセニル、ジオキシニル、ジオキソベンゾフラニル、ジオキソリル、ジオキソテトラヒドロフラニル、ジオキソチオモルホリニル、ジチアニル、ジチアゾリル、ジチエニル、ジチイニル、フラニル、フラザニル、フロイル、フリル、グアニン、ホモピペラジニル、ホモピペリジニル、ヒポキサンチニル、ヒダントイニル、イミダゾリジニル、イミダゾリニル、イミダゾリル、インダゾリル(例えば、1H-インダゾリル)、インドレニル、インドリニル、インドリジニル、インドリル(例えば、1H-インドリルまたは3H-インドリル)、イサチニル、イサチル、イソベンゾフラニル、イソクロマニル、イソクロメニル、イソインダゾイル、イソインドリニル、イソインドリル、イソピラゾロニル、イソピラゾリル、イソキサゾリジニル、イソオキサゾリル、イソキノリニル、イソキノリニル、イソチアゾリジニル、イソチアゾリル、モルホリニル、ナフチンダゾリル、ナフチンドリル、ナフチリジニル、ナフトピラニル、ナフトチアゾリル、ナフトチオキソリル、ナフトトリアゾリル、ナフトキシンドリル、ナフチリジニル、オクタヒドロイソキノリニル、オキサビシクロヘプチル、オキサウラシル、オキサジアゾリル、オキサジニル、オキサジリジニル、オキサゾリジニル、オキサゾリドニル、オキサゾリニル、オキサゾロニル、オキサゾリル、オキセパニル、オキセタノニル、オキセタニル、オキセチル、オキテナイル、オキシインドリル、オキシラニル、オキソベンゾイソチアゾリル、オキソクロメニル、オキソイソキノリニル、オキソキノリニル、オキソチオラニル、フェナントリジニル、フェナントロリニル、フェナジニル、フェノチアジニル、フェノチエニル(ベンゾチオフラニル)、フェノキサチイニル、フェノキサジニル、フタラジニル、フタラゾニル、フタリジル、フタルイミジニル、ピペラジニル、ピペリジニル、ピペリドニル(例えば、4-ピペリドニル)、プテリジニル、プリニル、ピラニル、ピラジニル、ピラゾリジニル、ピラゾリニル、ピラゾロピリミジニル、ピラゾリル、ピリダジニル、ピリジニル、ピリドピラジニル、ピリドピリミジニル、ピリジル、ピリミジニル、ピリミジル、ピロニル、ピロリジニル、ピロリドニル(例えば、2-ピロリドニル)、ピロリニル、ピロリジジニル、ピロリル(例えば、2H-ピロリル)、ピリリウム、キナゾリニル、キノリニル、キノリジニル(例えば、4H-キノリジニル)、キノキサリニル、キヌクリジニル、セレナジニル、セレナゾリル、セレノフェニル、スクシンイミジル、スルホラニル、テトラヒドロフラニル、テトラヒドロフリル、テトラヒドロイソキノリニル、テトラヒドロイソキノリル、テトラヒドロピリジニル、テトラヒドロピリジル(ピペリジル)、テトラヒドロピラニル、テトラヒドロピロニル、テトラヒドロキノリニル、テトラヒドロキノリル、テトラヒドロチエニル、テトラヒドロチオフェニル、テトラジニル、テトラゾリル、チアジアジニル(例えば、6H-1,2,5-チアジアジニルまたは2H,6H-1,5,2-ジチアジニル)、チアジアゾリル、チアントレニル、チアニル、チアナフテニル、チアゼピニル、チアジニル、チアゾリジンジオニル、チアゾリジニル、チアゾリル、チエニル、チエパニル、チエピニル、チエタニル、チエチル、チイラニル、チオカニル、チオクロマノニル、チオクロマニル、チオクロメニル、チオジアジニル、チオジアゾリル、チオインドキシル、チオモルホリニル、チオフェニル、チオピラニル、チオピロニル、チオトリアゾリル、チオウラゾリル、チオキサニル、チオキソリル、チミジニル、チミニル、トリアジニル、トリアゾリル、トリチアニル、ウラジニル、ウラゾリル、ウレチジニル、ウレチニル、ウリシル、ウリジニル、キサンテニル、キサンチニル、キサンチオニルなど、ならびにそれらの修飾形態(例えば、1つまたは複数のオキソおよび/またはアミノを含む)およびそれらの塩が挙げられる。ヘテロシクリル基は、置換または非置換であり得る。例えば、ヘテロシクリル基は、アリールについて本明細書で説明されるように1つまたは複数の置換基で置換することができる。
「多脂環式」とは、2つ以上の環状基を有する、本明細書で定義される脂肪族基を意味する。
「硝酸塩」とは、-ONO2基を意味する。
「スルホニル」とは、-S(O)2-基または-OS(O)-基を意味する。
「スルホン酸塩」とは、-OS(O)2-基を意味する。非限定的なスルホン酸基には、-OSO2Rが挙げられ、Rは、本明細書で定義される水素、ハロ、脂肪族、アルキル、ヘテロ脂肪族、ヘテロアルキル、アルコキシ、ハロ脂肪族、ハロアルキル、ハロヘテロ脂肪族、芳香族、アリール、ハロアリール、またはそれらのいずれかの組み合わせから選択される。他の非限定的なスルホン酸基には、トリフレート(-OTfまたは-OS(O)2CF3)、メシレート(-OMsまたは-O(SO)2CH3)、トシレート(-OTsまたは-O(SO)2(p-トリル))、ブロシレート(-OBsまたは-O(SO)2(p-ブロモフェニル))、ノシレート(-ONsまたは-O(SO)2(p-ニトロフェニル))、またはトレシレート(-OS(O)2CH2CF3)が挙げられる。
当業者は、上記の定義が許容できない置換パターン(例えば、5つの異なる基で置換されたメチルなど)を含むことを意図していないことを認識するであろう。そのような許容できない置換パターンは、当業者によって容易に認識される。本明細書に開示され、かつ/または上で定義されたあらゆる官能基は、別段の指示がない限り、置換または非置換であり得る。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本明細書に開示された実施形態は、これらの具体的な詳細の一部または全部なしで実践することができる。他の例では、開示された実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。さらに、開示された実施形態は、特定の実施形態と併せて説明されるが、特定の実施形態は、開示された実施形態を限定することを意図するものではないことが理解されるであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。

Claims (30)

  1. 処理チャンバ内の半導体基板の表面をハロゲン含有前駆体に曝露することであって、前記ハロゲン含有前駆体は、前記処理チャンバに送給されるか、または前記処理チャンバ内でその場で形成されることと、
    プラズマ強化化学気相堆積(PECVD)プロセスによってアッシング可能ハードマスク膜(AHM)を前記表面上に堆積することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記曝露することは、前記ハロゲン含有前駆体を前記処理チャンバに送給することを含む、方法。
  3. 請求項2に記載の方法であって、
    前記ハロゲン含有前駆体は、直鎖または分枝鎖脂肪族部分、芳香族部分、脂環式部分、または多脂環式部分を含み、各部分は、1つまたは複数のハロ置換基を含む、方法。
  4. 請求項3に記載の方法であって、
    前記1つまたは複数のハロ置換基は、sp炭素、sp2炭素、sp3炭素、分岐部分、または非分岐部分に対して提供される、方法。
  5. 請求項3に記載の方法であって、
    前記ハロゲン含有前駆体は、ハロカーボン、ハロ脂肪族、ハロアルカン、ハロアルケン、ハロアルキン、ハロ芳香族、またはハロ炭素環を含む、方法。
  6. 請求項1に記載の方法であって、
    前記曝露することは、前記ハロゲン含有前駆体および不活性ガスを含むプロセスガスを送給することをさらに含む、方法。
  7. 請求項1に記載の方法であって、
    前記曝露することは、有機反応剤およびハロゲン含有反応剤を用いて、前記処理チャンバ内で前記ハロゲン含有前駆体をその場で形成することを含む、方法。
  8. 請求項7に記載の方法であって、
    前記有機反応剤は、純粋な炭化水素反応剤、ハロカーボン反応剤、脱離基を有する炭化水素反応剤、または複素環反応剤を含む、方法。
  9. 請求項8に記載の方法であって、
    前記純粋な炭化水素反応剤は、アルカン、アルケン、アルキン、芳香族、または炭素環を含む、方法。
  10. 請求項8に記載の方法であって、
    前記ハロカーボン反応剤は、アルカン、アルケン、アルキン、芳香族、または1つまたは複数のハロ置換基を含む炭素環を含む、方法。
  11. 請求項8に記載の方法であって、
    前記脱離基を有する前記炭化水素反応剤は、アルカン、アルケン、アルキン、芳香族、または1つまたは複数の脱離基を含む炭素環を含む、方法。
  12. 請求項11に記載の方法であって、
    前記脱離基を有する前記炭化水素反応剤は、ハロスルホニル基、スルホン酸基、シアノ基、亜酸化窒素基(N2O)、または硝酸基(-ONO2)を含む、方法。
  13. 請求項11に記載の方法であって、
    前記脱離基を有する前記炭化水素反応剤は、アルキルスルホニルハライド、アリールスルホニルハライド、アルキルトリフレート、アリールトリフレート、アルキルメシレート、アリールメシレート、シアノアルカン、シアノ芳香族、硝酸アルキル、または硝酸アリールを含む、方法。
  14. 請求項7に記載の方法であって、
    前記ハロゲン含有反応剤は、ハロゲン化物、ハロゲンガス、テトラハロシラン、トリハロシラン、ジハロシラン、モノハロシラン、またはグリニャール試薬を含む、方法。
  15. 請求項7に記載の方法であって、
    前記曝露することは、前記有機反応剤を含む第1のプロセスガスを第1の不活性ガスと共に、かつ前記ハロゲン含有反応剤を含む第2のプロセスガスを第2の不活性ガスと共に送給することをさらに含む、方法。
  16. 請求項1に記載の方法であって、
    前記ハロゲン含有前駆体は、少なくとも約1:3の炭素原子対ハロゲン原子の比(C:H比)および/または少なくとも約1:20のハロゲン原子対水素原子の比(X:H比)を含む、方法。
  17. 請求項1に記載の方法であって、
    前記曝露することは、水素(H2)ガスを送給することをさらに含む、方法。
  18. 請求項1に記載の方法であって、
    前記曝露することは、炭化水素前駆体を前記ハロゲン含有前駆体と共に送給することをさらに含む、方法。
  19. 請求項18に記載の方法であって、
    前記炭化水素前駆体は、アルカン、アルケン、アルキン、芳香族、または炭素環を含む、方法。
  20. 請求項1に記載の方法であって、
    前記曝露することは、金属含有反応剤を送給することをさらに含み、前記堆積することは、金属ドープAHMを設ける、方法。
  21. 請求項20に記載の方法であって、
    前記金属含有反応剤は、有機金属試薬、金属ハロゲン化物、または金属水素化物を含む、方法。
  22. 請求項1に記載の方法であって、
    前記PECVDプロセスは、低周波(LF)成分および/または高周波(HF)成分を含むプラズマを点火することを含む、方法。
  23. 請求項1に記載の方法であって、
    前記方法は、前記AHMを含む多層スタックを設ける、方法。
  24. 請求項1に記載の方法であって、
    前記AHMをパターニングし、それによってパターニングされたAHMを設けることと、
    前記パターニングされたAHMをエッチングし、前記半導体基板内に前記AHMのフィーチャを画定することと
    をさらに含む、方法。
  25. 請求項24に記載の方法であって、
    前記パターニングされたAHMの下にある前記半導体基板内の1つまたは複数の層をエッチングすることと、
    前記パターニングされたAHMを除去することと
    をさらに含む、方法。
  26. アッシング可能ハードマスク(AHM)を形成するための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    ガスを前記処理チャンバ内に流すための1つまたは複数のガス入口と、
    前記処理チャンバ内でプラズマを生成するためのプラズマ発生器と、
    前記基板ホルダ、前記1つまたは複数のガス入口、および前記プラズマ発生器を動作させ、前記AHMを半導体基板上に堆積するための機械可読命令を含む1つまたは複数のコントローラであって、前記1つまたは複数のコントローラの前記命令は、
    (a)前記1つまたは複数のガス入口を動作させ、ハロゲン含有前駆体またはハロゲン含有反応剤を有する有機反応剤を前記処理チャンバ内に流し、前記有機反応剤および前記ハロゲン含有反応剤は、前記ハロゲン含有前駆体を形成し、それによって前記基板ホルダに保持された前記半導体基板の表面を前記ハロゲン含有前駆体に曝露し、
    (b)前記プラズマ発生器を動作させてプラズマを生成し、前記表面上に前記AHMを形成する
    ための命令を含むコントローラと
    を備える、装置。
  27. 請求項26に記載の装置であって、
    前記1つまたは複数のコントローラの前記命令は、
    (c)前記プラズマ発生器を動作させる前に、さらに前記1つまたは複数のガス入口を動作させ、水素(H2)ガスを前記処理チャンバ内に流す
    ための命令をさらに含む、装置。
  28. 請求項26に記載の装置であって、
    前記1つまたは複数のコントローラの前記命令は、
    (c)前記プラズマ発生器を動作させる前に、さらに前記1つまたは複数のガス入口を動作させ、金属含有反応剤を前記処理チャンバ内に流す
    ための命令をさらに含む、装置。
  29. 請求項26に記載の装置であって、
    前記1つまたは複数のコントローラの前記命令は、
    (c)少なくとも約50℃の温度で前記基板ホルダを動作させる
    ための命令をさらに含む、装置。
  30. 請求項26に記載の装置であって、
    前記プラズマ発生器は、低周波(LF)成分および/または高周波(HF)成分を含むプラズマを供給するように動作される、装置。
JP2023518084A 2020-09-25 2021-09-23 堅牢なアッシング可能ハードマスク Pending JP2023542919A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198061P 2020-09-25 2020-09-25
US63/198,061 2020-09-25
PCT/US2021/051776 WO2022066927A1 (en) 2020-09-25 2021-09-23 Robust ashable hard mask

Publications (1)

Publication Number Publication Date
JP2023542919A true JP2023542919A (ja) 2023-10-12

Family

ID=80845836

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023518084A Pending JP2023542919A (ja) 2020-09-25 2021-09-23 堅牢なアッシング可能ハードマスク

Country Status (6)

Country Link
US (1) US20230360922A1 (ja)
JP (1) JP2023542919A (ja)
KR (1) KR20230078588A (ja)
CN (1) CN115735262A (ja)
TW (1) TW202225448A (ja)
WO (1) WO2022066927A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102489215B1 (ko) * 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Also Published As

Publication number Publication date
KR20230078588A (ko) 2023-06-02
TW202225448A (zh) 2022-07-01
WO2022066927A1 (en) 2022-03-31
CN115735262A (zh) 2023-03-03
US20230360922A1 (en) 2023-11-09

Similar Documents

Publication Publication Date Title
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
US9633896B1 (en) Methods for formation of low-k aluminum-containing etch stop films
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
WO2019103995A1 (en) Methods of reducing or eliminating defects in tungsten film
US20220362803A1 (en) SELECTIVE ATTACHMENT TO ENHANCE SiO2:SiNx ETCH SELECTIVITY
JP2023542919A (ja) 堅牢なアッシング可能ハードマスク
US20230386831A1 (en) Selective deposition of metal oxides using silanes as an inhibitor
TW202400828A (zh) 選擇性沉積方法及化學品輸送系統
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
TW202309328A (zh) 高選擇性摻雜硬遮罩膜