TW202309328A - 高選擇性摻雜硬遮罩膜 - Google Patents
高選擇性摻雜硬遮罩膜 Download PDFInfo
- Publication number
- TW202309328A TW202309328A TW111117762A TW111117762A TW202309328A TW 202309328 A TW202309328 A TW 202309328A TW 111117762 A TW111117762 A TW 111117762A TW 111117762 A TW111117762 A TW 111117762A TW 202309328 A TW202309328 A TW 202309328A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- layer
- molybdenum
- containing layer
- processing
- Prior art date
Links
- 238000000034 method Methods 0.000 claims abstract description 236
- 239000000758 substrate Substances 0.000 claims description 199
- 239000002243 precursor Substances 0.000 claims description 193
- 125000003118 aryl group Chemical group 0.000 claims description 148
- 230000008569 process Effects 0.000 claims description 142
- 238000000151 deposition Methods 0.000 claims description 126
- 238000012545 processing Methods 0.000 claims description 124
- -1 H 2 S Substances 0.000 claims description 113
- 239000000463 material Substances 0.000 claims description 103
- 229910052750 molybdenum Inorganic materials 0.000 claims description 93
- 239000007789 gas Substances 0.000 claims description 91
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 90
- 239000011733 molybdenum Substances 0.000 claims description 90
- 230000008021 deposition Effects 0.000 claims description 85
- 125000001931 aliphatic group Chemical group 0.000 claims description 55
- 229910052751 metal Inorganic materials 0.000 claims description 53
- 239000002184 metal Substances 0.000 claims description 53
- 229910052799 carbon Inorganic materials 0.000 claims description 49
- 229910052739 hydrogen Inorganic materials 0.000 claims description 48
- 150000001875 compounds Chemical class 0.000 claims description 43
- 239000003446 ligand Substances 0.000 claims description 43
- 229910052736 halogen Inorganic materials 0.000 claims description 41
- 239000001257 hydrogen Substances 0.000 claims description 40
- 150000002430 hydrocarbons Chemical class 0.000 claims description 36
- 150000002367 halogens Chemical class 0.000 claims description 34
- 229910052710 silicon Inorganic materials 0.000 claims description 34
- 150000001412 amines Chemical class 0.000 claims description 29
- 229930195733 hydrocarbon Natural products 0.000 claims description 29
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 28
- 229910052796 boron Inorganic materials 0.000 claims description 28
- 239000010703 silicon Substances 0.000 claims description 28
- 239000003638 chemical reducing agent Substances 0.000 claims description 27
- 229910052731 fluorine Inorganic materials 0.000 claims description 27
- 239000000376 reactant Substances 0.000 claims description 27
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 25
- 239000004215 Carbon black (E152) Substances 0.000 claims description 24
- 238000000231 atomic layer deposition Methods 0.000 claims description 23
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 22
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 22
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 22
- 239000011737 fluorine Substances 0.000 claims description 22
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 21
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 21
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 19
- 238000005229 chemical vapour deposition Methods 0.000 claims description 17
- 239000013110 organic ligand Substances 0.000 claims description 17
- 125000004122 cyclic group Chemical group 0.000 claims description 16
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 claims description 15
- 239000010936 titanium Substances 0.000 claims description 14
- 239000012159 carrier gas Substances 0.000 claims description 13
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 13
- 150000003839 salts Chemical class 0.000 claims description 13
- 150000004767 nitrides Chemical class 0.000 claims description 12
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 12
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 11
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 claims description 11
- 239000011261 inert gas Substances 0.000 claims description 11
- 229910052721 tungsten Inorganic materials 0.000 claims description 11
- 239000010937 tungsten Substances 0.000 claims description 11
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 10
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 claims description 9
- 125000000129 anionic group Chemical group 0.000 claims description 8
- 230000007935 neutral effect Effects 0.000 claims description 8
- 229910052759 nickel Inorganic materials 0.000 claims description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 7
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 7
- 230000000977 initiatory effect Effects 0.000 claims description 7
- 239000005078 molybdenum compound Substances 0.000 claims description 7
- 150000002752 molybdenum compounds Chemical class 0.000 claims description 7
- 150000004756 silanes Chemical class 0.000 claims description 7
- 229910021332 silicide Inorganic materials 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 7
- 150000001247 metal acetylides Chemical class 0.000 claims description 6
- 229910052715 tantalum Inorganic materials 0.000 claims description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 6
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 claims description 5
- 239000005977 Ethylene Substances 0.000 claims description 5
- 150000001639 boron compounds Chemical class 0.000 claims description 5
- 238000004891 communication Methods 0.000 claims description 5
- 125000001841 imino group Chemical group [H]N=* 0.000 claims description 5
- 150000004819 silanols Chemical class 0.000 claims description 5
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 5
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims description 4
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 claims description 4
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 claims description 4
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical compound CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 claims description 4
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 claims description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 3
- IYABWNGZIDDRAK-UHFFFAOYSA-N allene Chemical compound C=C=C IYABWNGZIDDRAK-UHFFFAOYSA-N 0.000 claims description 3
- 239000001273 butane Substances 0.000 claims description 3
- OOXWYYGXTJLWHA-UHFFFAOYSA-N cyclopropene Chemical compound C1C=C1 OOXWYYGXTJLWHA-UHFFFAOYSA-N 0.000 claims description 3
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims description 3
- 229910000077 silane Inorganic materials 0.000 claims description 3
- LSDPWZHWYPCBBB-UHFFFAOYSA-N Methanethiol Chemical compound SC LSDPWZHWYPCBBB-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 342
- 125000000217 alkyl group Chemical group 0.000 description 157
- 210000002381 plasma Anatomy 0.000 description 99
- 125000000623 heterocyclic group Chemical group 0.000 description 39
- 125000001072 heteroaryl group Chemical group 0.000 description 37
- 235000012431 wafers Nutrition 0.000 description 36
- 238000012546 transfer Methods 0.000 description 35
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 34
- 239000010408 film Substances 0.000 description 32
- 229910052760 oxygen Inorganic materials 0.000 description 32
- 125000003545 alkoxy group Chemical group 0.000 description 27
- 125000005842 heteroatom Chemical group 0.000 description 26
- 238000005530 etching Methods 0.000 description 25
- 125000000304 alkynyl group Chemical group 0.000 description 24
- 229910052757 nitrogen Inorganic materials 0.000 description 24
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 23
- 125000003342 alkenyl group Chemical group 0.000 description 23
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 23
- 239000000460 chlorine Substances 0.000 description 23
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 23
- 239000001301 oxygen Substances 0.000 description 23
- 125000004432 carbon atom Chemical group C* 0.000 description 22
- 230000000670 limiting effect Effects 0.000 description 19
- 239000007788 liquid Substances 0.000 description 17
- 239000004065 semiconductor Substances 0.000 description 17
- 125000001424 substituent group Chemical group 0.000 description 17
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 238000005137 deposition process Methods 0.000 description 16
- 238000009616 inductively coupled plasma Methods 0.000 description 16
- 125000004103 aminoalkyl group Chemical group 0.000 description 15
- 125000000753 cycloalkyl group Chemical group 0.000 description 15
- 125000001188 haloalkyl group Chemical group 0.000 description 15
- 125000005843 halogen group Chemical group 0.000 description 14
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 14
- 238000002156 mixing Methods 0.000 description 13
- 238000000059 patterning Methods 0.000 description 13
- 229910052801 chlorine Inorganic materials 0.000 description 12
- 125000004433 nitrogen atom Chemical group N* 0.000 description 12
- 229910052717 sulfur Inorganic materials 0.000 description 12
- 230000008016 vaporization Effects 0.000 description 12
- 125000002877 alkyl aryl group Chemical group 0.000 description 11
- 239000002585 base Substances 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 11
- 238000009834 vaporization Methods 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- 125000005024 alkenyl aryl group Chemical group 0.000 description 10
- 125000005025 alkynylaryl group Chemical group 0.000 description 10
- 125000004429 atom Chemical group 0.000 description 10
- 125000004404 heteroalkyl group Chemical group 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 125000000547 substituted alkyl group Chemical group 0.000 description 10
- 150000003573 thiols Chemical class 0.000 description 10
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical group [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 9
- 229910052794 bromium Inorganic materials 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 125000002485 formyl group Chemical group [H]C(*)=O 0.000 description 9
- 150000003568 thioethers Chemical class 0.000 description 9
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 150000001336 alkenes Chemical class 0.000 description 8
- 150000001345 alkine derivatives Chemical class 0.000 description 8
- 125000002947 alkylene group Chemical group 0.000 description 8
- 150000001408 amides Chemical class 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 8
- 125000004093 cyano group Chemical group *C#N 0.000 description 8
- 150000002148 esters Chemical class 0.000 description 8
- 229920006395 saturated elastomer Polymers 0.000 description 8
- QIJNJJZPYXGIQM-UHFFFAOYSA-N 1lambda4,2lambda4-dimolybdacyclopropa-1,2,3-triene Chemical compound [Mo]=C=[Mo] QIJNJJZPYXGIQM-UHFFFAOYSA-N 0.000 description 7
- 229910039444 MoC Inorganic materials 0.000 description 7
- 150000001335 aliphatic alkanes Chemical class 0.000 description 7
- 238000011010 flushing procedure Methods 0.000 description 7
- 125000000524 functional group Chemical group 0.000 description 7
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 7
- 125000004076 pyridyl group Chemical group 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 6
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical group [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 6
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 6
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 6
- 125000005213 alkyl heteroaryl group Chemical group 0.000 description 6
- LGLOITKZTDVGOE-UHFFFAOYSA-N boranylidynemolybdenum Chemical compound [Mo]#B LGLOITKZTDVGOE-UHFFFAOYSA-N 0.000 description 6
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Chemical group BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 6
- QXYJCZRRLLQGCR-UHFFFAOYSA-N dioxomolybdenum Chemical compound O=[Mo]=O QXYJCZRRLLQGCR-UHFFFAOYSA-N 0.000 description 6
- 238000005516 engineering process Methods 0.000 description 6
- 125000001153 fluoro group Chemical group F* 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 239000001307 helium Substances 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 229910052740 iodine Inorganic materials 0.000 description 6
- 150000002576 ketones Chemical class 0.000 description 6
- 125000004430 oxygen atom Chemical group O* 0.000 description 6
- 125000005010 perfluoroalkyl group Chemical group 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- 239000011574 phosphorus Substances 0.000 description 6
- 239000011593 sulfur Substances 0.000 description 6
- 125000006552 (C3-C8) cycloalkyl group Chemical group 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 5
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 125000002252 acyl group Chemical group 0.000 description 5
- 125000005119 alkyl cycloalkyl group Chemical group 0.000 description 5
- 125000005103 alkyl silyl group Chemical group 0.000 description 5
- 125000004644 alkyl sulfinyl group Chemical group 0.000 description 5
- 125000004390 alkyl sulfonyl group Chemical group 0.000 description 5
- 125000004104 aryloxy group Chemical group 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 5
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 5
- 150000001721 carbon Chemical group 0.000 description 5
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 5
- 125000004474 heteroalkylene group Chemical group 0.000 description 5
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 5
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 5
- 229910052711 selenium Inorganic materials 0.000 description 5
- 239000011669 selenium Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 238000006467 substitution reaction Methods 0.000 description 5
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical group [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 4
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 description 4
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 4
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 4
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 4
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 4
- 229910016006 MoSi Inorganic materials 0.000 description 4
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 4
- 125000000738 acetamido group Chemical group [H]C([H])([H])C(=O)N([H])[*] 0.000 description 4
- 150000001266 acyl halides Chemical class 0.000 description 4
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 4
- 125000003282 alkyl amino group Chemical group 0.000 description 4
- 125000004687 alkyl sulfinyl alkyl group Chemical group 0.000 description 4
- 125000004688 alkyl sulfonyl alkyl group Chemical group 0.000 description 4
- 125000004414 alkyl thio group Chemical group 0.000 description 4
- 229940059260 amidate Drugs 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 125000002102 aryl alkyloxo group Chemical group 0.000 description 4
- 125000005161 aryl oxy carbonyl group Chemical group 0.000 description 4
- 125000000852 azido group Chemical group *N=[N+]=[N-] 0.000 description 4
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 4
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 description 4
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- NPUKDXXFDDZOKR-LLVKDONJSA-N etomidate Chemical compound CCOC(=O)C1=CN=CN1[C@H](C)C1=CC=CC=C1 NPUKDXXFDDZOKR-LLVKDONJSA-N 0.000 description 4
- 125000000262 haloalkenyl group Chemical group 0.000 description 4
- 125000000232 haloalkynyl group Chemical group 0.000 description 4
- 125000002768 hydroxyalkyl group Chemical group 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 238000011068 loading method Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 229910021344 molybdenum silicide Inorganic materials 0.000 description 4
- 125000004971 nitroalkyl group Chemical group 0.000 description 4
- 238000002203 pretreatment Methods 0.000 description 4
- 230000005855 radiation Effects 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 238000002791 soaking Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 239000000126 substance Chemical group 0.000 description 4
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 4
- 125000001984 thiazolidinyl group Chemical group 0.000 description 4
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 4
- 125000006700 (C1-C6) alkylthio group Chemical group 0.000 description 3
- POILWHVDKZOXJZ-ARJAWSKDSA-M (z)-4-oxopent-2-en-2-olate Chemical compound C\C([O-])=C\C(C)=O POILWHVDKZOXJZ-ARJAWSKDSA-M 0.000 description 3
- HYZJCKYKOHLVJF-UHFFFAOYSA-N 1H-benzimidazole Chemical compound C1=CC=C2NC=NC2=C1 HYZJCKYKOHLVJF-UHFFFAOYSA-N 0.000 description 3
- MSXVEPNJUHWQHW-UHFFFAOYSA-N 2-methylbutan-2-ol Chemical compound CCC(C)(C)O MSXVEPNJUHWQHW-UHFFFAOYSA-N 0.000 description 3
- 229910015900 BF3 Inorganic materials 0.000 description 3
- QMMFVYPAHWMCMS-UHFFFAOYSA-N Dimethyl sulfide Chemical compound CSC QMMFVYPAHWMCMS-UHFFFAOYSA-N 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 3
- QMTOADWOYGJTAJ-UHFFFAOYSA-N OC(=O)N1CCCC1=N Chemical class OC(=O)N1CCCC1=N QMTOADWOYGJTAJ-UHFFFAOYSA-N 0.000 description 3
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 3
- 229920001774 Perfluoroether Polymers 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- 125000004423 acyloxy group Chemical group 0.000 description 3
- 150000007824 aliphatic compounds Chemical class 0.000 description 3
- 125000005217 alkenylheteroaryl group Chemical group 0.000 description 3
- 125000006350 alkyl thio alkyl group Chemical group 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 125000003277 amino group Chemical group 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 150000001491 aromatic compounds Chemical class 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 125000005335 azido alkyl group Chemical group 0.000 description 3
- 125000001246 bromo group Chemical group Br* 0.000 description 3
- 239000001569 carbon dioxide Substances 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 3
- 125000002091 cationic group Chemical group 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- 125000001309 chloro group Chemical group Cl* 0.000 description 3
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 3
- 125000000000 cycloalkoxy group Chemical group 0.000 description 3
- 125000005112 cycloalkylalkoxy group Chemical group 0.000 description 3
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 3
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 3
- XNMQEEKYCVKGBD-UHFFFAOYSA-N dimethylacetylene Natural products CC#CC XNMQEEKYCVKGBD-UHFFFAOYSA-N 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- ZRALSGWEFCBTJO-UHFFFAOYSA-O guanidinium Chemical compound NC(N)=[NH2+] ZRALSGWEFCBTJO-UHFFFAOYSA-O 0.000 description 3
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 3
- 150000004820 halides Chemical class 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 125000001041 indolyl group Chemical group 0.000 description 3
- 239000011630 iodine Chemical group 0.000 description 3
- 125000002346 iodo group Chemical group I* 0.000 description 3
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 3
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 3
- 125000001786 isothiazolyl group Chemical group 0.000 description 3
- 229910052743 krypton Inorganic materials 0.000 description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 3
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 125000000018 nitroso group Chemical group N(=O)* 0.000 description 3
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 3
- 238000000678 plasma activation Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 125000001453 quaternary ammonium group Chemical group 0.000 description 3
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 3
- 125000003107 substituted aryl group Chemical group 0.000 description 3
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 3
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 3
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 125000004738 (C1-C6) alkyl sulfinyl group Chemical group 0.000 description 2
- 125000004739 (C1-C6) alkylsulfonyl group Chemical group 0.000 description 2
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical class CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 2
- ZGEGCLOFRBLKSE-UHFFFAOYSA-N 1-Heptene Chemical compound CCCCCC=C ZGEGCLOFRBLKSE-UHFFFAOYSA-N 0.000 description 2
- LIKMAJRDDDTEIG-UHFFFAOYSA-N 1-hexene Chemical compound CCCCC=C LIKMAJRDDDTEIG-UHFFFAOYSA-N 0.000 description 2
- KWKAKUADMBZCLK-UHFFFAOYSA-N 1-octene Chemical compound CCCCCCC=C KWKAKUADMBZCLK-UHFFFAOYSA-N 0.000 description 2
- SIKJAQJRHWYJAI-UHFFFAOYSA-O 1H-indol-1-ium Chemical class C1=CC=C2[NH2+]C=CC2=C1 SIKJAQJRHWYJAI-UHFFFAOYSA-O 0.000 description 2
- YRAJNWYBUCUFBD-UHFFFAOYSA-N 2,2,6,6-tetramethylheptane-3,5-dione Chemical compound CC(C)(C)C(=O)CC(=O)C(C)(C)C YRAJNWYBUCUFBD-UHFFFAOYSA-N 0.000 description 2
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 description 2
- VHMICKWLTGFITH-UHFFFAOYSA-N 2H-isoindole Chemical class C1=CC=CC2=CNC=C21 VHMICKWLTGFITH-UHFFFAOYSA-N 0.000 description 2
- FHVDTGUDJYJELY-UHFFFAOYSA-N 6-{[2-carboxy-4,5-dihydroxy-6-(phosphanyloxy)oxan-3-yl]oxy}-4,5-dihydroxy-3-phosphanyloxane-2-carboxylic acid Chemical compound O1C(C(O)=O)C(P)C(O)C(O)C1OC1C(C(O)=O)OC(OP)C(O)C1O FHVDTGUDJYJELY-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 description 2
- CSKSYFFRZCALAP-UHFFFAOYSA-N C1(C=CC=C1)[Mo+] Chemical compound C1(C=CC=C1)[Mo+] CSKSYFFRZCALAP-UHFFFAOYSA-N 0.000 description 2
- 125000000882 C2-C6 alkenyl group Chemical group 0.000 description 2
- 125000003601 C2-C6 alkynyl group Chemical group 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- KRKNYBCHXYNGOX-UHFFFAOYSA-K Citrate Chemical compound [O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O KRKNYBCHXYNGOX-UHFFFAOYSA-K 0.000 description 2
- HTJDQJBWANPRPF-UHFFFAOYSA-N Cyclopropylamine Chemical compound NC1CC1 HTJDQJBWANPRPF-UHFFFAOYSA-N 0.000 description 2
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 2
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical class OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- ZRALSGWEFCBTJO-UHFFFAOYSA-N Guanidine Chemical compound NC(N)=N ZRALSGWEFCBTJO-UHFFFAOYSA-N 0.000 description 2
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 2
- 229910015275 MoF 6 Inorganic materials 0.000 description 2
- JLTDJTHDQAWBAV-UHFFFAOYSA-N N,N-dimethylaniline Chemical compound CN(C)C1=CC=CC=C1 JLTDJTHDQAWBAV-UHFFFAOYSA-N 0.000 description 2
- JCXJVPUVTGWSNB-UHFFFAOYSA-N Nitrogen dioxide Chemical compound O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical group CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- 229910019142 PO4 Inorganic materials 0.000 description 2
- URLKBWYHVLBVBO-UHFFFAOYSA-N Para-Xylene Chemical group CC1=CC=C(C)C=C1 URLKBWYHVLBVBO-UHFFFAOYSA-N 0.000 description 2
- XBDQKXXYIPTUBI-UHFFFAOYSA-M Propionate Chemical compound CCC([O-])=O XBDQKXXYIPTUBI-UHFFFAOYSA-M 0.000 description 2
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical compound C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 2
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 2
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 description 2
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 description 2
- 150000001298 alcohols Chemical class 0.000 description 2
- 229940072056 alginate Drugs 0.000 description 2
- 235000010443 alginic acid Nutrition 0.000 description 2
- 229920000615 alginic acid Polymers 0.000 description 2
- 150000004996 alkyl benzenes Chemical class 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 description 2
- XYOVOXDWRFGKEX-UHFFFAOYSA-N azepine Chemical class N1C=CC=CC=C1 XYOVOXDWRFGKEX-UHFFFAOYSA-N 0.000 description 2
- 150000001555 benzenes Chemical class 0.000 description 2
- 125000000499 benzofuranyl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 125000001164 benzothiazolyl group Chemical group S1C(=NC2=C1C=CC=C2)* 0.000 description 2
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 125000004541 benzoxazolyl group Chemical group O1C(=NC2=C1C=CC=C2)* 0.000 description 2
- WGQKYBSKWIADBV-UHFFFAOYSA-N benzylamine Chemical compound NCC1=CC=CC=C1 WGQKYBSKWIADBV-UHFFFAOYSA-N 0.000 description 2
- UZHYHBPCAGKHGZ-UHFFFAOYSA-N bicyclo[2.2.1]hepta-2,5-diene;carbon monoxide;molybdenum Chemical compound [Mo].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].C1=CC2C=CC1C2 UZHYHBPCAGKHGZ-UHFFFAOYSA-N 0.000 description 2
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical class Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 2
- IAQRGUVFOMOMEM-UHFFFAOYSA-N but-2-ene Chemical compound CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 125000001589 carboacyl group Chemical group 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- 229950005499 carbon tetrachloride Drugs 0.000 description 2
- NEHMKBQYUWJMIP-UHFFFAOYSA-N chloromethane Chemical compound ClC NEHMKBQYUWJMIP-UHFFFAOYSA-N 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- RWGFKTVRMDUZSP-UHFFFAOYSA-N cumene Chemical compound CC(C)C1=CC=CC=C1 RWGFKTVRMDUZSP-UHFFFAOYSA-N 0.000 description 2
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- HGCIXCUEYOPUTN-UHFFFAOYSA-N cyclohexene Chemical compound C1CCC=CC1 HGCIXCUEYOPUTN-UHFFFAOYSA-N 0.000 description 2
- YQZUTDPJTAZPQJ-UHFFFAOYSA-L cyclopentane;dichloromolybdenum Chemical compound Cl[Mo]Cl.[CH]1[CH][CH][CH][CH]1.[CH]1[CH][CH][CH][CH]1 YQZUTDPJTAZPQJ-UHFFFAOYSA-L 0.000 description 2
- LPIQUOYDBNQMRZ-UHFFFAOYSA-N cyclopentene Chemical compound C1CC=CC1 LPIQUOYDBNQMRZ-UHFFFAOYSA-N 0.000 description 2
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- LJSQFQKUNVCTIA-UHFFFAOYSA-N diethyl sulfide Chemical compound CCSCC LJSQFQKUNVCTIA-UHFFFAOYSA-N 0.000 description 2
- 125000004852 dihydrofuranyl group Chemical group O1C(CC=C1)* 0.000 description 2
- 125000001070 dihydroindolyl group Chemical group N1(CCC2=CC=CC=C12)* 0.000 description 2
- 125000005057 dihydrothienyl group Chemical group S1C(CC=C1)* 0.000 description 2
- 239000000539 dimer Substances 0.000 description 2
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 2
- ZUOUZKKEUPVFJK-UHFFFAOYSA-N diphenyl Chemical compound C1=CC=CC=C1C1=CC=CC=C1 ZUOUZKKEUPVFJK-UHFFFAOYSA-N 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical compound [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- MOTZDAYCYVMXPC-UHFFFAOYSA-N dodecyl hydrogen sulfate Chemical compound CCCCCCCCCCCCOS(O)(=O)=O MOTZDAYCYVMXPC-UHFFFAOYSA-N 0.000 description 2
- 229940043264 dodecyl sulfate Drugs 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- FHYICEHKTRQYRP-UHFFFAOYSA-N fluoro(oxo)borane Chemical compound FB=O FHYICEHKTRQYRP-UHFFFAOYSA-N 0.000 description 2
- 125000002541 furyl group Chemical group 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 150000008282 halocarbons Chemical class 0.000 description 2
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 2
- 150000003949 imides Chemical class 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- HOBCFUWDNJPFHB-UHFFFAOYSA-N indolizine Chemical class C1=CC=CN2C=CC=C21 HOBCFUWDNJPFHB-UHFFFAOYSA-N 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- BMFVGAAISNGQNM-UHFFFAOYSA-N isopentylamine Chemical compound CC(C)CCN BMFVGAAISNGQNM-UHFFFAOYSA-N 0.000 description 2
- IVSZLXZYQVIEFR-UHFFFAOYSA-N m-xylene Chemical group CC1=CC=CC(C)=C1 IVSZLXZYQVIEFR-UHFFFAOYSA-N 0.000 description 2
- 229940049920 malate Drugs 0.000 description 2
- BJEPYKJPYRNKOW-UHFFFAOYSA-L malate(2-) Chemical compound [O-]C(=O)C(O)CC([O-])=O BJEPYKJPYRNKOW-UHFFFAOYSA-L 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 229940102396 methyl bromide Drugs 0.000 description 2
- UAEPNZWRGJTJPN-UHFFFAOYSA-N methylcyclohexane Chemical compound CC1CCCCC1 UAEPNZWRGJTJPN-UHFFFAOYSA-N 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 150000002825 nitriles Chemical class 0.000 description 2
- 231100000252 nontoxic Toxicity 0.000 description 2
- 230000003000 nontoxic effect Effects 0.000 description 2
- 150000001282 organosilanes Chemical class 0.000 description 2
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- YWAKXRMUMFPDSH-UHFFFAOYSA-N pentene Chemical compound CCCC=C YWAKXRMUMFPDSH-UHFFFAOYSA-N 0.000 description 2
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 2
- 239000010452 phosphate Substances 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229940075930 picrate Drugs 0.000 description 2
- OXNIZHLAWKMVMX-UHFFFAOYSA-M picrate anion Chemical compound [O-]C1=C([N+]([O-])=O)C=C([N+]([O-])=O)C=C1[N+]([O-])=O OXNIZHLAWKMVMX-UHFFFAOYSA-M 0.000 description 2
- IUGYQRQAERSCNH-UHFFFAOYSA-M pivalate Chemical compound CC(C)(C)C([O-])=O IUGYQRQAERSCNH-UHFFFAOYSA-M 0.000 description 2
- 229950010765 pivalate Drugs 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 125000004309 pyranyl group Chemical group O1C(C=CC=C1)* 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-O pyridinium Chemical compound C1=CC=[NH+]C=C1 JUJWROOIHBZHMG-UHFFFAOYSA-O 0.000 description 2
- 125000000714 pyrimidinyl group Chemical group 0.000 description 2
- 125000002943 quinolinyl group Chemical group N1=C(C=CC2=CC=CC=C12)* 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 229930195734 saturated hydrocarbon Natural products 0.000 description 2
- BHRZNVHARXXAHW-UHFFFAOYSA-N sec-butylamine Chemical compound CCC(C)N BHRZNVHARXXAHW-UHFFFAOYSA-N 0.000 description 2
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 238000010408 sweeping Methods 0.000 description 2
- UNWUYTNKSRTDDC-UHFFFAOYSA-N tert-butylsilane Chemical compound CC(C)(C)[SiH3] UNWUYTNKSRTDDC-UHFFFAOYSA-N 0.000 description 2
- HJUGFYREWKUQJT-UHFFFAOYSA-N tetrabromomethane Chemical compound BrC(Br)(Br)Br HJUGFYREWKUQJT-UHFFFAOYSA-N 0.000 description 2
- ZFXYFBGIUFBOJW-UHFFFAOYSA-N theophylline Chemical compound O=C1N(C)C(=O)N(C)C2=C1NC=N2 ZFXYFBGIUFBOJW-UHFFFAOYSA-N 0.000 description 2
- 125000001544 thienyl group Chemical group 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- 229910021324 titanium aluminide Inorganic materials 0.000 description 2
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 2
- 125000001425 triazolyl group Chemical group 0.000 description 2
- AQRLNPVMDITEJU-UHFFFAOYSA-N triethylsilane Chemical compound CC[SiH](CC)CC AQRLNPVMDITEJU-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- CSRZQMIRAZTJOY-UHFFFAOYSA-N trimethylsilyl iodide Chemical compound C[Si](C)(C)I CSRZQMIRAZTJOY-UHFFFAOYSA-N 0.000 description 2
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 2
- LSPHULWDVZXLIL-UHFFFAOYSA-N (+/-)-Camphoric acid Chemical compound CC1(C)C(C(O)=O)CCC1(C)C(O)=O LSPHULWDVZXLIL-UHFFFAOYSA-N 0.000 description 1
- WYTZZXDRDKSJID-UHFFFAOYSA-N (3-aminopropyl)triethoxysilane Chemical compound CCO[Si](OCC)(OCC)CCCN WYTZZXDRDKSJID-UHFFFAOYSA-N 0.000 description 1
- 125000000171 (C1-C6) haloalkyl group Chemical group 0.000 description 1
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 description 1
- NSGXIBWMJZWTPY-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropane Chemical compound FC(F)(F)CC(F)(F)F NSGXIBWMJZWTPY-UHFFFAOYSA-N 0.000 description 1
- PWMJXZJISGDARB-UHFFFAOYSA-N 1,1,2,2,3,3,4,4,5,5-decafluorocyclopentane Chemical compound FC1(F)C(F)(F)C(F)(F)C(F)(F)C1(F)F PWMJXZJISGDARB-UHFFFAOYSA-N 0.000 description 1
- GQUXQQYWQKRCPL-UHFFFAOYSA-N 1,1,2,2,3,3-hexafluorocyclopropane Chemical compound FC1(F)C(F)(F)C1(F)F GQUXQQYWQKRCPL-UHFFFAOYSA-N 0.000 description 1
- PBWHQPOHADDEFU-UHFFFAOYSA-N 1,1,2,3,3,4,4,5,5,5-decafluoropent-1-ene Chemical compound FC(F)=C(F)C(F)(F)C(F)(F)C(F)(F)F PBWHQPOHADDEFU-UHFFFAOYSA-N 0.000 description 1
- YBMDPYAEZDJWNY-UHFFFAOYSA-N 1,2,3,3,4,4,5,5-octafluorocyclopentene Chemical compound FC1=C(F)C(F)(F)C(F)(F)C1(F)F YBMDPYAEZDJWNY-UHFFFAOYSA-N 0.000 description 1
- QVHWOZCZUNPZPW-UHFFFAOYSA-N 1,2,3,3,4,4-hexafluorocyclobutene Chemical compound FC1=C(F)C(F)(F)C1(F)F QVHWOZCZUNPZPW-UHFFFAOYSA-N 0.000 description 1
- MWEMHQOFWHBFFW-UHFFFAOYSA-N 1,2,3,3,4,5,6,6-octafluorocyclohexa-1,4-diene Chemical compound FC1=C(F)C(F)(F)C(F)=C(F)C1(F)F MWEMHQOFWHBFFW-UHFFFAOYSA-N 0.000 description 1
- CKKUKLFPPBJXHJ-UHFFFAOYSA-N 1,2,3,3,4-pentafluoro-4-(1,2,2-trifluoroethenyl)cyclobutene Chemical compound FC(F)=C(F)C1(F)C(F)=C(F)C1(F)F CKKUKLFPPBJXHJ-UHFFFAOYSA-N 0.000 description 1
- JZKAJIFHBZJCAI-UHFFFAOYSA-N 1,2-ditert-butylhydrazine Chemical compound CC(C)(C)NNC(C)(C)C JZKAJIFHBZJCAI-UHFFFAOYSA-N 0.000 description 1
- CIISBYKBBMFLEZ-UHFFFAOYSA-N 1,2-oxazolidine Chemical class C1CNOC1 CIISBYKBBMFLEZ-UHFFFAOYSA-N 0.000 description 1
- IGPWAITWXGQPMD-UHFFFAOYSA-N 1-fluorobuta-1,3-diene Chemical compound FC=CC=C IGPWAITWXGQPMD-UHFFFAOYSA-N 0.000 description 1
- CGHIBGNXEGJPQZ-UHFFFAOYSA-N 1-hexyne Chemical compound CCCCC#C CGHIBGNXEGJPQZ-UHFFFAOYSA-N 0.000 description 1
- WLXGQMVCYPUOLM-UHFFFAOYSA-N 1-hydroxyethanesulfonic acid Chemical compound CC(O)S(O)(=O)=O WLXGQMVCYPUOLM-UHFFFAOYSA-N 0.000 description 1
- IBXNCJKFFQIKKY-UHFFFAOYSA-N 1-pentyne Chemical compound CCCC#C IBXNCJKFFQIKKY-UHFFFAOYSA-N 0.000 description 1
- 125000000530 1-propynyl group Chemical group [H]C([H])([H])C#C* 0.000 description 1
- ONJLFWFRRWJUQK-UHFFFAOYSA-N 1h-indazole;1h-indole Chemical class C1=CC=C2NC=CC2=C1.C1=CC=C2C=NNC2=C1 ONJLFWFRRWJUQK-UHFFFAOYSA-N 0.000 description 1
- WGGNJZRNHUJNEM-UHFFFAOYSA-N 2,2,4,4,6,6-hexamethyl-1,3,5,2,4,6-triazatrisilinane Chemical compound C[Si]1(C)N[Si](C)(C)N[Si](C)(C)N1 WGGNJZRNHUJNEM-UHFFFAOYSA-N 0.000 description 1
- MMMVJDFEFZDIIM-UHFFFAOYSA-N 2-$l^{1}-azanyl-2-methylpropane Chemical compound CC(C)(C)[N] MMMVJDFEFZDIIM-UHFFFAOYSA-N 0.000 description 1
- GRWKNBPOGBTZMN-UHFFFAOYSA-N 2-benzyl-3-phenylpropane-1,2-diamine Chemical compound C=1C=CC=CC=1CC(N)(CN)CC1=CC=CC=C1 GRWKNBPOGBTZMN-UHFFFAOYSA-N 0.000 description 1
- MAYUMUDTQDNZBD-UHFFFAOYSA-N 2-chloroethylsilane Chemical compound [SiH3]CCCl MAYUMUDTQDNZBD-UHFFFAOYSA-N 0.000 description 1
- CMWSRWTXVQLHNX-UHFFFAOYSA-N 2-methyl-1-(2-methylpropylsulfanyl)propane Chemical compound CC(C)CSCC(C)C CMWSRWTXVQLHNX-UHFFFAOYSA-N 0.000 description 1
- VUGMARFZKDASCX-UHFFFAOYSA-N 2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N[SiH3] VUGMARFZKDASCX-UHFFFAOYSA-N 0.000 description 1
- LBLYYCQCTBFVLH-UHFFFAOYSA-M 2-methylbenzenesulfonate Chemical compound CC1=CC=CC=C1S([O-])(=O)=O LBLYYCQCTBFVLH-UHFFFAOYSA-M 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- 229940080296 2-naphthalenesulfonate Drugs 0.000 description 1
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 1
- BCHZICNRHXRCHY-UHFFFAOYSA-N 2h-oxazine Chemical class N1OC=CC=C1 BCHZICNRHXRCHY-UHFFFAOYSA-N 0.000 description 1
- QWNNGDMXFGRSPJ-UHFFFAOYSA-O 3-(5-nitrofuran-2-yl)-6,7-dihydro-5h-imidazo[2,1-b][1,3]thiazol-4-ium Chemical class O1C([N+](=O)[O-])=CC=C1C1=CSC2=[N+]1CCN2 QWNNGDMXFGRSPJ-UHFFFAOYSA-O 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-M 3-carboxy-2,3-dihydroxypropanoate Chemical compound OC(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-M 0.000 description 1
- ALKYHXVLJMQRLQ-UHFFFAOYSA-M 3-carboxynaphthalen-2-olate Chemical compound C1=CC=C2C=C(C([O-])=O)C(O)=CC2=C1 ALKYHXVLJMQRLQ-UHFFFAOYSA-M 0.000 description 1
- ZRPLANDPDWYOMZ-UHFFFAOYSA-N 3-cyclopentylpropionic acid Chemical compound OC(=O)CCC1CCCC1 ZRPLANDPDWYOMZ-UHFFFAOYSA-N 0.000 description 1
- MNTMWHBQGOKGDD-UHFFFAOYSA-N 3-methylbutylsilane Chemical compound CC(C)CC[SiH3] MNTMWHBQGOKGDD-UHFFFAOYSA-N 0.000 description 1
- SJECZPVISLOESU-UHFFFAOYSA-N 3-trimethoxysilylpropan-1-amine Chemical compound CO[Si](OC)(OC)CCCN SJECZPVISLOESU-UHFFFAOYSA-N 0.000 description 1
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 1
- KDCGOANMDULRCW-UHFFFAOYSA-N 7H-purine Chemical class N1=CNC2=NC=NC2=C1 KDCGOANMDULRCW-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- 102100037293 Atrial natriuretic peptide-converting enzyme Human genes 0.000 description 1
- 101710133555 Atrial natriuretic peptide-converting enzyme Proteins 0.000 description 1
- BVKZGUZCCUSVTD-UHFFFAOYSA-M Bicarbonate Chemical compound OC([O-])=O BVKZGUZCCUSVTD-UHFFFAOYSA-M 0.000 description 1
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-M Butyrate Chemical compound CCCC([O-])=O FERIUCNNQQJTOY-UHFFFAOYSA-M 0.000 description 1
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Natural products CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 description 1
- UAROLAOGTKBLCA-UHFFFAOYSA-N C(C)(CC)[SiH3].[Cl] Chemical compound C(C)(CC)[SiH3].[Cl] UAROLAOGTKBLCA-UHFFFAOYSA-N 0.000 description 1
- 125000006577 C1-C6 hydroxyalkyl group Chemical group 0.000 description 1
- FTVDJTDTZCYEAJ-UHFFFAOYSA-N C[Mo](C)(C)(C)C Chemical compound C[Mo](C)(C)(C)C FTVDJTDTZCYEAJ-UHFFFAOYSA-N 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- RGHNJXZEOKUKBD-SQOUGZDYSA-M D-gluconate Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C([O-])=O RGHNJXZEOKUKBD-SQOUGZDYSA-M 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N Dodecane Natural products CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- AVXURJPOCDRRFD-UHFFFAOYSA-N Hydroxylamine Chemical compound ON AVXURJPOCDRRFD-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- RAXXELZNTBOGNW-UHFFFAOYSA-O Imidazolium Chemical compound C1=C[NH+]=CN1 RAXXELZNTBOGNW-UHFFFAOYSA-O 0.000 description 1
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 description 1
- WHUUTDBJXJRKMK-VKHMYHEASA-N L-glutamic acid Chemical compound OC(=O)[C@@H](N)CCC(O)=O WHUUTDBJXJRKMK-VKHMYHEASA-N 0.000 description 1
- JVTAAEKCZFNVCJ-UHFFFAOYSA-M Lactate Chemical compound CC(O)C([O-])=O JVTAAEKCZFNVCJ-UHFFFAOYSA-M 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-L Malonate Chemical compound [O-]C(=O)CC([O-])=O OFOBLEOULBTSOW-UHFFFAOYSA-L 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- 229910015255 MoF6 Inorganic materials 0.000 description 1
- 229910015648 MoOF Inorganic materials 0.000 description 1
- SFLARCZJKUXPCE-UHFFFAOYSA-N N-butan-2-yl-N-silylbutan-2-amine Chemical compound CCC(C)N([SiH3])C(C)CC SFLARCZJKUXPCE-UHFFFAOYSA-N 0.000 description 1
- HQABUPZFAYXKJW-UHFFFAOYSA-N N-butylamine Natural products CCCCN HQABUPZFAYXKJW-UHFFFAOYSA-N 0.000 description 1
- CHJJGSNFBQVOTG-UHFFFAOYSA-N N-methyl-guanidine Natural products CNC(N)=N CHJJGSNFBQVOTG-UHFFFAOYSA-N 0.000 description 1
- MBBZMMPHUWSWHV-BDVNFPICSA-N N-methylglucamine Chemical compound CNC[C@H](O)[C@@H](O)[C@H](O)[C@H](O)CO MBBZMMPHUWSWHV-BDVNFPICSA-N 0.000 description 1
- 229910002651 NO3 Inorganic materials 0.000 description 1
- PVNIIMVLHYAWGP-UHFFFAOYSA-N Niacin Chemical compound OC(=O)C1=CC=CN=C1 PVNIIMVLHYAWGP-UHFFFAOYSA-N 0.000 description 1
- NHNBFGGVMKEFGY-UHFFFAOYSA-N Nitrate Chemical compound [O-][N+]([O-])=O NHNBFGGVMKEFGY-UHFFFAOYSA-N 0.000 description 1
- XYLBCSYWEHYIDM-UHFFFAOYSA-L O=[Mo](I)(I)=O Chemical compound O=[Mo](I)(I)=O XYLBCSYWEHYIDM-UHFFFAOYSA-L 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 1
- WYNCHZVNFNFDNH-UHFFFAOYSA-N Oxazolidine Chemical class C1COCN1 WYNCHZVNFNFDNH-UHFFFAOYSA-N 0.000 description 1
- NQRYJNQNLNOLGT-UHFFFAOYSA-O Piperidinium(1+) Chemical class C1CC[NH2+]CC1 NQRYJNQNLNOLGT-UHFFFAOYSA-O 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- KYQCOXFCLRTKLS-UHFFFAOYSA-N Pyrazine Chemical class C1=CN=CC=N1 KYQCOXFCLRTKLS-UHFFFAOYSA-N 0.000 description 1
- WTKZEGDFNFYCGP-UHFFFAOYSA-O Pyrazolium Chemical class C1=CN[NH+]=C1 WTKZEGDFNFYCGP-UHFFFAOYSA-O 0.000 description 1
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical class C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 1
- RWRDLPDLKQPQOW-UHFFFAOYSA-O Pyrrolidinium ion Chemical class C1CC[NH2+]C1 RWRDLPDLKQPQOW-UHFFFAOYSA-O 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910003691 SiBr Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-L Sulfate Chemical compound [O-]S([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 description 1
- 229920002253 Tannate Polymers 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 description 1
- ZMZDMBWJUHKJPS-UHFFFAOYSA-M Thiocyanate anion Chemical compound [S-]C#N ZMZDMBWJUHKJPS-UHFFFAOYSA-M 0.000 description 1
- YTPLMLYBLZKORZ-UHFFFAOYSA-O Thiophenium Chemical class [SH+]1C=CC=C1 YTPLMLYBLZKORZ-UHFFFAOYSA-O 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- NOJHQZPGGBLCPR-UHFFFAOYSA-N [Bi].[Sr].[Ti] Chemical compound [Bi].[Sr].[Ti] NOJHQZPGGBLCPR-UHFFFAOYSA-N 0.000 description 1
- CTUKRAIAEPZKSG-UHFFFAOYSA-L [Br-].[Br-].O=[Mo+2]=O Chemical compound [Br-].[Br-].O=[Mo+2]=O CTUKRAIAEPZKSG-UHFFFAOYSA-L 0.000 description 1
- BFKVXNPJXXJUGQ-UHFFFAOYSA-N [CH2]CCCC Chemical compound [CH2]CCCC BFKVXNPJXXJUGQ-UHFFFAOYSA-N 0.000 description 1
- MJYGPNBYCMSMFL-UHFFFAOYSA-N [O].C1CCOC1 Chemical compound [O].C1CCOC1 MJYGPNBYCMSMFL-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- OMVNFZVCYKQEIT-UHFFFAOYSA-N [Ti].[Zr].[Pt] Chemical compound [Ti].[Zr].[Pt] OMVNFZVCYKQEIT-UHFFFAOYSA-N 0.000 description 1
- KOOADCGQJDGAGA-UHFFFAOYSA-N [amino(dimethyl)silyl]methane Chemical group C[Si](C)(C)N KOOADCGQJDGAGA-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- WNLRTRBMVRJNCN-UHFFFAOYSA-L adipate(2-) Chemical compound [O-]C(=O)CCCCC([O-])=O WNLRTRBMVRJNCN-UHFFFAOYSA-L 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000003172 aldehyde group Chemical group 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 229910052784 alkaline earth metal Inorganic materials 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 150000001350 alkyl halides Chemical class 0.000 description 1
- AWUCVROLDVIAJX-UHFFFAOYSA-N alpha-glycerophosphate Natural products OCC(O)COP(O)(O)=O AWUCVROLDVIAJX-UHFFFAOYSA-N 0.000 description 1
- MIQVEZFSDIJTMW-UHFFFAOYSA-N aluminum hafnium(4+) oxygen(2-) Chemical compound [O-2].[Al+3].[Hf+4] MIQVEZFSDIJTMW-UHFFFAOYSA-N 0.000 description 1
- 150000001409 amidines Chemical class 0.000 description 1
- 125000000909 amidinium group Chemical group 0.000 description 1
- 150000001414 amino alcohols Chemical class 0.000 description 1
- 125000006620 amino-(C1-C6) alkyl group Chemical group 0.000 description 1
- APUPEJJSWDHEBO-UHFFFAOYSA-P ammonium molybdate Chemical compound [NH4+].[NH4+].[O-][Mo]([O-])(=O)=O APUPEJJSWDHEBO-UHFFFAOYSA-P 0.000 description 1
- 229940010552 ammonium molybdate Drugs 0.000 description 1
- 235000018660 ammonium molybdate Nutrition 0.000 description 1
- 239000011609 ammonium molybdate Substances 0.000 description 1
- 150000003863 ammonium salts Chemical class 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 150000001449 anionic compounds Chemical class 0.000 description 1
- 150000001450 anions Chemical class 0.000 description 1
- 150000004982 aromatic amines Chemical class 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 150000001502 aryl halides Chemical class 0.000 description 1
- 229940072107 ascorbate Drugs 0.000 description 1
- 235000010323 ascorbic acid Nutrition 0.000 description 1
- 239000011668 ascorbic acid Substances 0.000 description 1
- 229940009098 aspartate Drugs 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- ZSIQJIWKELUFRJ-UHFFFAOYSA-N azepane Chemical class C1CCCNCC1 ZSIQJIWKELUFRJ-UHFFFAOYSA-N 0.000 description 1
- 125000003725 azepanyl group Chemical group 0.000 description 1
- 125000002393 azetidinyl group Chemical group 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229940077388 benzenesulfonate Drugs 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-M benzenesulfonate Chemical compound [O-]S(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-M 0.000 description 1
- 125000003785 benzimidazolyl group Chemical group N1=C(NC2=C1C=CC=C2)* 0.000 description 1
- 229940050390 benzoate Drugs 0.000 description 1
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- GONOPSZTUGRENK-UHFFFAOYSA-N benzyl(trichloro)silane Chemical compound Cl[Si](Cl)(Cl)CC1=CC=CC=C1 GONOPSZTUGRENK-UHFFFAOYSA-N 0.000 description 1
- XMIIGOLPHOKFCH-UHFFFAOYSA-N beta-phenylpropanoic acid Natural products OC(=O)CCC1=CC=CC=C1 XMIIGOLPHOKFCH-UHFFFAOYSA-N 0.000 description 1
- 125000002619 bicyclic group Chemical group 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 235000010290 biphenyl Nutrition 0.000 description 1
- 239000004305 biphenyl Substances 0.000 description 1
- KUMGWCYRXZGGGP-UHFFFAOYSA-N bis(tert-butylimino)molybdenum(2+) dimethylazanide Chemical compound C(C)(C)(C)N=[Mo](N(C)C)(N(C)C)=NC(C)(C)C KUMGWCYRXZGGGP-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- UORVGPXVDQYIDP-BJUDXGSMSA-N borane Chemical compound [10BH3] UORVGPXVDQYIDP-BJUDXGSMSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- BGECDVWSWDRFSP-UHFFFAOYSA-N borazine Chemical compound B1NBNBN1 BGECDVWSWDRFSP-UHFFFAOYSA-N 0.000 description 1
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 description 1
- YMEKEHSRPZAOGO-UHFFFAOYSA-N boron triiodide Chemical compound IB(I)I YMEKEHSRPZAOGO-UHFFFAOYSA-N 0.000 description 1
- VBLDUBUUQYXSCG-UHFFFAOYSA-N butan-2-ylsilane Chemical compound CCC(C)[SiH3] VBLDUBUUQYXSCG-UHFFFAOYSA-N 0.000 description 1
- 125000005569 butenylene group Chemical group 0.000 description 1
- 125000005622 butynylene group Chemical group 0.000 description 1
- 125000004063 butyryl group Chemical group O=C([*])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- FATUQANACHZLRT-KMRXSBRUSA-L calcium glucoheptonate Chemical compound [Ca+2].OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C(O)C([O-])=O.OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C(O)C([O-])=O FATUQANACHZLRT-KMRXSBRUSA-L 0.000 description 1
- MIOPJNTWMNEORI-UHFFFAOYSA-N camphorsulfonic acid Chemical compound C1CC2(CS(O)(=O)=O)C(=O)CC1C2(C)C MIOPJNTWMNEORI-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 125000003739 carbamimidoyl group Chemical group C(N)(=N)* 0.000 description 1
- 125000002837 carbocyclic group Chemical group 0.000 description 1
- WQAVHVQYKSYTII-UHFFFAOYSA-N carbon monoxide;cyclohepta-1,3,5-triene;molybdenum Chemical group [Mo].[O+]#[C-].[O+]#[C-].[O+]#[C-].C1C=CC=CC=C1 WQAVHVQYKSYTII-UHFFFAOYSA-N 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 1
- 150000001735 carboxylic acids Chemical class 0.000 description 1
- 150000001767 cationic compounds Chemical class 0.000 description 1
- CRIVIYPBVUGWSC-UHFFFAOYSA-N chloro(propan-2-yl)silane Chemical compound CC(C)[SiH2]Cl CRIVIYPBVUGWSC-UHFFFAOYSA-N 0.000 description 1
- JQYKSDDVPXVEOL-UHFFFAOYSA-N chloro-hexyl-dimethylsilane Chemical compound CCCCCC[Si](C)(C)Cl JQYKSDDVPXVEOL-UHFFFAOYSA-N 0.000 description 1
- YGHUUVGIRWMJGE-UHFFFAOYSA-N chlorodimethylsilane Chemical compound C[SiH](C)Cl YGHUUVGIRWMJGE-UHFFFAOYSA-N 0.000 description 1
- AZFVLHQDIIJLJG-UHFFFAOYSA-N chloromethylsilane Chemical compound [SiH3]CCl AZFVLHQDIIJLJG-UHFFFAOYSA-N 0.000 description 1
- VDANGULDQQJODZ-UHFFFAOYSA-N chloroprocaine Chemical compound CCN(CC)CCOC(=O)C1=CC=C(N)C=C1Cl VDANGULDQQJODZ-UHFFFAOYSA-N 0.000 description 1
- 229960002023 chloroprocaine Drugs 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- OEYIOHPDSNJKLS-UHFFFAOYSA-N choline Chemical compound C[N+](C)(C)CCO OEYIOHPDSNJKLS-UHFFFAOYSA-N 0.000 description 1
- 229960001231 choline Drugs 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 150000001923 cyclic compounds Chemical group 0.000 description 1
- 150000001924 cycloalkanes Chemical class 0.000 description 1
- 150000001925 cycloalkenes Chemical class 0.000 description 1
- 125000000392 cycloalkenyl group Chemical group 0.000 description 1
- 125000004850 cyclobutylmethyl group Chemical group C1(CCC1)C* 0.000 description 1
- ZXIJMRYMVAMXQP-UHFFFAOYSA-N cycloheptene Chemical compound C1CCC=CCC1 ZXIJMRYMVAMXQP-UHFFFAOYSA-N 0.000 description 1
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- VMFHCJPMKUTMMQ-UHFFFAOYSA-N cyclopenta-2,4-dien-1-yl(trimethyl)silane Chemical compound C[Si](C)(C)C1C=CC=C1 VMFHCJPMKUTMMQ-UHFFFAOYSA-N 0.000 description 1
- 125000002433 cyclopentenyl group Chemical group C1(=CCCC1)* 0.000 description 1
- 125000004186 cyclopropylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000002704 decyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- KSFBTBXTZDJOHO-UHFFFAOYSA-N diaminosilicon Chemical compound N[Si]N KSFBTBXTZDJOHO-UHFFFAOYSA-N 0.000 description 1
- 229910000071 diazene Inorganic materials 0.000 description 1
- ACYGYJFTZSAZKR-UHFFFAOYSA-J dicalcium;2-[2-[bis(carboxylatomethyl)amino]ethyl-(carboxylatomethyl)amino]acetate Chemical compound [Ca+2].[Ca+2].[O-]C(=O)CN(CC([O-])=O)CCN(CC([O-])=O)CC([O-])=O ACYGYJFTZSAZKR-UHFFFAOYSA-J 0.000 description 1
- UWGIJJRGSGDBFJ-UHFFFAOYSA-N dichloromethylsilane Chemical compound [SiH3]C(Cl)Cl UWGIJJRGSGDBFJ-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- 125000005043 dihydropyranyl group Chemical group O1C(CCC=C1)* 0.000 description 1
- AIHCVGFMFDEUMO-UHFFFAOYSA-N diiodosilane Chemical compound I[SiH2]I AIHCVGFMFDEUMO-UHFFFAOYSA-N 0.000 description 1
- 229940043279 diisopropylamine Drugs 0.000 description 1
- SWSQBOPZIKWTGO-UHFFFAOYSA-N dimethylaminoamidine Natural products CN(C)C(N)=N SWSQBOPZIKWTGO-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- 125000000532 dioxanyl group Chemical group 0.000 description 1
- 125000000597 dioxinyl group Chemical group 0.000 description 1
- GCMDLPXRCVHIMG-UHFFFAOYSA-N dioxomolybdenum(2+) Chemical compound O=[Mo+2]=O GCMDLPXRCVHIMG-UHFFFAOYSA-N 0.000 description 1
- JCFNAADCQWXIJD-UHFFFAOYSA-L dioxomolybdenum(2+);dichloride Chemical compound Cl[Mo](Cl)(=O)=O JCFNAADCQWXIJD-UHFFFAOYSA-L 0.000 description 1
- USIUVYZYUHIAEV-UHFFFAOYSA-N diphenyl ether Chemical compound C=1C=CC=CC=1OC1=CC=CC=C1 USIUVYZYUHIAEV-UHFFFAOYSA-N 0.000 description 1
- 239000001177 diphosphate Substances 0.000 description 1
- XPPKVPWEQAFLFU-UHFFFAOYSA-J diphosphate(4-) Chemical compound [O-]P([O-])(=O)OP([O-])([O-])=O XPPKVPWEQAFLFU-UHFFFAOYSA-J 0.000 description 1
- 235000011180 diphosphates Nutrition 0.000 description 1
- NJDNXYGOVLYJHP-UHFFFAOYSA-L disodium;2-(3-oxido-6-oxoxanthen-9-yl)benzoate Chemical class [Na+].[Na+].[O-]C(=O)C1=CC=CC=C1C1=C2C=CC(=O)C=C2OC2=CC([O-])=CC=C21 NJDNXYGOVLYJHP-UHFFFAOYSA-L 0.000 description 1
- PRWJWJFNTJLFKK-UHFFFAOYSA-N ditert-butyl(chloro)silicon Chemical compound CC(C)(C)[Si](Cl)C(C)(C)C PRWJWJFNTJLFKK-UHFFFAOYSA-N 0.000 description 1
- LFLMSLJSSVNEJH-UHFFFAOYSA-N ditert-butyl(silyl)silane Chemical compound CC(C)(C)[SiH]([SiH3])C(C)(C)C LFLMSLJSSVNEJH-UHFFFAOYSA-N 0.000 description 1
- 125000005883 dithianyl group Chemical group 0.000 description 1
- 125000005303 dithiazolyl group Chemical group S1SNC(=C1)* 0.000 description 1
- POULHZVOKOAJMA-UHFFFAOYSA-M dodecanoate Chemical compound CCCCCCCCCCCC([O-])=O POULHZVOKOAJMA-UHFFFAOYSA-M 0.000 description 1
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 229940009662 edetate Drugs 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- CCIVGXIOQKPBKL-UHFFFAOYSA-M ethanesulfonate Chemical compound CCS([O-])(=O)=O CCIVGXIOQKPBKL-UHFFFAOYSA-M 0.000 description 1
- FWDBOZPQNFPOLF-UHFFFAOYSA-N ethenyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)C=C FWDBOZPQNFPOLF-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- ZEMJULNQFSGMTN-UHFFFAOYSA-N ethylbenzene;molybdenum Chemical compound [Mo].CCC1=CC=CC=C1.CCC1=CC=CC=C1 ZEMJULNQFSGMTN-UHFFFAOYSA-N 0.000 description 1
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- RMBPEFMHABBEKP-UHFFFAOYSA-N fluorene Chemical compound C1=CC=C2C3=C[CH]C=CC3=CC2=C1 RMBPEFMHABBEKP-UHFFFAOYSA-N 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000012458 free base Substances 0.000 description 1
- VZCYOOQTPOCHFL-OWOJBTEDSA-L fumarate(2-) Chemical compound [O-]C(=O)\C=C\C([O-])=O VZCYOOQTPOCHFL-OWOJBTEDSA-L 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 229940050410 gluconate Drugs 0.000 description 1
- 229930195712 glutamate Natural products 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 229960004198 guanidine Drugs 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 125000004438 haloalkoxy group Chemical group 0.000 description 1
- 150000005171 halobenzenes Chemical class 0.000 description 1
- 125000001475 halogen functional group Chemical group 0.000 description 1
- YVXHZKKCZYLQOP-UHFFFAOYSA-N hept-1-yne Chemical compound CCCCCC#C YVXHZKKCZYLQOP-UHFFFAOYSA-N 0.000 description 1
- 150000002391 heterocyclic compounds Chemical class 0.000 description 1
- 125000005844 heterocyclyloxy group Chemical group 0.000 description 1
- IPCSVZSSVZVIGE-UHFFFAOYSA-M hexadecanoate Chemical compound CCCCCCCCCCCCCCCC([O-])=O IPCSVZSSVZVIGE-UHFFFAOYSA-M 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- HCDGVLDPFQMKDK-UHFFFAOYSA-N hexafluoropropylene Chemical group FC(F)=C(F)C(F)(F)F HCDGVLDPFQMKDK-UHFFFAOYSA-N 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- FUZZWVXGSFPDMH-UHFFFAOYSA-N hexanoic acid Chemical compound CCCCCC(O)=O FUZZWVXGSFPDMH-UHFFFAOYSA-N 0.000 description 1
- 125000001183 hydrocarbyl group Chemical group 0.000 description 1
- ZMZDMBWJUHKJPS-UHFFFAOYSA-N hydrogen thiocyanate Natural products SC#N ZMZDMBWJUHKJPS-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-M hydrogensulfate Chemical compound OS([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-M 0.000 description 1
- GLUUGHFHXGJENI-UHFFFAOYSA-O hydron piperazine Chemical class [H+].C1CNCCN1 GLUUGHFHXGJENI-UHFFFAOYSA-O 0.000 description 1
- CTAPFRYPJLPFDF-UHFFFAOYSA-O hydron;1,2-oxazole Chemical class C=1C=[NH+]OC=1 CTAPFRYPJLPFDF-UHFFFAOYSA-O 0.000 description 1
- ZCQWOFVYLHDMMC-UHFFFAOYSA-O hydron;1,3-oxazole Chemical class C1=COC=[NH+]1 ZCQWOFVYLHDMMC-UHFFFAOYSA-O 0.000 description 1
- CZPWVGJYEJSRLH-UHFFFAOYSA-O hydron;pyrimidine Chemical class C1=CN=C[NH+]=C1 CZPWVGJYEJSRLH-UHFFFAOYSA-O 0.000 description 1
- SMWDFEZZVXVKRB-UHFFFAOYSA-O hydron;quinoline Chemical class [NH+]1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-O 0.000 description 1
- ORJFXWYTRPGGRK-UHFFFAOYSA-N hydroxy-tris(2-methylbutan-2-yloxy)silane Chemical compound CCC(C)(C)O[Si](O)(OC(C)(C)CC)OC(C)(C)CC ORJFXWYTRPGGRK-UHFFFAOYSA-N 0.000 description 1
- HLDBBQREZCVBMA-UHFFFAOYSA-N hydroxy-tris[(2-methylpropan-2-yl)oxy]silane Chemical compound CC(C)(C)O[Si](O)(OC(C)(C)C)OC(C)(C)C HLDBBQREZCVBMA-UHFFFAOYSA-N 0.000 description 1
- 125000004029 hydroxymethyl group Chemical group [H]OC([H])([H])* 0.000 description 1
- 125000002632 imidazolidinyl group Chemical group 0.000 description 1
- MTNDZQHUAFNZQY-UHFFFAOYSA-N imidazoline Chemical class C1CN=CN1 MTNDZQHUAFNZQY-UHFFFAOYSA-N 0.000 description 1
- 125000002636 imidazolinyl group Chemical group 0.000 description 1
- 150000004693 imidazolium salts Chemical class 0.000 description 1
- 125000002883 imidazolyl group Chemical group 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- MDKDXDROOSZTMM-UHFFFAOYSA-N iodo hypoiodite molybdenum Chemical compound [Mo].IOI MDKDXDROOSZTMM-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- SUMDYPCJJOFFON-UHFFFAOYSA-N isethionic acid Chemical compound OCCS(O)(=O)=O SUMDYPCJJOFFON-UHFFFAOYSA-N 0.000 description 1
- GWVMLCQWXVFZCN-UHFFFAOYSA-N isoindoline Chemical class C1=CC=C2CNCC2=C1 GWVMLCQWXVFZCN-UHFFFAOYSA-N 0.000 description 1
- 150000002527 isonitriles Chemical class 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- AWJUIBRHMBBTKR-UHFFFAOYSA-O isoquinolin-2-ium Chemical class C1=[NH+]C=CC2=CC=CC=C21 AWJUIBRHMBBTKR-UHFFFAOYSA-O 0.000 description 1
- 125000002183 isoquinolinyl group Chemical group C1(=NC=CC2=CC=CC=C12)* 0.000 description 1
- 125000005956 isoquinolyl group Chemical group 0.000 description 1
- ZLTPDFXIESTBQG-UHFFFAOYSA-N isothiazole Chemical class C=1C=NSC=1 ZLTPDFXIESTBQG-UHFFFAOYSA-N 0.000 description 1
- 125000004628 isothiazolidinyl group Chemical group S1N(CCC1)* 0.000 description 1
- 125000000842 isoxazolyl group Chemical group 0.000 description 1
- 229940001447 lactate Drugs 0.000 description 1
- JYTUSYBCFIZPBE-AMTLMPIISA-M lactobionate Chemical compound [O-]C(=O)[C@H](O)[C@@H](O)[C@@H]([C@H](O)CO)O[C@@H]1O[C@H](CO)[C@H](O)[C@H](O)[C@H]1O JYTUSYBCFIZPBE-AMTLMPIISA-M 0.000 description 1
- 229940099584 lactobionate Drugs 0.000 description 1
- 229940070765 laurate Drugs 0.000 description 1
- 125000002463 lignoceryl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000012035 limiting reagent Substances 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 description 1
- IWYDHOAUDWTVEP-UHFFFAOYSA-M mandelate Chemical compound [O-]C(=O)C(O)C1=CC=CC=C1 IWYDHOAUDWTVEP-UHFFFAOYSA-M 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 description 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- CNEOGBIICRAWOH-UHFFFAOYSA-N methane;molybdenum Chemical compound C.[Mo] CNEOGBIICRAWOH-UHFFFAOYSA-N 0.000 description 1
- 229940050176 methyl chloride Drugs 0.000 description 1
- LRMHVVPPGGOAJQ-UHFFFAOYSA-N methyl nitrate Chemical compound CO[N+]([O-])=O LRMHVVPPGGOAJQ-UHFFFAOYSA-N 0.000 description 1
- JZMJDSHXVKJFKW-UHFFFAOYSA-M methyl sulfate(1-) Chemical compound COS([O-])(=O)=O JZMJDSHXVKJFKW-UHFFFAOYSA-M 0.000 description 1
- GYNNXHKOJHMOHS-UHFFFAOYSA-N methyl-cycloheptane Natural products CC1CCCCCC1 GYNNXHKOJHMOHS-UHFFFAOYSA-N 0.000 description 1
- IFVRUKGTKXWWQF-UHFFFAOYSA-N methylaminosilicon Chemical compound CN[Si] IFVRUKGTKXWWQF-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- RLCOZMCCEKDUPY-UHFFFAOYSA-H molybdenum hexafluoride Chemical compound F[Mo](F)(F)(F)(F)F RLCOZMCCEKDUPY-UHFFFAOYSA-H 0.000 description 1
- LNDHQUDDOUZKQV-UHFFFAOYSA-J molybdenum tetrafluoride Chemical compound F[Mo](F)(F)F LNDHQUDDOUZKQV-UHFFFAOYSA-J 0.000 description 1
- JKQOBWVOAYFWKG-UHFFFAOYSA-N molybdenum trioxide Chemical compound O=[Mo](=O)=O JKQOBWVOAYFWKG-UHFFFAOYSA-N 0.000 description 1
- TXCOQXKFOPSCPZ-UHFFFAOYSA-J molybdenum(4+);tetraacetate Chemical compound [Mo+4].CC([O-])=O.CC([O-])=O.CC([O-])=O.CC([O-])=O TXCOQXKFOPSCPZ-UHFFFAOYSA-J 0.000 description 1
- QKKCMWPOASMDQR-UHFFFAOYSA-J molybdenum(4+);tetraiodide Chemical compound I[Mo](I)(I)I QKKCMWPOASMDQR-UHFFFAOYSA-J 0.000 description 1
- PDKHNCYLMVRIFV-UHFFFAOYSA-H molybdenum;hexachloride Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Mo] PDKHNCYLMVRIFV-UHFFFAOYSA-H 0.000 description 1
- 125000002950 monocyclic group Chemical group 0.000 description 1
- 125000002911 monocyclic heterocycle group Chemical group 0.000 description 1
- YNAVUWVOSKDBBP-UHFFFAOYSA-O morpholinium Chemical class [H+].C1COCCN1 YNAVUWVOSKDBBP-UHFFFAOYSA-O 0.000 description 1
- 125000002757 morpholinyl group Chemical group 0.000 description 1
- 125000001421 myristyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- ULWOJODHECIZAU-UHFFFAOYSA-N n,n-diethylpropan-2-amine Chemical compound CCN(CC)C(C)C ULWOJODHECIZAU-UHFFFAOYSA-N 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- TVMXDCGIABBOFY-UHFFFAOYSA-N n-Octanol Natural products CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 description 1
- WMAAIGILTZEOHE-UHFFFAOYSA-N n-[bis(ethylamino)-[tris(ethylamino)silyl]silyl]ethanamine Chemical compound CCN[Si](NCC)(NCC)[Si](NCC)(NCC)NCC WMAAIGILTZEOHE-UHFFFAOYSA-N 0.000 description 1
- QULMGWCCKILBTO-UHFFFAOYSA-N n-[dimethylamino(dimethyl)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)N(C)C QULMGWCCKILBTO-UHFFFAOYSA-N 0.000 description 1
- MJBZMPMVOIEPQI-UHFFFAOYSA-N n-methyl-n-tris[ethyl(methyl)amino]silylethanamine Chemical compound CCN(C)[Si](N(C)CC)(N(C)CC)N(C)CC MJBZMPMVOIEPQI-UHFFFAOYSA-N 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- CATWEXRJGNBIJD-UHFFFAOYSA-N n-tert-butyl-2-methylpropan-2-amine Chemical compound CC(C)(C)NC(C)(C)C CATWEXRJGNBIJD-UHFFFAOYSA-N 0.000 description 1
- XWESXZZECGOXDQ-UHFFFAOYSA-N n-tert-butylhydroxylamine Chemical compound CC(C)(C)NO XWESXZZECGOXDQ-UHFFFAOYSA-N 0.000 description 1
- KVBGVZZKJNLNJU-UHFFFAOYSA-M naphthalene-2-sulfonate Chemical compound C1=CC=CC2=CC(S(=O)(=O)[O-])=CC=C21 KVBGVZZKJNLNJU-UHFFFAOYSA-M 0.000 description 1
- 125000001624 naphthyl group Chemical group 0.000 description 1
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 235000001968 nicotinic acid Nutrition 0.000 description 1
- 239000011664 nicotinic acid Substances 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- OSSQSXOTMIGBCF-UHFFFAOYSA-N non-1-yne Chemical compound CCCCCCCC#C OSSQSXOTMIGBCF-UHFFFAOYSA-N 0.000 description 1
- 125000001400 nonyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- NIHNNTQXNPWCJQ-UHFFFAOYSA-N o-biphenylenemethane Natural products C1=CC=C2CC3=CC=CC=C3C2=C1 NIHNNTQXNPWCJQ-UHFFFAOYSA-N 0.000 description 1
- UMIPWJGWASORKV-UHFFFAOYSA-N oct-1-yne Chemical compound CCCCCCC#C UMIPWJGWASORKV-UHFFFAOYSA-N 0.000 description 1
- QIQXTHQIDYTFRH-UHFFFAOYSA-N octadecanoic acid Chemical compound CCCCCCCCCCCCCCCCCC(O)=O QIQXTHQIDYTFRH-UHFFFAOYSA-N 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- ZQPPMHVWECSIRJ-KTKRTIGZSA-M oleate Chemical compound CCCCCCCC\C=C/CCCCCCCC([O-])=O ZQPPMHVWECSIRJ-KTKRTIGZSA-M 0.000 description 1
- 229940049964 oleate Drugs 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 125000001715 oxadiazolyl group Chemical group 0.000 description 1
- 125000000160 oxazolidinyl group Chemical group 0.000 description 1
- 125000002971 oxazolyl group Chemical group 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 125000005429 oxyalkyl group Chemical group 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 description 1
- 125000000913 palmityl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 125000006340 pentafluoro ethyl group Chemical group FC(F)(F)C(F)(F)* 0.000 description 1
- RGSFGYAAUTVSQA-UHFFFAOYSA-N pentamethylene Natural products C1CCCC1 RGSFGYAAUTVSQA-UHFFFAOYSA-N 0.000 description 1
- DAFIBNSJXIGBQB-UHFFFAOYSA-N perfluoroisobutene Chemical compound FC(F)=C(C(F)(F)F)C(F)(F)F DAFIBNSJXIGBQB-UHFFFAOYSA-N 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- JRKICGRDRMAZLK-UHFFFAOYSA-L peroxydisulfate Chemical compound [O-]S(=O)(=O)OOS([O-])(=O)=O JRKICGRDRMAZLK-UHFFFAOYSA-L 0.000 description 1
- 150000003003 phosphines Chemical class 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-O phosphonium Chemical compound [PH4+] XYFCBTPGUUZFHI-UHFFFAOYSA-O 0.000 description 1
- 125000004193 piperazinyl group Chemical group 0.000 description 1
- 125000003386 piperidinyl group Chemical group 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000548 poly(silane) polymer Polymers 0.000 description 1
- 125000003367 polycyclic group Chemical group 0.000 description 1
- 229920005862 polyol Polymers 0.000 description 1
- 150000003077 polyols Chemical class 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- MFDFERRIHVXMIY-UHFFFAOYSA-N procaine Chemical compound CCN(CC)CCOC(=O)C1=CC=C(N)C=C1 MFDFERRIHVXMIY-UHFFFAOYSA-N 0.000 description 1
- 229960004919 procaine Drugs 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- YYVGYULIMDRZMJ-UHFFFAOYSA-N propan-2-ylsilane Chemical compound CC(C)[SiH3] YYVGYULIMDRZMJ-UHFFFAOYSA-N 0.000 description 1
- 125000001501 propionyl group Chemical group O=C([*])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 125000003373 pyrazinyl group Chemical group 0.000 description 1
- USPWKWBDZOARPV-UHFFFAOYSA-N pyrazolidine Chemical class C1CNNC1 USPWKWBDZOARPV-UHFFFAOYSA-N 0.000 description 1
- 125000003072 pyrazolidinyl group Chemical group 0.000 description 1
- 125000002755 pyrazolinyl group Chemical group 0.000 description 1
- PBMFSQRYOILNGV-UHFFFAOYSA-N pyridazine Chemical class C1=CC=NN=C1 PBMFSQRYOILNGV-UHFFFAOYSA-N 0.000 description 1
- 125000002098 pyridazinyl group Chemical group 0.000 description 1
- BXEMXLDMNMKWPV-UHFFFAOYSA-N pyridine Chemical compound C1=CC=NC=C1.C1=CC=NC=C1 BXEMXLDMNMKWPV-UHFFFAOYSA-N 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 125000000719 pyrrolidinyl group Chemical group 0.000 description 1
- 125000001422 pyrrolinyl group Chemical group 0.000 description 1
- 125000000168 pyrrolyl group Chemical group 0.000 description 1
- 150000003242 quaternary ammonium salts Chemical class 0.000 description 1
- GUOHRXPYGSKUGT-UHFFFAOYSA-N quinolizinium Chemical class C1=CC=CC2=CC=CC=[N+]21 GUOHRXPYGSKUGT-UHFFFAOYSA-N 0.000 description 1
- 238000000663 remote plasma-enhanced chemical vapour deposition Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 125000006413 ring segment Chemical group 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- WVYADZUPLLSGPU-UHFFFAOYSA-N salsalate Chemical compound OC(=O)C1=CC=CC=C1OC(=O)C1=CC=CC=C1O WVYADZUPLLSGPU-UHFFFAOYSA-N 0.000 description 1
- 229960000953 salsalate Drugs 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- VUEONHALRNZYJM-UHFFFAOYSA-N silanetetramine Chemical compound N[Si](N)(N)N VUEONHALRNZYJM-UHFFFAOYSA-N 0.000 description 1
- AIFMYMZGQVTROK-UHFFFAOYSA-N silicon tetrabromide Chemical compound Br[Si](Br)(Br)Br AIFMYMZGQVTROK-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- CFTHARXEQHJSEH-UHFFFAOYSA-N silicon tetraiodide Chemical compound I[Si](I)(I)I CFTHARXEQHJSEH-UHFFFAOYSA-N 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- JXJTWJYTKGINRZ-UHFFFAOYSA-J silicon(4+);tetraacetate Chemical compound [Si+4].CC([O-])=O.CC([O-])=O.CC([O-])=O.CC([O-])=O JXJTWJYTKGINRZ-UHFFFAOYSA-J 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- AWUCVROLDVIAJX-GSVOUGTGSA-N sn-glycerol 3-phosphate Chemical compound OC[C@@H](O)COP(O)(O)=O AWUCVROLDVIAJX-GSVOUGTGSA-N 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-L succinate(2-) Chemical compound [O-]C(=O)CCC([O-])=O KDYFGRWQOYBRFD-UHFFFAOYSA-L 0.000 description 1
- 125000000475 sulfinyl group Chemical group [*:2]S([*:1])=O 0.000 description 1
- 125000000020 sulfo group Chemical group O=S(=O)([*])O[H] 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-O sulfonium Chemical compound [SH3+] RWSOTUBLDIXVET-UHFFFAOYSA-O 0.000 description 1
- 125000005537 sulfoxonium group Chemical group 0.000 description 1
- 125000004434 sulfur atom Chemical group 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 235000002906 tartaric acid Nutrition 0.000 description 1
- 239000011975 tartaric acid Substances 0.000 description 1
- 229940095064 tartrate Drugs 0.000 description 1
- LFKDJXLFVYVEFG-UHFFFAOYSA-N tert-butyl carbamate Chemical compound CC(C)(C)OC(N)=O LFKDJXLFVYVEFG-UHFFFAOYSA-N 0.000 description 1
- UTYRQCFTOYUATF-UHFFFAOYSA-N tert-butyl(chloro)silane Chemical compound CC(C)(C)[SiH2]Cl UTYRQCFTOYUATF-UHFFFAOYSA-N 0.000 description 1
- IPGXXWZOPBFRIZ-UHFFFAOYSA-N tert-butyl(silyl)silane Chemical compound CC(C)(C)[SiH2][SiH3] IPGXXWZOPBFRIZ-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- BCNZYOJHNLTNEZ-UHFFFAOYSA-N tert-butyldimethylsilyl chloride Chemical compound CC(C)(C)[Si](C)(C)Cl BCNZYOJHNLTNEZ-UHFFFAOYSA-N 0.000 description 1
- UQMOLLPKNHFRAC-UHFFFAOYSA-N tetrabutyl silicate Chemical compound CCCCO[Si](OCCCC)(OCCCC)OCCCC UQMOLLPKNHFRAC-UHFFFAOYSA-N 0.000 description 1
- 125000006169 tetracyclic group Chemical group 0.000 description 1
- CBXCPBUEXACCNR-UHFFFAOYSA-N tetraethylammonium Chemical compound CC[N+](CC)(CC)CC CBXCPBUEXACCNR-UHFFFAOYSA-N 0.000 description 1
- VCZQFJFZMMALHB-UHFFFAOYSA-N tetraethylsilane Chemical compound CC[Si](CC)(CC)CC VCZQFJFZMMALHB-UHFFFAOYSA-N 0.000 description 1
- 125000003718 tetrahydrofuranyl group Chemical group 0.000 description 1
- 125000001412 tetrahydropyranyl group Chemical group 0.000 description 1
- NQRYJNQNLNOLGT-UHFFFAOYSA-N tetrahydropyridine hydrochloride Natural products C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 description 1
- 125000005958 tetrahydrothienyl group Chemical group 0.000 description 1
- AKRQMTFHUVDMIL-UHFFFAOYSA-N tetrakis(prop-2-enyl)silane Chemical compound C=CC[Si](CC=C)(CC=C)CC=C AKRQMTFHUVDMIL-UHFFFAOYSA-N 0.000 description 1
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 1
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 1
- 125000003831 tetrazolyl group Chemical group 0.000 description 1
- 229960000278 theophylline Drugs 0.000 description 1
- 125000001113 thiadiazolyl group Chemical group 0.000 description 1
- 125000005458 thianyl group Chemical group 0.000 description 1
- 125000004305 thiazinyl group Chemical group S1NC(=CC=C1)* 0.000 description 1
- 125000000335 thiazolyl group Chemical group 0.000 description 1
- 125000001583 thiepanyl group Chemical group 0.000 description 1
- 125000002053 thietanyl group Chemical group 0.000 description 1
- 125000001730 thiiranyl group Chemical group 0.000 description 1
- 125000005309 thioalkoxy group Chemical group 0.000 description 1
- 150000007944 thiolates Chemical class 0.000 description 1
- 125000004568 thiomorpholinyl group Chemical group 0.000 description 1
- 125000004665 trialkylsilyl group Chemical group 0.000 description 1
- 125000004306 triazinyl group Chemical group 0.000 description 1
- YPFBRNLUIFQCQL-UHFFFAOYSA-K tribromomolybdenum Chemical compound Br[Mo](Br)Br YPFBRNLUIFQCQL-UHFFFAOYSA-K 0.000 description 1
- HIAZFYQNGXRLTF-UHFFFAOYSA-N tributylsilane Chemical compound CCCC[SiH](CCCC)CCCC HIAZFYQNGXRLTF-UHFFFAOYSA-N 0.000 description 1
- QHAHOIWVGZZELU-UHFFFAOYSA-N trichloro(trichlorosilyloxy)silane Chemical compound Cl[Si](Cl)(Cl)O[Si](Cl)(Cl)Cl QHAHOIWVGZZELU-UHFFFAOYSA-N 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- 125000006168 tricyclic group Chemical group 0.000 description 1
- ALVYUZIFSCKIFP-UHFFFAOYSA-N triethoxy(2-methylpropyl)silane Chemical compound CCO[Si](CC(C)C)(OCC)OCC ALVYUZIFSCKIFP-UHFFFAOYSA-N 0.000 description 1
- DENFJSAFJTVPJR-UHFFFAOYSA-N triethoxy(ethyl)silane Chemical compound CCO[Si](CC)(OCC)OCC DENFJSAFJTVPJR-UHFFFAOYSA-N 0.000 description 1
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 1
- AJSTXXYNEIHPMD-UHFFFAOYSA-N triethyl borate Chemical compound CCOB(OCC)OCC AJSTXXYNEIHPMD-UHFFFAOYSA-N 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- 125000000876 trifluoromethoxy group Chemical group FC(F)(F)O* 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 1
- DNAPJAGHXMPFLD-UHFFFAOYSA-N triiodosilane Chemical compound I[SiH](I)I DNAPJAGHXMPFLD-UHFFFAOYSA-N 0.000 description 1
- TUQLLQQWSNWKCF-UHFFFAOYSA-N trimethoxymethylsilane Chemical compound COC([SiH3])(OC)OC TUQLLQQWSNWKCF-UHFFFAOYSA-N 0.000 description 1
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 1
- WRECIMRULFAWHA-UHFFFAOYSA-N trimethyl borate Chemical compound COB(OC)OC WRECIMRULFAWHA-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- MXSVLWZRHLXFKH-UHFFFAOYSA-N triphenylborane Chemical compound C1=CC=CC=C1B(C=1C=CC=CC=1)C1=CC=CC=C1 MXSVLWZRHLXFKH-UHFFFAOYSA-N 0.000 description 1
- NHDIQVFFNDKAQU-UHFFFAOYSA-N tripropan-2-yl borate Chemical compound CC(C)OB(OC(C)C)OC(C)C NHDIQVFFNDKAQU-UHFFFAOYSA-N 0.000 description 1
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 1
- OBAJXDYVZBHCGT-UHFFFAOYSA-N tris(pentafluorophenyl)borane Chemical compound FC1=C(F)C(F)=C(F)C(F)=C1B(C=1C(=C(F)C(F)=C(F)C=1F)F)C1=C(F)C(F)=C(F)C(F)=C1F OBAJXDYVZBHCGT-UHFFFAOYSA-N 0.000 description 1
- 125000005455 trithianyl group Chemical group 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- ZDPHROOEEOARMN-UHFFFAOYSA-N undecanoic acid Chemical compound CCCCCCCCCCC(O)=O ZDPHROOEEOARMN-UHFFFAOYSA-N 0.000 description 1
- NQPDZGIKBAWPEJ-UHFFFAOYSA-N valeric acid Chemical class CCCCC(O)=O NQPDZGIKBAWPEJ-UHFFFAOYSA-N 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32134—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Physical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本案係關於高選擇性摻雜硬遮罩膜,以及提供並使用此等膜的方法。在特定示例中,高選擇性摻雜硬遮罩膜可用作硬遮罩、中間層或覆蓋層。
Description
本案係關於高選擇性摻雜硬遮罩膜,以及提供並使用此等膜的方法。在特定示例中,高選擇性摻雜硬遮罩膜可用作硬遮罩、中間層或覆蓋層。
本文所提供的背景描述係為了概述本技術脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本技術的先前技術。
某些技術節點需要先進的半導體處理以實施所欲特徵部。特別地,膜可用作硬遮罩,用於在基板處理期間蝕刻高深寬比特徵部。在三維(3D)記憶體應用中,硬遮罩膜應具有高蝕刻選擇性。
本案係關於含鉬(Mo)層(例如,例如Mo摻雜層),以及提供並使用此等層的方法。在某些非限定實施例中,含Mo層透過電漿增強化學氣相沉積(PECVD)製程來提供。在使用中,此等含Mo層可用作堆疊內之硬遮罩、中間層(例如,蝕刻停止層)或覆蓋層(例如,保形層或階梯覆蓋層)中的至少一者。在特定實施例中,含Mo層允許改善堆疊內之蝕刻選擇性,其可進一步擴展技術微縮。
在第一態樣中,本案包括用於提供含金屬層(例如,含Mo層)的方法。在一些實施例中, 該方法包括 : 在處理腔室內之基板固持件上提供基板;將基板之頂表面部分暴露於含金屬前驅物(例如含Mo前驅物)及一或更多可選沉積前驅物;以及在處理腔室內電漿存在或不存在下,在基板之頂表面部分上沉積含金屬層(例如,含Mo層)。在一些實施例中,所述沉積包括使用電漿。如本文所使用,術語「含Mo層」、「含鉬層」及「含金屬層」可互換使用。
在一些實施例中,處理腔室包括電漿增強化學氣相沉積(PECVD)腔室、化學氣相沉積(CVD)腔室、電漿增強原子層沉積(PEALD)腔室或原子層沉積(ALD)腔室。
在特定實施例中,所述暴露包括依序或同時輸送含Mo前驅物及一或更多沉積前驅物。在其他實施例中,所述暴露進一步包括輸送反應物氣體、還原劑或載氣至處理腔室。
在進一步實施例中,該方法包括(例如,在所述沉積之前) : 在基板之頂表面部分上提供界面層。在一些實施例中,所述提供因此在所述沉積之後使界面層設置於基板與含Mo層之間。在其他實施例中,界面層包括黏附層、起始層或生長層。在另其他實施例中,界面層包括硼(B)、碳(C)、鈦(Ti)、鎢(W)、鉭(Ta)、鎳(Ni)、鉬(Mo)、其氮化物、其矽化物、其氮氧化物、其碳化物、或其碳氮化物。
在一些實施例中,該方法進一步包括(例如,在所述沉積之前) : 對基板之頂表面部分預處理。在特定實施例中,所述預處理因此在所述沉積之後提供設置在基板與含Mo層之間的預處理表面。
在其他實施例中,基板進一步包括一材料層設置在基板之頂表面部分上,其中所述沉積包括在材料層之頂表面部分上沉積含Mo層。在一些實施例中,材料層包括氧化物或氮化物中之至少一者(例如,本文所述之任一者)。
在一些實施例中,所述沉積包括施加低頻(LF)射頻分量或高頻(HF)射頻分量中之至少一者。
在第二態樣中,本案包括用於提供含Mo層的方法。在一些實施例中,該方法包括 : 在處理腔室中提供基板;以及透過電漿增強化學氣相沉積(PECVD)製程,在基板之表面部分上沉積含Mo層。
在第三態樣中,本案包括用於處理基板的方法。在一些實施例中,該方法包括 : 在基板之頂表面部分上沉積含Mo層;在含Mo層內形成定義圖案;以及將定義圖案轉移至設置於含Mo層下方的材料層。
在一些實施例中,所述沉積包括 : 將基板之頂表面部分暴露於含鉬前驅物及一或更多可選沉積前驅物,因而形成含鉬層。在其他實施例中,所述暴露依序輸送含Mo前驅物及該一或更多沉積前驅物。視情況地,該方法可包括在以下之至少一者 : 輸送含Mo前驅物之後,或輸送該一或更多沉積前驅物之後,進行沖洗。在另其他實施例中,所述暴露包括同時輸送含Mo前驅物及該一或更多沉積前驅物。在一些實施例中,所述沉積包括化學氣相沉積、原子層沉積或其電漿增強形式。
在一些實施例中,所述暴露進一步包括輸送反應氣體、還原劑或惰性氣體。
在一些實施例中,所述形成定義圖案包括 : 在含Mo層之頂表面部分上沉積阻劑層以形成具有圖案之阻劑遮罩;以及將阻劑遮罩之圖案轉移至含鉬層之頂表面部分。在特定實施例中,所述轉移因此形成具有一或更多開口之硬遮罩以提供定義圖案。在其他實施例中,所述轉移定義圖案包括 : 透過硬遮罩之該一或更多開口來蝕刻材料層。在另其他實施例中,所述轉移定義圖案包括 : 透過含Mo層內之定義圖案來蝕刻材料層。
在進一步實施例中,該方法包括(例如,在所述沉積含Mo層之前) : 在基板之頂表面部分上提供界面層,因而使界面層設置於基板與含Mo層之間。
在本文任一實施例中,界面層存在於基板之頂表面部分上。在特定實施例中,含Mo層之沉積提供堆疊,其中界面層設置於基板與含Mo層之間。在一些實施例中,界面層包括黏附層、起始層或生長層。在其他實施例中,界面層包括硼(B)、碳(C)、鈦(Ti)、鎢(W)、鉭(Ta)、鎳(Ni)、鉬(Mo)、其氮化物、其矽化物、其氮氧化物、其碳化物、或其碳氮化物。
在一些實施例中,該方法進一步包括(例如,在所述將定義圖案轉移至材料層之前) : 透過含Mo層內之定義圖案來蝕該界面層。
在其他實施例中,該方法進一步包括(例如,在所述沉積含Mo層之前) : 對基板之頂表面部分預處理,因而提供設置於基板與含Mo層之間的預處理表面。
在另其他實施例中,該方法進一步包括(例如,在所述將定義圖案轉移至材料層之前) : 透過含Mo層內之定義圖案來蝕刻預處理表面。
在第四態樣,本安包括用於處理基板的方法。在一些實施例中,該方法包括 : 提供基板,其具有一材料層設置在基板之頂表面部分上;在材料層之頂表面部分上沉積含Mo層,其中所述沉積包括輸送含Mo前驅物及一或更多可選沉積前驅物;在含Mo層上方形成圖案化遮罩;將圖案化遮罩之圖案轉移至含Mo層,因而在含Mo層內形成定義圖案;以及將定義圖案轉移至材料層。
在第五態樣中,本案包括用於處理基板的設備。在一些實施例中,該設備包括 : 處理腔室,包含基板固持件;製程氣體源,與處理腔室及相關流量控制硬體連接;以及基板搬運硬體,與處理腔室連接。
在一些實施例中,該設備進一步包括控制器,具有處理器及記憶體,其中處理器與記憶體相互通訊連接。在特定實施例中,處理器至少與流量控制硬體及基板搬運硬體可操作地連接。在其他實施例中,記憶體儲存用於執行本文所述任一方法中之操作的電腦可執行指令。
在另其他實施例中,電腦可執行指令包括指令配置成 : 使基板之頂表面部分暴露於處理腔室內的含Mo前驅物及一或更多沉積前驅物;以及使含Mo層沉積在基板之頂表面部分上。
在進一步實施例中,該設備包括 : 與處理腔室連接之電漿源。
在其他實施例中,電腦可執行指令包括指令配置成 : 使基板之頂表面部分暴露於處理腔室內之含Mo前驅物及一或更多沉積前驅物;使電漿供應至處理腔室; 以及使含Mo層沉積在基板之頂表面部分上。
在本文任一實施例中,含Mo前驅物包括有機鉬化合物、鹵化鉬化合物、氧鹵化鉬化合物、無機鉬化合物、或包括具有式(
I)-(
V)中之一者之結構的化合物或其鹽。
在本文任一實施例中,該一或更多沉積前驅物選自由含碳前驅物、含矽前驅物及含硼前驅物所組成之群組。
在本文任一實施例中,含碳前驅物包括烴、甲烷(CH
4)、乙炔(C
2H
2)、乙烯(C
2H
4)、丙烯(C
3H
6)、丙炔(C
3H
4)、丙二烯(C
3H
4)、環丙烯(C
3H
4)、丁烷(C
4H
10)、環己烷(C
6H
12)、苯(C
6H
6)或甲苯(C
7H
8)中之至少一者。
在本文任一實施例中,含矽前驅物包括矽烷化合物、有機矽烷化合物、烷基矽烷化合物、烷氧基矽烷化合物、矽烷醇化合物、矽氧烷化合物、胺基矽烷化合物、環狀氮雜矽烷化合物、鹵代矽烷化合物或無機矽烷化合物。
在本文任一實施例中,含硼前驅物包括有機硼烷化合物、鹵化硼化合物、硼酸酯化合物或無機硼化合物。
在本文任一實施例中,含Mo層包括Mo
xC
y、Mo
xSi
y、Mo
xB
y、Mo
xC
yO
z、Mo
xSi
yB
z、Mo
xC
yN
z、Mo
xSi
yC
z、Mo
xB
yC
z、Mo
xN
y、或Mo
xO
yN
z以及其組合。
在本文任一實施例中,含Mo層為硬遮罩、蝕刻停止層、保形層或階梯覆蓋層。
在本文任一實施例中,含Mo層具有低氟(F)含量。非限定氟含量可包括約0-2原子百分比或小於約2原子百分比。
在本文任一實施例中,含Mo層具有低氫(H)含量。非限定氫含量可包括約0-5原子百分比或小於約5原子百分比。
在本文任一實施例中,含Mo層具有高鉬(Mo)含量。非限定鉬含量可包括約5-65原子百分比、約3-60原子百分比、或大於約3原子百分比。
在本文任一實施例中,含Mo層具有低應力。非限定應力可包括+/- 100 MPa、+/- 500 MPa或其間的範圍,例如,透過測量沉積含Mo層之前與之後的晶圓彎曲來確定。
在本文任一實施例中,含Mo層具有高蝕刻選擇性。非限定高蝕刻選擇性可透過評估無圖案蝕刻速率(blanket etch rate)來確定,其可透過在固定時間蝕刻之後測量蝕刻量來收集。本文描述額外的實施例。
定義
如本文所使用,術語「約」係指任何所載值的+/-10%。如本文所使用,此術語修飾任何所載值、值的範圍、或一或更多範圍的端點。
如本文所使用,術語「頂部」、「底部」、「上部」、「下部」、「上方」及「下方」用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須放置在設備中之特定位置。
如本文所使用,術語「表面部分」可包括整體表面或部分表面。
如本文可互換使用之術語「醯基(acyl)」或「烷醯基(alkanoyl)」表示透過如本文所定義之羰基連接至母分子基團之直鏈、支鏈、環狀構型、飽和、不飽和及芳香族及其組合之1、2、3、4、5、6、7、8個或更多碳原子的基團或氫。此基團舉例有甲醯基、乙醯基、丙醯基、異丁醯基、丁醯基及類似者。在一些實施例中,醯基或烷醯基為-C(O)-R,其中R為如本文所定義之氫、脂肪族基團或芳香族基團。
「醯鹵(acyl halide)」意指-C(O)X,其中X為鹵素,例如Br、F、I或Cl。
「醛(aldehyde)」意指-C(O)H基團或包括此等基團的化合物。醛的示例可包括RC(O)H,其中R選自如本文所定義之脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
「醛烷基(aldehydealkyl)」意指透過如本文所定義之烷基連接至母分子基團之如本文所定義的醛基。在一些實施例中,醛烷基為-L-C(O)H,其中L為如本文所定義之烷基。
「脂肪族(aliphatic)」意指具有至少一個碳原子至50個碳原子(C
1-50)(例如一個至25個碳原子(C
1-25)、或一至十個碳原子(C
1-10))之烴基,且其包括烷烴(或烷基)、烯烴(或烯基)、炔烴(或炔基),包括其環狀形式,並進一步包括直鏈及支鏈排列,以及所有立體及位置異構物。
「脂肪族-芳基(aliphatic-aryl)」意指偶聯或可偶聯至本文所揭示之化合物的芳基,其中芳基透過本文所定義之脂肪族基團偶聯或變得偶聯。在一些實施例中,脂肪族-芳基為-L-R,其中L為如本文所定義之脂肪族基團,且R為如本文所定義之芳基。
「脂肪族-雜芳基(aliphatic- heteroaryl)」意指偶聯或可偶聯至本文所揭示之化合物的雜芳基,其中雜芳基透過本文所定義之脂肪族基團偶聯或變得偶聯。在一些實施例中,脂肪族-雜芳基為-L-R,其中L為如本文所定義之脂肪族基團,且R為如本文所定義之雜芳基。
「烷基-芳基(alkyl-aryl)」、「烯基-芳基(alkenyl-aryl)」及「炔基-芳基(alkynyl-aryl)」意指分別透過如本文所定義之烷基、烯基或炔基偶聯或可偶聯(或連接)至母分子基團之如本文所定義的芳基。烷基-芳基、烯基-芳基、或炔基-芳基可經取代或未經取代。例如,烷基-芳基、烯基-芳基、或炔基-芳基可經一或更多取代基取代,如本文對烷基、烯基、炔基、或芳基所述。示例性未經取代之烷基-芳基有7至16個碳(C
7-16烷基-芳基),以及具有帶1至6個碳之烷基及帶4至18個碳之芳基的彼等(即,C
1-6烷基-C
4-18芳基)。示例性未經取代之烯基-芳基有7至16個碳(C
7-16烯基-芳基),以及具有帶2至6個碳之烯基及帶4至18個碳之芳基的彼等(即,C
2-6烯基-C
4-18芳基)。示例性未經取代之炔基-芳基有7至16個碳(C
7-16炔基-芳基),以及具有帶2至6個碳之炔基及帶4至18個碳之芳基的彼等(即,C
2-6炔基-C
4-18芳基)。在一些實施例中,烷基-芳基為-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之芳基。在一些實施例中,烯基-芳基為-L-R,其中L為如本文所定義之烯基,而R為如本文所定義之芳基。在一些實施例中,炔基-芳基為-L-R,其中L為如本文所定義之炔基,而R為如本文所定義之芳基。
「烷基-環烷基(alkyl-cycloalkyl)」意指透過如本文所定義之烷基與母分子基團連接之如本文所定義的環烷基。烷基-環烷基可經取代或未經取代。 例如,烷基-環烷基可經一或更多取代基取代,如本文對烷基所述。在一些實施例中,烷基-環烷基為-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之環烷基。
「烯基(alkenyl)」意指具有至少兩個碳原子至50個碳原子(C
2-50)(例如兩個至25個碳原子(C
2-25)、或兩個至十個碳原子(C
2-10))及至少一碳-碳雙鍵之不飽和單價烴,其中不飽和單價烴可衍生自從母體烯烴之一個碳原子上除去一個氫原子。烯基可為支鏈、直鏈、環狀(例如環烯基)、順式或反式(例如E或Z)。示例性烯基包括具有一或更多雙鍵之視情況取代的C
2-24烷基。烯基可為單價或多價(例如二價),其透過去除一或更多氫以形成與母分子基團適當連接或母分子基團與另一取代之間的適當連接。烯基亦可為經取代或未經取代。例如,烯基可經一或更多取代基取代,如本文對烷基所述。
「烷基-雜芳基(alkyl-heteroaryl)」意指透過如本文所定義之烷基與母分子基團連接之如本文所定義的雜芳基。在一些實施例中,烷基-雜芳基為-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之雜芳基。
「烷基-雜環基(alkyl-heterocyclyl)」、「烯基-雜環基(alkenyl-heterocyclyl)」及「炔基-雜環基(alkynyl-heterocyclyl)」意指分別透過如本文所定義之烷基、烯基或炔基偶聯或可偶聯(或連接)至母分子基團上之如本文所定義的雜環基。烷基-雜環基、烯基-雜環基、或炔基-雜環基可經取代或未經取代。例如,烷基-雜環基、烯基-雜環基、或炔基-雜環基可經一或更多取代基取代,如本文中對烷基、烯基、炔基、或雜環基所述。示例性未經取代之烷基-雜環基具有2至16個碳(C
2-16烷基-雜環基),以及具有帶1至6個碳之烷基及帶1至18個碳之雜環基的彼等(即,C
1-6烷基-C
1-18雜環基)。示例性未經取代之烯基-雜環基具有3至16個碳(C
3-16烯基-雜環基),以及具有帶2至6個碳之烯基及帶1至18個碳之雜環基的彼等(即,C
2-6烯基-C
1-18雜環基)。示例性未經取代之炔基-雜環基具有3至16個碳(C
3-16炔基-雜環基),以及具有帶2至6個碳之炔基及帶1至18個碳之雜環基的彼等(即,C
2-6炔基-C
1-18雜環基)。在一些實施例中,烷基-雜環基為-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之雜環基。在一些實施例中,烯基-雜環基為-L-R,其中L為如本文定義之烯基,而R為如本文定義之雜環基。在一些實施例中,炔基-雜環基為-L-R,其中L為如本文定義之炔基,而R為如本文定義之雜環基。
「烷氧基(alkoxy)」意指-OR,其中R為視情況取代之脂肪族基團,如本文所述。示例性烷氧基包括,但不限於,甲氧基、乙氧基、正丙氧基、異丙氧基、正丁氧基、叔丁氧基、仲丁氧基、正戊氧基、三鹵代烷氧基,例如三氟甲氧基等。烷氧基可經取代或未經取代。例如,烷氧基可經一或更多取代基取代,如本文對烷基所述。示例性未經取代烷氧基包括C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1-20、或C
1-24烷氧基。
「烷氧基烷基(alkoxyalkyl)」意指被如本文所定義之烷氧基取代之如本文所定義的烷基。示例性未經取代烷氧基烷基包括2至12個碳原子(C
2-12烷氧基烷基),以及具有帶1至6個碳之烷基及帶1至6個碳之烷氧基的彼等(即,C
1-6烷氧基-C
1-6烷基)。在一些實施例中,烷氧基烷基為-L-O-R,其中L及R各自獨立為如本文定義之烷基。
「烷基(alkyl)」意指具有至少一個碳原子至50個碳原子(C
1-50)(例如一個至25個碳原子(C
1-25)、或一個十個碳原子(C
1-10))之飽和單價烴,其中飽和單價烴可衍生自從母化合物(例如烷烴)之一個碳原子上去除一個氫原子。烷基可為支鏈、直鏈或環狀(例如,環烷基)。示例性烷基包括具有1至24個碳原子之支鏈或非支鏈飽和烴基,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基、叔丁基、正戊基、異戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及類似者。烷基亦可經取代或未經取代。烷基可為單價或多價(例如,二價),其透過去除一或更多氫以形成與母分子基團之適當連接或母分子基團與另一取代之間的適當連接。例如,烷基可經一個、兩個、三個或四個(在具有兩個或更多碳之烷基例子中)取代基取代,取代基獨立選自由以下所組成之群組 : (1)C
1-6烷氧基(例如-O-R,其中R為C
1-6烷基);(2)C
1-6烷基亞磺醯基(例如-S(O)-R,其中R為C
1-6烷基);(3)C
1-6烷基磺醯基(例如-SO
2-R,其中R為C
1-6烷基);(4)胺(例如,-C(O)NR
1R
2或 -NHCOR
1, 其中R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合,或R
1與R
2連同各自所連接之氮原子可形成如本文所定義之雜環基);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L為烷基而R為芳基);(7)芳醯基(例如,-C(O)-R,其中R為芳基);(8)疊氮基(例如-N
3);(9)氰基(例如-CN);(10)醛基(例如,-C(O)H);(11)C
3-8環烷基;(12)鹵素;(13)雜環基(例如,如本文所定義,例如含有一個、兩個、三個或四個非碳雜原子之5-、6-或7-元環);(14)雜環氧基(例如-O-R,其中R為如本文所定義之雜環基);(15)雜環醯基(例如-C(O)-R,其中R為如本文所定義之雜環基);(16)羥基(例如-OH);(17)
N-保護胺基;(18)硝基(例如-NO
2);(19)側氧基(例如,=O);(20)C
1-6烷硫基(例如-S-R,其中R為烷基);(21)硫醇基(例如-SH);(22)-CO
2R
1,其中 R
1選自由以下所組成之群組 : (a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基而R為C
4-18芳基);(23)-C(O)NR
1R
2,其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、(b)C
1-6烷基、(c)C
4-18芳基及(d)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基而R為C
4-18芳基);(24)-SO
2R
1,其中R
1選自由以下所組成之群組 : (a)C
1-6烷基、(b)C
4-18芳基及(c)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基而R為C
4-18芳基);(25)-SO
2NR
1R
2,其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、(b) C
1-6烷基、(c)C
4-18芳基及(d) C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基而R為C
4-18芳基);以及(26)-NR
1R
2,其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、(b)
N-保護基、(c)C
1-6烷基、(d)C
2-6烯基、(e)C
2-6炔基、(f)C
4-18芳基、(g)C
1-6烷基-C
4-18芳基(例如,-L-R,其中 L為C
1-6烷基,R為C
4-18芳基)、(h)C
3-8環烷基、及(i)C
1-6烷基-C
3-8環烷基(例如,-L-R,其中L為C
1-6烷基而R為C
3-8環烷基),其中在一實施例中,沒有兩個基團透過羰基或磺醯基與氮原子結合。烷基可為經一或更多取代基(例如,一或更多鹵素或烷氧基)取代之一級、二級或三級烷基。在一些實施例中,未經取代之烷基為C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1-20或C
1-24烷基。
如本文所使用之術語「烷基胺基(alkylamino)」係指-NR
1R
2基團,其中R
1為視情況取代之烷基,而R
2為H或視情況取代之烷基。烷基胺基取代基之示例包括二甲基胺基及二乙基胺基取代基。
「亞烷基(alkylene)」意指如本文所述之烷基、烯基及炔基的多價(例如,二價)形式。示例性亞烷基包括亞甲基、亞乙基、亞乙烯基、亞乙炔基、亞丙基、亞丙烯基、亞丙炔基、亞丁烯、亞丁烯基、亞丁炔基等。在一些實施例中,亞烷基為C
1-3、C
1-6、C
1-12、C
1-16、C
1-18、C
1-20、C
1-24、C
2-3、C
2-6、C
2-12、C
2‑16、C
2-18、C
2-20、或C
2-24亞烷基。亞烷基可為支鏈或非支鏈。亞烷基亦可經取代或未經取代。例如,亞烷基可經一或更多取代基取代,如本文對烷基所述。
如本文所使用之術語「烷基甲矽烷基(alkylsilyl)」係指-SiR
1R
2R
3基團,其中R
1為視情況取代之烷基,且其中R
2及R
3各自獨立選自H及視情況取代之烷基。烷基甲矽烷基包括單、雙及三烷基甲矽烷基。烷基甲矽烷基之示例包括三甲基甲矽烷基、二甲基甲矽烷基、甲基甲矽烷基、三乙基甲矽烷基、二乙基甲矽烷基、乙基甲矽烷基及類似者。
「烷基亞磺醯基(alkylsulfinyl)」意指透過-S(O)-基團連接至母分子基團之如本文所定義的烷基。在一些實施例中,未經取代之烷基亞磺醯基為C
1-6或C
1-12烷基亞磺醯基。在其他實施例中,烷基亞磺醯基為-S(O)-R,其中R為如本文所定義之烷基。
「烷基亞磺醯基烷基(alkylsulfinylalkyl)」意指被烷基亞磺醯基取代之如本文所定義的烷基。在一些實施例中,未經取代之烷基亞磺醯基烷基為C
2‑12或C
2-24烷基亞磺醯基烷基(例如C
1-6烷基亞磺醯基-C
1-6烷基或C
1-12烷基亞磺醯基-C
1-12烷基)。在其他實施例中,烷基亞磺醯基烷基為-L-S(O)-R,其中L及R各自獨立為如本文所定義之烷基。
「烷基磺醯基(alkylsulfonyl)」意指透過-SO
2-基團連接至母分子基團之如本文所定義的烷基。在一些實施例中,未經取代之烷基磺醯基為C
1-6或C
1-12烷基磺醯基。在其他實施例中,烷基磺醯基為-SO
2-R,其中R為視情況取代之烷基(例如,如本文所述,包括視情況取代之C
1-12烷基、鹵烷基或全氟烷基)。
「烷基磺醯基烷基(alkylsulfonylalkyl)」意指被烷基磺醯基取代之如本文所定義的烷基。在一些實施例中,未經取代之烷基磺醯基烷基為C
2‑12或C
2-24烷基磺醯基烷基(例如C
1-6烷基磺醯基-C
1-6烷基或C
1-12烷基磺醯基-C
1-12烷基)。在其他實施例中,烷基磺醯基烷基為-L-SO
2-R,其中L及R各自獨立為如本文所定義之烷基。
「炔基(alkynyl)」意指具有至少兩個碳原子至50個碳原子(C
2-50)(例如兩個至25個碳原子(C
2-25)、或兩個至十個碳原子(C
2-10))及至少一個碳-碳三鍵之不飽和單價烴,其中不飽和單價烴可衍生自從母體炔烴之一個碳原子上除去一個氫原子。炔基可為支鏈、直鏈或環狀(例如,環炔基)。示例性炔基包括具有一或更多三鍵之視情況取代的C
2-24烷基。炔基可為環狀或非環狀,例如乙炔基、1-丙炔基及類似者。炔基可為單價或多價(例如,二價),其透過去除一或更多氫以形成與母分子基團之適當連接或母分子基團與另一取代之間的適當連接。炔基亦可為經取代或未經取代。例如,炔基可經一或更多取代基取代,如本文對烷基所述。
「環境溫度」意指16°C至26°C範圍的溫度,例如19°C至25°C或20°C至25°C。
「醯胺(amide)」意指-C(O)NR
1R
2或-NHCOR
1,其中R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合,或R
1與R
2連同各自所連接之氮原子形成如本文所定義之雜環基。
「胺(amine)」意指-NR
1R
2,其中R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合;或R
1與R
2連同各自所連接之氮原子形成如本文所定義之雜環基。
「胺基烷基(aminoalkyl)」意指經如本文所定義之胺基取代之如本文定義的烷基。在一些實施例中,胺基烷基為-L-NR
1R
2,其中L為如本文定義之烷基,而R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合;或R
1與R
2連同各自所連接之氮原子形成如本文所定義之雜環基。在其他實施例中,胺基烷基為-L-C(NR
1R
2)(R
3)-R
4,其中L為如本文所定義之共價鍵或烷基;R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合;或R
1與R
2連同各自所連接之氮原子形成如本文所定義之雜環基;且R
3與R
4各自獨立為如本文所定義之H或烷基。
「芳香族(aromatic)」意指具有單環(例如苯基)或多個稠合環之5至15個(除非另指明)環原子的環狀共軛基團或部分,其中至少一個環為芳香族(例如,萘基、吲哚基或吡唑並吡啶基(pyrazolopyridinyl));亦即,至少一個環及可選地多個稠合環具有連續且離域之π電子系統。通常,平面外π電子的數量對應於休克爾(Huckel)規則(4n+2)。與母體結構之連接點通常是透過稠合環系統之芳香族部分。
「芳基(aryl)」意指包含至少五個碳原子至15個碳原子(C
5-15)(例如五至十個碳原子(C
5-10))之芳香族碳環基,其具有單環或多個稠合環,其稠合的環可為或可能不是芳香族,條件是與本文所揭示化合物之剩餘位置的連接點是透過芳香族碳環基的原子。芳基可經一或更多除氫以外之基團取代,例如脂肪族、雜脂肪族、芳香族、其它官能基或其任何組合。示例性芳基包括,但不限於,芐基、萘、苯基、聯苯基、苯氧基苯及其類似者。術語芳基亦包括雜芳基,其定義為包含芳香基之基團,該芳香基具有併於芳香基之環內的至少一雜原子。雜原子之示例包括,但不限於氮、氧、硫及磷。同樣地,亦包含在術語芳基中之術語非雜芳基定義含有芳香基且不含雜原子的基團。芳基可經取代或未經取代。芳基可經一個、兩個、三個、四個或五個取代基取代,取代基獨立選自由以下所組成之群組 : (1)C
1-6烷醯基(例如-C(O)-R,其中R為C
1-6烷基);(2)C
1-6烷基;(3)C
1-6烷氧基(例如-O-R,其中R為C
1-6烷基);(4)C
1-6烷氧基-C
1-6烷基(例如-L-O-R,其中L與R各自獨立為C
1-6烷基);(5)C
1-6烷基亞磺醯基(例如-S(O)-R,其中R為C
1-6烷基);(6)C
1-6烷基亞磺醯基-C
1-6烷基(例如-L-S(O)-R,其中L與R各自獨立為C
1-6烷基);(7)C
1-6烷基磺醯基(如-SO
2-R,其中R為C
1-6烷基);(8)C
1-6烷基磺醯基-C
1-6烷基(例如-L-SO
2-R,其中L與R各自獨立為C
1-6烷基);(9)芳基;(10)胺(例如,-NR
1R
2,其中R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合;或R
1與R
2連同各自所連接之氮原子形成如本文所定義之雜環基);(11)C
1-6胺基烷基 (例如,-L
1-NR
1R
2或-L
2-C(NR
1R
2)(R
3)-R
4,其中L
1為C
1-6烷基;L
2為共價鍵或C
1-6烷基;R
1與R
2各自獨立選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合;或R
1與R
2連同各自所連接之氮原子形成如本文所定義的雜環基;R
3與R
4各自獨立為H或C
1-6烷基);(12)雜芳基;(13)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基而R為C
4-18芳基);(14)芳醯基 (例如,-C(O)-R,其中R為芳基);(15)疊氮基(例如,-N
3);(16)氰基(例如,-CN);(17)C
1-6疊氮烷基(例如,-L-N
3,其中L為C
1-6烷基);(18)醛(例如,-C(O)H);(19)醛-C
1-6烷基(例如,-L-C(O)H,其中L為C
1-6烷基);(20)C
3-8環烷基;(21)C
1-6烷基-C
3-8環烷基(例如,-L-R,其中L為C
1-6烷基且R為C
3-8環烷基);(22)鹵素;(23)C
1-6鹵烷基(例如,-L
1-X或-L
2-C(X)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或C
1-6烷基;X為氟、溴、氯或碘;且R
1與R
2各自獨立為H或C
1-6烷基);(24)雜環基(例如,如本文所定義,例如含有一個、兩個、三個或四個非碳雜原子之5-、6-或7-元環);(25)雜環氧基 (例如,-O-R,其中R為如本文所定義之雜環基);(26)雜環醯基(例如,-C(O)-R,其中R為如本文所定義之雜環基);(27)羥基(-OH);(28)C
1-6羥烷基 (例如,-L
1-OH或-L
2-C(OH)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或烷基;且R
1與R
2各自獨立為如本文所定義之H或C
1-6烷基);(29)硝基;(30)C
1-6硝基烷基 (例如,-L
1-NO或-L
2-C(NO)(R
1)-R
2,其中L
1為C
1-6烷基;L
2為共價鍵或烷基;且R
1與R
2各自獨立為如本文所定義之H或C
1-6烷基);(31)
N-保護胺基; (32)
N-保護胺基-C
1-6烷基;(33)側氧氣(例如,=O);(34)C
1-6烷硫基(例如,-S-R,其中R為C
1-6烷基);(35) 硫代-C
1-6烷氧基-C
1-6烷基(例如,-L-S-R,其中L與R各自獨立為C
1-6烷基);(36)-(CH
2)
rCO
2R
1,其中r為0至4的整數,且R
1選自由以下所組成之群組 : (a)氫、(b)C
1-6烷基、(c)C
4-18芳基、及(d)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基且R為C
4-18芳基);(37)‑(CH
2)
rCONR
1R
2,其中 r 為0至4的整數,且其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、(b)C
1-6烷基、(c)C
4-18芳基、及(d)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基且R為C
4-18芳基);(38)-(CH
2)
rSO
2R
1,其中r為0至4的整數,且其中R
1選自由以下所組成之群組 : (a)C
1-6烷基、(b)C
4-18芳基、及(c)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基且R為C
4-18芳基);(39)-(CH
2)
rSO
2NR
1R
2,其中r為0至4的整數且其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、(b)C
1-6烷基、(c)C
4-18芳基、及(d)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基且R為C
4-18芳基);(40)-(CH
2)
rNR
1R
2,其中r為0至4的整數且其中R
1與R
2各自獨立選自由以下所組成之群組 : (a)氫、 (b)
N-保護基、 (c)C
1-6烷基、 (d)C
2-6烯基、(e)C
2-6炔基、(f)C
4-18芳基、 (g)C
1-6烷基-C
4-18芳基(例如,-L-R,其中L為C
1-6烷基且R為C
4-18芳基)、(h)C
3-8環烷基、及(i)C
1-6烷基-C
3-8環烷基(例如,-L-R,其中L為C
1-6烷基且R為C
3-8環烷基),其中在一實施例中沒有兩個基團透過羰基或磺醯基與氮原子結合;(41)硫醇(例如,-SH);(42)全氟烷基(例如,-(CF
2)
nCF
3,其中n為0至10的整數);(43)全氟烷氧基 (例如,-O-(CF
2)
nCF
3,其中n為0至10的整數);(44)芳氧基(例如,-O-R,其中R為芳基);(45)環烷氧基(例如,-O-R,其中R為環烷基);(46)環烷基烷氧基(例如,-O-L-R,其中L為烷基且R為環烷基);及(47)芳基烷氧基(例如,-O-L-R,其中 L為烷基,R為芳基)。在特定實施例中,未經取代之芳基為C
4-18、C
4-14、C
4-12、C
4-10、C
6-18、C
6-14、C
6-12、或C
6-10芳基。
「芳基烷氧基(arylalkoxy)」意指透過氧原子連接至母分子基團之如本文所定義的烷基-芳基。在一些實施例中,芳基烷氧基為-O-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之芳基。
「芳氧基(aryloxy)」意指-OR,其中R為如本文所述之視情況取代的芳基。在一些實施例中,未經取代之芳氧基為C
4-18或C
6-18芳氧基。
「芳氧基羰基(aryloxycarbonyl)」意指透過羰基連接至母分子基團之如本文所定義的芳氧基。在一些實施例中,未經取代之芳氧基羰基為C
5-19芳氧基羰基。在其他實施例中,芳氧基羰基為-C(O)O-R,其中R為如本文所定義之芳基。
「芳醯基(aryloy)」意指透過羰基連接至母分子基團之芳基。在一些實施例中,未經取代之芳醯基為C
7-11芳醯基或C
5-19芳醯基。在其他實施例中,芳醯基為-C(O)-R,其中R為如本文所定義之芳基。
「疊氮基(azido)」意指-N
3基團。
「疊氮烷基(azidoalkyl)」意指透過如本文所定義之烷基連接至母分子基團之疊氮基。在一些實施例中,疊氮烷基為-L-N
3,其中L為如本文所定義之烷基。
「偶氮(azo)」意指-N=N-基團。
「羰基(carbonyl)」意指-C(O)-基團,亦可表示為>C=O。
「羧基(carboxyl)」意指‑CO
2H基團或其陰離子。
「氰基(cyano)」意指-CN基團。
「環脂肪族(cycloaliphatic)」意指如本文所定義之環狀脂肪族基團。
「環烷氧基(cycloalkoxy)」意指透過氧原子連接至母分子基團之如本文所定義的環烷基。在一些實施例中,環烷氧基為-O-R,其中R為如本文所定義之環烷基。
「環烷基烷氧基(cycloalkylalkoxy)」意指透過氧原子連接至母分子基團之如本文所定義的烷基-環烷基。在一些實施例中,環烷基烷氧基為-O-L-R,其中L為如本文所定義之烷基,而R為如本文所定義之環烷基。
「環烷基(cycloalkyl)」意指三至八個碳(除非另指明)之單價飽和或不飽和的非芳香環烴基團,其舉例有環丙基、環丁基、環戊基、環己基、環庚基、雙環[2.2.1 .庚基]及類似者。環烷基亦可經取代或未經取代。例如,環烷基可經一或更多基團取代,包括本文對烷基所述之彼等。
「環雜脂肪族(cycloheteroaliphatic)」意指如本文所定義之環狀雜脂肪族基團。
「二硫化物(disulfide)」意指-SSR,其中R選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族或其任何組合。
「酯(ester)」意指-C(O)OR或-OC(O)R,其中R選自如本文所定義之脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或其任何組合。
「鹵素(halo)」意指F、Cl、Br 或 I。
「鹵代脂肪族(haloaliphatic)」意指一或更多氫原子(例如1至10個氫原子)獨立被鹵素原子(例如氟、溴、氯或碘)取代之如本文所定義之脂肪族基團。
「鹵代脂肪族-芳基(haloaliphatic-aryl)」意指偶聯或可偶聯至本文所揭示之化合物之如本文所定義的芳基,其中芳基透過如本文所定義之鹵代脂族基團偶聯或變得偶聯。在一些實施例中,鹵代脂肪族-芳基為-L-R,其中L為如本文所定義之鹵代脂肪族基團,且R為如本文所定義之芳基。
「鹵代脂肪族-雜芳基(haloaliphatic-heteroaryl)」意指偶聯或可偶聯至本文所揭示之化合物之如本文所定義的雜芳基,其中雜芳基透過如本文所定義之鹵代脂族基團偶聯或變得偶聯。在一些實施例中,鹵代脂肪族-雜芳基為-L-R,其中L為如本文所定義之鹵代脂肪族基團,且R為如本文所定義之雜芳基。
「鹵烷基(haloalkyl)」意指一或更多氫原子(例如1至10個氫原子)獨立被鹵素原子(例如氟、溴、氯或碘)取代之如本文所定義的烷基。在一獨立實施例中,鹵烷基可為-CX
3基團,其中每一X可獨立選自氟、溴、氯或碘。在一些實施例中,鹵烷基為-L-X,其中L為如本文定義之烷基,而X為氟、溴、氯或碘。在其他實施例中,鹵烷基為-L-C(X)(R
1)-R
2,其中L為如本文所定義之共價鍵或烷基;X為氟、溴、氯或碘;且R
1與R
2各自獨立為如本文所定義之H或烷基。
「鹵代雜脂肪族(haloheteroaliphatic)」意指一或更多氫原子(例如1至10個氫原子)獨立被鹵素原子(例如氟、溴、氯或碘)取代之如本文所定義的雜脂肪族。
「雜脂肪族(heteroaliphatic)」意指包括至少一個雜原子至20個雜原子(例如一個至15個雜原子、或一個至5個雜原子)之如本文所定義的脂肪族基團,雜原子可選自但不限於氧、氮、硫、矽、硼、硒、磷及基團內其氧化形式。
「雜脂肪族-芳基(heteroaliphatic-aryl)」意指偶聯或可偶聯至本文所揭示之化合物之如本文所定義的芳基,其中芳基透過如本文所定義之雜脂肪族基團偶聯或變得偶聯。在一些實施例中,雜脂肪族-芳基為-L-R,其中L為如本文所定義之雜脂肪族基團,且R為如本文所定義之芳基。
「雜烷基(heteroalkyl)」、「雜烯基(heteroalkenyl)」及「雜炔基(heteroalkynyl)」分別意指包括至少一個雜原子至20個雜原子(例如一個至15個雜原子或一個至5個雜原子)之如本文所定義的烷基、烯基或炔基(其可為支鏈、直鏈或環狀),雜原子可選自但不限於氧、氮、硫、矽、硼、硒、磷及其在基團內之氧化形式。
「雜亞烷基(heteroalkylene)」意指如本文所述之雜烷基、雜烯基或雜炔基的多價(例如,二價形式)。雜亞烷基可為支鏈或非支鏈。雜亞烷基亦可經取代或未經取代。例如,雜亞烷基可經一或更多取代基取代,如本文對烷基所述。
「雜烷基-芳基(heteroalkyl-aryl)」、「雜烯基-芳基(heteroalkenyl-aryl)」及「雜炔基-芳基(heteroalkynyl-aryl)」意指偶聯或可偶聯至本文所揭示化合物之如本文所定義的芳基,其中芳基分別透過如本文所定義之雜烷基、雜烯基或雜炔基偶聯或變為偶聯。在一些實施例中,雜烷基-芳基為-L-R,其中L為如本文所定義之雜烷基,而R為如本文所定義之芳基。在一些實施例中,雜烯基-芳基為-L-R,其中L為如本文所定義之雜烯基,而R為如本文所定義之芳基。在一些實施例中,雜炔基-芳基為-L-R,其中L為如本文所定義之雜炔基,而R為如本文所定義之芳基。
「雜烷基-雜芳基(heteroalkyl-heteroaryl)」、「雜烯基-雜芳基(heteroalkenyl-heteroaryl)」及「雜炔基-雜芳基(heteroalkynyl-heteroaryl)」意指偶聯或可偶聯至本文所揭示化合物之如本文所定義的雜芳基,其中雜芳基分別透過如本文所定義之雜烷基、雜烯基或雜炔基偶聯或變為偶聯。在一些實施例中,雜烷基-雜芳基為-L-R,其中L為如本文所定義之雜烷基,而R為如本文所定義之雜芳基。在一些實施例中,雜烯基-雜芳基為-L-R,其中L為如本文所定義之雜烯基,而R為如本文所定義之雜芳基。在一些實施例中,雜炔基-雜芳基為-L-R,其中L為如本文所定義之雜炔基,而R為如本文所定義之雜芳基。
「雜芳香族(heteroaromatic)」意指包括至少一個雜原子至20個雜原子(例如一個至15個雜原子或一個至5個雜原子)之如本文所定義的芳香族基團,雜原子可選自但不限於氧、氮、硫、矽、硼、硒、磷及其在基團內之氧化形式。
「雜芳基(heteroaryl)」意指包括至少一個雜原子至六個雜原子(例如一至四個雜原子)之芳基,雜原子可選自但不限於氧、氮、硫、矽、硼、硒、磷及其在環內之氧化形式。此等雜芳基可具有單環或多個稠合環,其中稠合的環可以是或可以不是芳香族及/或含有雜原子,條件是連接點係透過芳香族雜芳基的原子。雜芳基可經一或更多個除氫以外的基團取代,例如脂肪族、雜脂肪族、芳香族、其他官能基或其任何組合。示例性雜芳基包括如本文所定義之雜環基的子集,其為芳香族,即,其在單環或多環系統內含有4n+2個π電子。
「雜原子(heteroatom)」意指除碳以外的原子,例如氧、氮、硫、矽、硼、硒或磷。在特定所揭示之實施例中,例如當價限制不允許時,雜原子不包括鹵素原子。
「雜環基(heterocyclyl)」意指含有一個、兩個、三個或四個非碳雜原子(例如,獨立選自氮、氧、磷、硫或鹵素)之5-、6-或7-元環(除非另指明)。5-元環具有零至兩個雙鍵,而6-元環及7-元環具有零至三個雙鍵。術語「雜環基」亦包括雙環、三環及四環基團,其中任何上述雜環稠合至一個、兩個或三個獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環(例如吲哚基、喹啉基、異喹啉基、四氫喹啉基、苯並呋喃基、苯並噻吩基及類似者 )所組成之群組的環。雜環包括硫雜環丙基(thiiranyl)、氧雜環丁烷基(thietanyl)、四氫噻吩基(tetrahydrothienyl)、噻環己基(thianyl)、硫雜環庚烷基(thiepanyl)、吖丙啶基(aziridinyl)、吖丁啶基(azetidinyl)、吡咯啶基(pyrrolidinyl)、哌啶基(piperidinyl)、氮雜環庚烷基(azepanyl)、吡咯基(pyrrolyl)、吡咯啉基(pyrrolinyl)、吡唑基(pyrazolyl)、吡唑啉基(pyrazolinyl)、吡唑啶基(pyrazolidinyl)、咪唑基(imidazolyl)、咪唑啉基(imidazolinyl)、咪唑啉啶基(imidazolidinyl)、吡啶基(pyridyl)、均哌啶基(homopiperidinyl)、吡嗪基(pyrazinyl)、哌嗪基(piperazinyl)、嘧啶基(pyrimidinyl)、噠嗪基(pyridazinyl)、噁唑基(oxazolyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、異噁唑基(isoxazolyl)、異噁唑啶基 (isoxazolidiniyl)、嗎啉基(morpholinyl)、硫代嗎啉基(thiomorpholinyl)、噻唑基(thiazolyl)、噻唑啶基(thiazolidinyl)、異噻唑基(isothiazolyl)、異噻唑啶基(isothiazolidinyl)、吲哚基(indolyl)、喹啉基(quinolinyl)、異喹啉基(isoquinolinyl)、苯並咪唑基(benzimidazolyl)、苯並噻唑基(benzothiazolyl)、苯並噁唑基(benzoxazolyl)、呋喃基(furyl)、噻吩基(thienyl)、噻唑啶基(thiazolidinyl)、異噻唑基(isothiazolyl)、異吲唑基(isoindazoyl)、三唑基(triazolyl)、四唑基(tetrazolyl)、噁二唑基(oxadiazolyl)、脲嘧啶基(uricyl)、噻二唑基(thiadiazolyl)、嘧啶基(pyrimidyl)、四氫呋喃基(tetrahydrofuranyl)、二氫呋喃基(dihydrofuranyl)、二氫噻吩基(dihydrothienyl)、二氫吲哚基(dihydroindolyl)、四氫喹啉基(tetrahydroquinolyl)、四氫異喹啉基(tetrahydroisoquinolyl)、哌喃基(pyranyl)、二氫哌喃基(dihydropyranyl)、四氫哌喃基(tetrahydropyranyl)、二噻唑基(dithiazolyl)、二氧雜環己基(dioxanyl)、二氧雜環己烯基(dioxinyl)、二噻環己基(dithianyl)、三噻環己基(trithianyl)、噁嗪基(oxazinyl)、噻嗪基(thiazinyl)、氧代硫雜環戊基(oxothiolanyl)、三嗪基(triazinyl)、苯並呋喃基(benzofuranyl)、苯並噻吩基(benzothienyl)及類似者。
「雜環氧基(heterocyclyloxy)」意指透過氧原子連接至母分子基團之如本文所定義的雜環基。在一些實施例中,雜環氧基為-O-R,其中R為如本文所定義之雜環基。
「雜環醯基(heterocyclyloyl)」意指透過羰基連接至母分子基團之如本文所定義的雜環基。在一些實施例中,雜環基為-C(O)-R,其中R為如本文所定義之雜環基。
「羥基(hydroxyl)」意指-OH。
「羥烷基(hydroxyalkyl)」意指經一至三個羥基取代之如本文所定義的烷基,條件是不超過一個羥基可連接至該烷基之單個碳原子,其舉例有羥甲基、二羥丙基及類似者。在一些實施例中,羥烷基為-L-OH,其中L為如本文所定義之烷基。在其他實施例中,羥烷基為-L-C(OH)(R
1)-R
2,其中L為如本文所定義之共價鍵或烷基,而R
1與R
2各自獨立為如本文所定義之H或烷基。
「亞胺基(imido)」意指=NR基團,其中R選自如本文所定義之H、脂肪族、雜脂肪族、芳香族、或其任何組合。
「酮(ketone)」意指-C(O)R,其中R選自如本文所定義之脂肪族、雜脂肪族、芳香族、或其任何組合。酮的示例可包括R
1C(O)R,其中R及R
1各自獨立選自如本文所定義之脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族、或其任何組合。
「硝基(nitro)」意指-NO
2基團。
「硝烷基(nitroalkyl)」意指經一至三個硝基取代之如本文所定義的烷基。在一些實施例中,硝烷基為-L-NO,其中L為如本文所定義之烷基。在其他實施例中,硝烷基為-L-C(NO)(R
1)-R
2,其中L為如本文所定義之共價鍵或烷基,而R
1與R
2各自獨立為如本文所定義之H或烷基。
「側氧基(oxo)」意指=O基團。
「氧基(oxy)」意指-O-。
「全氟烷基(perfluoroalkyl)」意指每一氫原子被氟原子取代之如本文所定義的烷基。示例性全氟烷基包括三氟甲基、五氟乙基等。在一些實施例中,全氟烷基為‑(CF
2)
nCF
3,其中n為0至10的整數。
「全氟烷氧基(perfluoroalkoxy)」意指每一氫原子被氟原子取代之如本文所定義的烷氧基。在一些實施例中,全氟烷氧基為-O-R,其中R為如本文所定義之全氟烷基。
「鹽」意指化合物或結構之離子形式(例如,本文所述之任何式、化合物或組成),其包括陽離子或陰離子化合物以形成電中性化合物或結構。鹽為本領域眾所周知。例如,無毒鹽描述於Berge S. M. 等人之「Pharmaceutical salts」
J. Pharm. Sci.1977年1月;66(1):1-19;及「Handbook of Pharmaceutical Salts: Properties, Selection, and Use」Wiley-VCH, 2011年4月(第2修訂版編輯 P. H. Stahl 及C. G. Wermuth中。鹽可在本發明化合物之最終分離及純化期間原位製備,或者透過游離鹼基團與合適的有機酸反應(因而產生陰離子鹽)或透過酸基團與合適的金屬或有機鹽反應(因而產生陽離子鹽)來分開製備。代表性之陰離子鹽包括醋酸鹽(acetate)、己二酸鹽(adipate)、海藻酸鹽(alginate)、抗壞血酸鹽(ascorbate)、天冬胺酸鹽(aspartate)、苯磺酸鹽(benzenesulfonate)、苯甲酸鹽(benzoate)、碳酸氫鹽(bicarbonate)、硫酸氫鹽(bisulfate)、酒石酸氫鹽(bitartrate)、硼酸鹽(borate)、溴化物(bromide)、丁酸鹽(butyrate)、樟腦酸鹽(camphorate)、樟腦磺酸鹽(camphorsulfonate)、氯化物(chloride)、檸檬酸鹽(citrate)、環戊丙酸鹽(cyclopentanepropionate)、二葡糖酸鹽鹽(digluconate)、二鹽酸鹽(dihydrochloride)、二磷酸鹽(diphosphate)、十二烷基硫酸鹽(dodecylsulfate)、依地酸鹽(edetate)、乙磺酸鹽(ethanesulfonate)、富馬酸鹽(fumarate)、葡萄糖庚酸鹽(glucoheptonate)、葡萄糖酸鹽(gluconate)、麩胺酸鹽(glutamate)、甘油磷酸鹽(glycerophosphate)、半硫酸鹽(hemisulfate)、庚酸鹽(heptonate)、己酸鹽(hexanoate)、溴化氫鹽(hydrobromide)、氯化氫鹽(hydrochloride)、碘化氫鹽(hydroiodide)、羥基乙磺酸鹽(hydroxyethanesulfonate)、羥基萘甲酸鹽(hydroxynaphthoate)、碘化物(iodide)、乳酸鹽(lactate)、乳糖酸鹽(lactobionate)、月桂酸鹽(laurate)、月桂基硫酸鹽(lauryl sulfate)、 蘋果酸鹽(malate)、順丁烯二酸鹽(maleate)、丙二酸鹽(malonate)、扁桃酸鹽(mandelate)、甲烷磺酸鹽(mesylate)、甲磺酸鹽(methanesulfonate)、甲基溴化物(methylbromide)、甲基硝酸鹽(methylnitrate)、甲基硫酸鹽(methylsulfate)、黏液酸鹽(mucate)、2-萘磺酸鹽(2-naphthalenesulfonate)、菸酸鹽(nicotinate)、硝酸鹽(nitrate)、油酸鹽(oleate)、草酸鹽(oxalate)、棕櫚酸鹽(palmitate)、雙羥萘酸鹽(pamoate)、果膠酯酸鹽(pectinate)、過硫酸鹽(persulfate)、3-苯丙酸鹽(3-phenylpropionate)、磷酸鹽(phosphate)、苦酸鹽(picrate)、新戊酸鹽(pivalate)、聚半乳糖醛酸鹽(polygalacturonate)、丙酸鹽(propionate)、水楊酸鹽(salicylate)、硬脂酸鹽(stearate)、次醋酸鹽(subacetate)、琥珀酸鹽(succinate)、硫酸鹽(sulfate)、單寧酸鹽(tannate)、酒石酸鹽(tartrate)、茶鹼酸鹽(theophyllinate)、硫氰酸鹽(thiocyanate)、三乙基碘化物(triethiodide)、甲苯磺酸鹽(toluenesulfonate)、十一酸鹽(undecanoate)、戊酸鹽(valerate salts)及類似者。代表性之陽離子鹽包括金屬鹽,例如鹼金屬鹽或鹼土金屬鹽,如鋇、鈣(例如依地酸鈣)、鋰、鎂、鉀、鈉及類似者;其他金屬鹽,如鋁、鉍、鐵及鋅;以及無毒的銨鹽、季銨鹽及胺陽離子,包括但不限於銨、四甲基銨、四乙基銨、甲胺、二甲胺、三甲胺、三乙胺、乙胺、吡啶鎓(pyridinium)及類似者。其他陽離子鹽包括有機鹽,例如氯普魯卡因(chloroprocain)、膽鹼(choline)、二芐基乙二胺、二乙醇胺、乙二胺、甲基葡萄糖胺及普魯卡因(procaine)。另其它鹽包括銨、鋶(sulfonium)、氧化鋶(sulfoxonium)、磷鎓(phosphonium)、亞胺鎓(iminium)、咪唑鎓(imidazolium)、苯並咪唑鎓(benzimidazolium)、脒鎓(amidinium)、胍鎓(guanidinium)、磷酸鎓(phosphazinium)、磷腈鎓(phosphazenium)、吡啶鎓(pyridinium)等,以及本文所述之其它陽離子基團(例如,視情況取代之異噁唑鎓(isoxazolium)、視情況取代之噁唑鎓(oxazolium)、視情況取代之噻唑鎓(thiazolium)、視情況取代之吡咯鎓(pyrrolium)、視情況取代之呋喃鎓(furanium)、視情況取代之噻吩鎓(thiophenium)、視情況取代之咪唑鎓(imidazolium)、視情況取代之吡唑鎓(pyrazolium)、視情況取代之異噻唑鎓(isothiazolium)、視情況取代之三唑鎓(triazolium)、視情況取代之四唑鎓(tetrazolium)、視情況取代之呋喃唑鎓(furazanium)、視情況取代之吡啶鎓(pyridinium),視情況取代之嘧啶鎓(pyrimidinium)、視情況取代之吡嗪鎓(pyrazinium)、視情況取代之三嗪鎓(triazinium)、視情況取代之四嗪鎓(tetrazinium)、視情況取代之噠嗪鎓(pyridazinium)、視情況取代之噁嗪鎓(oxazinium)、視情況取代之吡咯啶鎓(pyrrolidinium)、視情況取代之吡唑啶鎓(pyrazolidinium)、視情況取代之咪唑啉鎓(imidazolinium)、視情況取代之異噁唑啶鎓(isoxazolidinium)、視情況取代之噁唑啶鎓(oxazolidinium)、視情況取代之哌嗪鎓(piperazinium)、視情況取代之哌啶鎓(piperidinium)、視情況取代之嗎啉鎓(morpholinium)、視情況取代之氮雜環庚烷鎓(azepanium)、視情況取代之氮雜庚因鎓(azepinium)、視情況取代之吲哚鎓(indolium)、視情況取代之異吲哚鎓(isoindolium)、視情況取代之吲哚嗪鎓(indolizinium)、視情況取代之吲唑鎓(indazolium)、視情況取代之苯並咪唑鎓(benzimidazolium)、視情況取代之異喹啉鎓(isoquinolinum)、視情況取代之喹嗪鎓(quinolizinium)、視情況取代之脫氫喹嗪鎓(dehydroquinolizinium)、視情況取代之喹啉鎓(quinolinium)、視情況取代之異吲哚啉鎓(isoindolinium)、視情況取代之苯並咪唑鎓(benzimidazolinium)、及視情況取代之嘌呤鎓(purinium)。
「甲矽烷基醚(silyl ether)」意指包含有共價結合至如本文所定義之烷氧基之矽原子的官能基。在一些實施例中,甲矽烷基醚為-Si-O-R或Si-O-R,其中R為如本文所定義之烷基。
「亞磺醯基(sulfinyl)」意指-S(O)-基團。
「磺酸基(sulfo)」意指-S(O)
2OH基團。
「磺醯基(sulfonyl)」或「磺酸根(sulfonate)」意指-S(O)
2-基團或-SO
2R,其中R選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或任何其組合。
「烷硫基(thioalkoxy)」意指透過硫原子連接至母分子基團之如本文所定義的烷基。示例性未經取代之烷硫基包括C
1-6烷硫基。在一些實施例中,烷硫基為-S-R,其中R為如本文所定義之烷基。
「烷硫基烷基(thioalkoxyalkyl)」意指被如本文所定義之烷硫基取代之如本文定義的烷基。示例性未經取代之烷硫基烷基包括2至12個碳(C
2-12烷硫基烷基),以及具有帶1至6個碳之烷基及帶1至6個碳之烷硫基的彼等(即,C
1-6烷硫基-C
1-6烷基)。在一些實施例中,烷硫基烷基為-L-S-R,其中L及R各自獨立為如本文所定義之烷基。
「硫醇(thiol)」意指-SH基團。
本文所述之任何官能基或化學部分可用於配位基中(例如,對於含Mo前驅物、含C前驅物、含Si前驅物或含B前驅物)。
本領域中具有通常知識者將知悉,以上提供的定義非旨在包括不允許的取代模式(例如,被5個不同基團取代之甲基及類似者)。本領域中具有通常知識者輕易知悉此等不允許的取代模式。本文所揭示或上文所定義之任何官能基可經取代或未經取代,除非其中另有指明。
本發明之其他特徵及優點將從以下描述及請求項顯而易見。
在此詳細參考本案之具體實施例。具體實施例之示例示於附圖中。儘管將結合此些具體實施例來描述本案,但將理解的是,其並非意欲將本案限制於此等具體實施例。相反地,其意欲涵蓋可包括於本案之精神及範圍內之替代、修改及均等者。在以下描述中,闡述許多具體細節以對本案提供透徹的瞭解。可在沒有此些具體細節之一些或全部者下實行本案。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊本案。
特定的技術節點需要可提供更具選擇性之圖案化或蝕刻操作的先進半導體製程。本案部分地與提供增強選擇性之含鉬層有關。此等層可用於擴展技術微縮(technology scaling),例如透過提供選擇性硬遮罩材料。因此,在某些實施例中,含Mo層展現某些特性(例如,密度、蝕刻選擇性、氫含量、氟含量等,以及其組合),其顯現用作硬遮罩之所欲膜行為。
鉬之習知沉積可涉及使用含氟前驅物,例如MoF
6。然而,使用 MoF
6會導致大量氟併入所沉積之含Mo膜中。氟的存在會導致電遷移或氟擴散至相鄰構件中並損壞觸點,因而降低裝置的性能。隨著裝置縮小,特徵部變得更小,且電遷移以及離子擴散之不利影響變得更加顯著,因而導致裝置故障。含氟量增加的Mo膜會因此引起整合及可靠性問題,以及與下伏層膜或裝置結構(例如貫孔及閘極)相關之裝置性能問題。本文描述的是具有最小氟含量的製程。在一實例中,可使用不含氟之含Mo前驅物。在另一實例中,採用含氟之含Mo前驅物,但沉積製程可將生長膜中之氟含量減到最少。
圖 1提供具有硬遮罩之堆疊的非限定使用。例如,該堆疊可包括阻劑層114、含Mo層113、材料層112及基板層111。在一些實例中,使用術語「基板」來表示可在其上沉積含Mo層的一般表面。因此,在
圖 1中,基板可包括材料層112及基板層111。在一些實例中,此等基板可被視為堆疊。
可如所見,材料層112設置於含Mo層113與基板層111之間;且阻劑層114可設置於含Mo層113之頂表面部分上。處理該堆疊(或基板,其可互換使用)的方法可包括對阻劑層114圖案化101以形成具有圖案之阻劑遮罩。可如所見,阻劑遮罩可包括圖案化特徵部114a/b/c/d,其中設置有開口105。
再次返回
圖 1,該方法可進一步包括將阻劑遮罩之圖案轉移102至下伏含Mo層113。在將圖案從阻劑遮罩轉移至含Mo層後,含Mo層本身即具有定義的圖案。在一些實施例中,含Mo層作用為硬遮罩,其包括圖案化特徵部113a/b/c/d,且其中設有一或更多開口106。
含Mo層所提供之定義圖案可接著轉移到至材料層。返回
圖 1,該方法可進一步包括將含Mo層(作用為硬遮罩)之定義圖案轉移103至下伏材料層112。在圖案化之後,材料層可具有圖案化特徵部112a/b/c/d,且其中設有一或更多開口107。
除了將含Mo層用作硬遮罩之外,含Mo層還可用於其他目的。例如,含Mo層可作為蝕刻停止層、保形層、平面層、階梯覆蓋層、阻障層、隔離層、或堆疊內之任何其他有用層。
可以任何有用的方式提供含Mo層。如
圖 2中所見,一非限定方法可包括提供210基板並將基板之表面部分暴露270於一或更多含Mo前驅物與一或更多沉積前驅物。非限定含Mo前驅物可為本文所述之任一者。沉積前驅物可包括不包含Mo之任一前驅物。此等非限定沉積前驅物可包括含C前驅物、含Si前驅物或含B前驅物。
提供及暴露操作可包括使用具有基板固持件之處理腔室。提供操作可包括將基板提供至基板固持件,其可為基座。
暴露操作可包括依序或同時輸送含Mo前驅物及一或更多沉積前驅物。此等輸送可包括原子層沉積(ALD)、化學氣相沉積(CVD)以及其電漿增強形式。
再次返回
圖 2,該方法可包括視情況地在電漿存在下沉積290含Mo層。在一些實施例中,沉積可包括電漿增強化學氣相沉積(PECVD)製程。本文描述提供電漿的處理條件。
暴露或沉積操作可包括使用另一試劑,例如反應物氣體、還原劑、載氣或其組合。非限定試劑可包括氣體形式之彼等,例如氫(H
2)、氬(Ar)、氮(N
2)、氦(He)及其組合。
視情況地,該堆疊可包括設置在含Mo層與基板之間的界面層。在一些實例中,界面層可提供黏附層、起始層或生長層。如
圖 2中所見,該方法可包括在基板之頂表面部分上提供界面層的可選操作230。因此,將基板暴露於含Mo前驅物將在界面層之頂表面部分上提供含Mo層。
此外,在其他選擇中,可預處理基板的頂表面部分。如
圖 2中所見,該方法可包括預處理基板之頂表面部分的可選操作250。因此,將基板暴露於含Mo前驅物將在預處理表面之頂表面部分上提供含Mo層。不希望受限於機制,此等預處理可增加含Mo層對界面層或對基板之頂表面部分的黏附性。非限定預處理條件可包括使用氦(He)、氬(Ar)、氮(N
2)或氫(H
2)以及其組合,其可用於預處理表面(例如,在引入本文所述之含Mo前驅物或其他沉積前驅物之前)。
操作230、250可按任何順序依序執行。在一實例中,該方法包括提供界面層,接著預處理界面層之頂表面部分,因而在界面層上提供預處理表面。在另一實例中,該方法包括預處理基板之頂表面部分,因而提供預處理表面;接著在預處理表面上提供界面層。在另其他實例中,僅執行操作230或操作250中之一者。
本案亦關於用於處理基板的方法。此等處理可包括將圖案轉移至基板的一部分。如本文所用,術語基板可指特定材料或層、材料或層的組合、堆疊或材料與裝置之任何其他有用組合。因此,可將圖案轉移至任何材料、層、堆疊、裝置或其一部分。
半導體製造製程涉及記憶體及邏輯裝置的製造。示例包括3D NAND及動態隨機存取記憶體(DRAM)應用,以及用於中段(MEOL)及後段(BEOL)製程之邏輯應用。記憶體及邏輯裝置的製造經常涉及在基板上蝕刻特徵部,例如接觸孔,基板可包括一材料或多層材料,其中一些可為半導體材料。例如貫孔或接觸孔之「特徵部」的特徵可在於狹窄或凹入開口、特徵部內縮窄、及高深寬比中之一或更多者。如本文所述之術語「特徵部」係指負特徵部,例如孔或貫孔。在許多例子中,蝕刻特徵部涉及在待蝕刻之材料上沉積並圖案化硬遮罩,以及使用硬遮罩作為圖案來蝕刻材料。圖案化硬遮罩最終可從基板上去除。如本文所述,含Mo層可用作硬遮罩或蝕刻停止層。
在其他實施例中,本文提供之含Mo層可用作互連金屬化內之組成件(例如,用於填充凹入特徵部以形成觸點)、在FinFET中之邏輯閘極應用中、作為黏附層或擴散阻障層、以及在3D NAND製造中。例如,含Mo層可用作基板,用於隨後沉積不同金屬層、金屬化層或不同含金屬層(例如,含鈷層或純鉬金屬層)。應用示例包括邏輯及記憶體接觸填充、DRAM埋入式字元線填充、垂直整合型記憶體閘極、及字元線填充、以及使用矽穿孔(TSV)之3-D整合。不希望受限於機制,鉬的電阻率比鎢更佳,且在一些實施例中,鉬是用於填充狹窄凹入特徵部(例如寬度小於約20 nm之特徵部)之特別有利的金屬。在一示例性應用中,鉬金屬用於製造無阻障層觸點。在此應用中,鉬金屬直接沉積至具有約5-100 nm(例如約5-20 nm)寬度之凹入特徵部(接觸孔)中,其中凹入特徵部形成在介電層中,且包括暴露的介電質,例如氧化矽、氮化矽或低k材料,例如側壁上之碳氧化矽及底部暴露的矽或矽鍺。在可替代實施例中,沉積之前的接觸孔可襯有界面層(如氮化鎢、氮化鉬、碳化鉬或氮化鈦),其上沉積鉬金屬。
在特定實施例中,此等處理可包括使用含Mo層作為硬遮罩,以圖案化材料層。如
圖 3A中所見,一方法可包括提供310具有材料層之基板、在材料層之頂表面部分上沉積330含Mo層、在含Mo層內形成350定義圖案、以及將定義圖案轉移370至含Mo層底下的材料層。視情況地,該方法可包括在沉積含Mo層之前提供界面層或預處理基板的表面。該製程亦可包括其他操作,例如去除含Mo層、界面層或預處理表面。
基板之處理可包括轉移圖案的進一步操作。如
圖 3B中所見,一方法可包括提供310具有材料層的基板。可選操作可包括在基板之頂表面部分上提供界面層,因而在基板與含Mo層之間設置界面層;或預處理表面以提供預處理表面320。該製程亦可包括其他操作,例如去除含Mo層、界面層或預處理表面。
該方法可進一步包括在材料層之頂表面部分上沉積330含Mo層,以及沉積351阻劑層以形成具有圖案之阻劑遮罩。阻劑層可被進一步圖案化(例如,光圖案化)以形成阻劑遮罩。除了阻劑遮罩之外,可使用任何有用的圖案化遮罩並將其設置在含Mo層上。
阻劑遮罩可用於圖案化含Mo層。例如,該方法可進一步包括將阻劑遮罩的圖案轉移352至含Mo層上。在轉移此圖案後,含Mo層即可用作硬遮罩,其進而具有阻劑遮罩所提供之定義圖案。定義圖案可包括含Mo層內之一或更多圖案化特徵部及一或更多開口。最後,該方法可包括將含Mo層(用作硬遮罩)之定義圖案轉移371至設於含Mo層下方之材料層。
此等轉移操作可包括蝕刻阻劑層、含Mo層、界面層、預處理表面或材料層。蝕刻可定義特定層內之開口,以及透過暴露開口來蝕刻材料以轉移圖案。非限定蝕刻化學物質可包括含鹵素氣體,例如三氟化氮(NF
3)、三氟化硼 (BF
3)、六氟化硫(SF
6)、氯氣(Cl
2)、溴氣(Br
2)、基於C
xF
y之氣體,其中x為1至10,且y為2至20;及類似者;含氧氣體,例如氧(O
2);或含氟氣體,例如基於C
xF
y的氣體,包括本文所述之任一者。
當存在界面層時,圖案之轉移可包括透過含Mo層內之定義圖案(或開口)來蝕刻界面層。當存在預處理表面時,圖案之轉移可包括透過含Mo層內之定義圖案來蝕刻預處理表面。
沉積製程
沉積可包括輸送一或更多前驅物至處理腔室。此等前驅物可包括含Mo前驅物,其在一或更多沉積前驅物存在下沉積以提供含Mo層。本文之前驅物可在氣體(例如,反應物氣體、還原劑或載氣,以及其組合)存在下輸送至處理腔室。載氣可為例如惰性氣體。非限定氣體包括氫(H
2)、氮(N
2)、氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、氙(Xe)及類似者。
在特定實施例中,在沉積期間使用還原劑。在一實例中,使用還原劑將膜內之金屬原子(例如,Mo原子)還原至較低氧化態。在另一實例中,還原劑能夠去除生長膜中之鹵化物或其他雜質。非限定還原劑可包括氫(H
2)、甲烷 (CH
4)、氨(NH
3)、其他含氮氣體或含氮還原劑,例如肼(N
2H
4)、一氧化碳 (CO)、含硼或含矽還原劑,例如B
2H
6或SiH
4及類似者。另其他還原劑包括水、醇、H
2S、烴(例如本文所述之任一者,包括甲烷(CH
4)、乙炔(C
2H
2)、乙烯(C
2H
4)、丙烯(C
3H
6)及類似者)與硫醇,視情況使用電漿活化。
在一些實施例中,沉積包括使用含Mo前驅物、沉積前驅物及還原劑。在特定實施例中,沉積前驅物為含C前驅物、含Si前驅物或含B前驅物。還原劑可為本文所述之任一者,包括但不限於氫(H
2)氣體。
含Mo層可設置在基板之頂表面部分上、在界面層之頂表面部分上(其又設於基板之頂部上)、或在預處理表面之頂表面部分上。界面層或預處理表面之使用可增強含Mo層對基板的黏附性。
在沉積含Mo層之前,該表面可包括界面層。界面層可透過ALD、CVD或其電漿增強形式來提供。本文描述提供中間層之非限定前驅物及沉積條件。
在沉積含Mo層之前,可對表面進行預處理。此等預處理可包括處理基板之表面部分或處理界面層(若存在的話)之表面部分。在一實例中,可用浸泡氣體對表面進行預處理。非限定浸泡氣體包括含氧氣體、含氮氣體或能夠改變基板與隨後沉積材料之間的界面以減少來自基板之電子穿隧的其他合適氣體。浸泡氣體之示例包括氧氣(O
2)、氨(NH
3)、氮 (N
2)、甲矽烷(SiH
4)、乙矽烷 (Si
2H
6)、丙矽烷(Si
3H
8)、鍺烷(GeH
4)、氬(Ar)、乙硼烷(B
2H
6)、氫氣(H
2)、氮(N
2)或其組合。
在一些實施例中,可使用一或更多氣體浸泡基板。例如,在一些實施例中,基板可暴露於甲矽烷達第一持續時間,接著暴露於乙硼烷達第二持續時間。此等操作亦可循環重複。在任一揭示實施例中,可在一或更多浸泡操作循環之間沖洗容置基板的腔室。沖洗可透過將惰性氣體(例如氬)流入腔室來執行。
預處理可視情況地包括改質基板或界面層以提供粗糙表面。在一些實施例中,所述改質可包括透過表面之非反應性離子轟擊之濺射以提供粗糙表面。非限定非反應性離子可包括氬(Ar)、氦(He)、氪(Kr)或其他非反應性物質。 在其他實施例中,改質可包括將表面暴露於含氧電漿以提供含氧表面。非限定含氧電漿可包括二氧化碳(CO
2)、氧(O
2)或水(為H
2O或為H
2與O
2的混合物)。
在其他實施例中,預處理可視情況地包括將界面層之基板暴露於紫外線輻射。紫外線輻射可以介於約180-600 nm之間的波長發射達介於約60-600秒之間的持續時間。
含Mo層之沉積可包括ALD製程、CVD製程以及其電漿增強形式。在一些實施例中,沉積包括將前驅物暴露於電漿。沉積亦可包括各種類型的電漿製程,例如使用感應耦合式電漿、電容耦合式電漿、微波電漿CVD、遠端電漿增強CVD及其他類似製程。
ALD為使用連續自限性反應沉積薄層材料之技術。通常,ALD循環包括將至少一反應物輸送並吸附至基板表面,接著使被吸附的反應物與一或更多反應物反應以形成膜之部分層的操作。例如,一沉積循環可包括以下操作 : (i) 輸送/吸附含Mo前驅物,(ii)從腔室中沖除含Mo前驅物,(iii)輸送沉積前驅物(例如,含C前驅物、含Si前驅物、含B前驅物,在反應物氣體、還原劑、載氣之可選存在下),以及(iv)從腔室中沖除沉積前驅物。
在ALD製程中,基板可循環暴露。例如,可先將基板暴露於含Mo前驅物的脈衝,接著視情況地沖除前驅物,而後將基板暴露於另一試劑的脈衝(例如,還原劑或沉積前驅物),隨後視情況地沖洗試劑。可重複此等循環,直到在基板上形成所欲厚度的層。將知悉,含Mo前驅物、沉積前驅物及還原劑的順序可為任何有用的順序。沖洗可透過流動惰性氣體(例如氬)來進行。在一些實施例中,惰性氣體亦可用作載氣,以輸送一或更多氣體(包括但不限於浸泡氣體、前驅物氣體、反應物氣體、還原劑及載氣)至基板。儘管本文將ALD描述為示例,但將理解,透過本文ALD沉積的膜亦可透過CVD或其他技術來沉積。
在任何上述暴露期間,氣體可被脈衝或連續流動。同樣地,在一些實施例中,在沖洗期間,在單次沖洗操作期間,可於一或更多次期間脈衝惰性氣體。在沉積期間,可利用對一或更多參數(例如壓力、流率及溫度)的一或更多變化。在一些實施例中,基座可在沉積期間移動,使得可對基板與基座上方之噴淋頭之間的間隙調變。移動基座可與改變一或更多參數(例如壓力、溫度或流率)組合使用。調變基板與噴淋頭之間的間隙可影響根據某些揭示實施例可能使用之壓力、溫度或流率。
可修改含Mo前驅物、沉積前驅物及其他可選氣體(例如,反應物氣體、還原劑或載氣)之流率以提供所欲含Mo層。典型之氣體壓力及流率可包括本文所述之任一者。在其他實施例中,含Mo前驅物之流率可介於約20-1000 sccm之間,而可選沉積前驅物之流率可介於約100-5000 sccm之間。載氣之流率可約1500-15000 sccm。若存在的話,可以介於約100-1000 sccm之間的流率提供還原劑。在沉積期間,可將處於本文流率之一或更多氣體提供至處理腔室。視情況地,可使用電漿,例如透過遠端輸送至處理腔室或在腔室內產生,以沉積含Mo層。暴露時間可變化(例如,約1-5000秒),其取決於層之所欲厚度或沉積含Mo前驅物與其他沉積前驅物之每一循環期間的所欲厚度。
在沉積、圖案形成、圖案轉移或蝕刻期間可使用電漿。可使用諸多類型的電漿源,包括RF、直流(DC)及基於微波的電漿源。在一些實施例中,使用RF電漿源。通常,用於300 mm晶圓之射頻(RF)電漿功率介於約500-10000瓦特(W)或介於約 3000-10000 W之間。在一些實施例中,功率為每站約7000W。 根據所使用之製程腔室,在一些實施例中,每一站具有專用功率源。在諸多實施例中,產生電漿作為噴淋頭上游之感應耦合式電漿。
電漿之產生可包括使用一或更多射頻(RF)功率源。在一實例中,RF功率源以約50 kHz至100 MHz(例如,50 kHz至13.6 MHz、50 kHz至27 MHz、13.6 MHz至100 MHz,以及其間之範圍)的頻率提供約100-5000 W的功率。在另一實例中,RF功率源以約50 kHz至約100 MHz(例如,50 kHz至13.6 MHz、50 kHz至27 MHz、13.6 MHz至100 MHz、以及其間之範圍)的頻率提供約500-5000 W的功率。一般地,電漿可直接在容置基板之處理腔室中產生(直接電漿或原位電漿)或在分離的隔室中遠端產生,且所得之電漿活化物質送至處理腔室(遠程電漿)。
用於產生電漿之功率可介於每站約10-3000W之間(例如,100-1000 W、200-1000 W、200-800 W、200-500 W、500-3000 W或800-3000 W)。用於產生電漿之RF頻率可介於約0.3-600 MHz之間(例如,13.56 MHz、60 MHz、27 MHz、2 MHz、40 MHz、100 MHz、400 kHz或其組合)。使用脈衝電漿或連續波電漿,RF偏壓功率可介於約0-1000W之間。處理腔室可為感應耦合式電漿(ICP)腔室或電容耦合式電漿(CCP)腔室。在ICP腔室之一些實施例中,頂部ICP產生器及偏壓產生器之頻率為13.5 MHz。取決於下伏層,在一些實施例中,壓力可介於約10-400 mTorr,變壓器耦合式電漿(TCP)功率可介於約 200-500 W。
用於產生電漿之RF頻率可介於約0.3-600 MHz之間(例如,13.56 MHz、60 MHz、27 MHz、2 MHz、400 kHz或其組合)。使用具有介於1-100% (例如,1-99%) DC之脈衝電漿,RF偏壓功率可介於約10-1000 W之間,其中100%表示CW。在一些實例中,電漿之脈衝持續時間介於約 0.02-5 毫秒之間。RF偏壓功率可以低於5000Hz脈衝,例如約5-2000 Hz(例如,介於約5-100 Hz之間)之頻率。 TCCT參數可為0.1至1.5。在一些非限定製程中,電漿暴露可包括高頻(HF)RF分量(例如,一般介於約1-100 MHz之間,例如約13.56 MHz)與低頻(LF)RF分量(例如,小於約1 MHz或一般約100 kHz-2 MHz,例如約100 kHz)。可在任何有用的電漿條件下提供此等HF與LF RF分量,例如任何有用的功率範圍(例如,100-1000 W或100-5000 W)或頻率範圍(例如,50 kHz至100 MHz)。
在特定實施例中,沉積涉及PECVD製程。此製程可包括變壓器耦合式電漿(TCP)、感應耦合式電漿 (ICP)或電容耦合式電漿(CCP)。在特定實施例中,TCP或ICP功率約100-1000W,無偏壓。在某些實施例中,電漿(例如,TCP或ICP)之產生可透過處於連續波(CW)模式之功率來控制。
在諸多實施例中,在含Mo層之沉積或蝕刻期間不對基座施加偏壓。然而,在一些實施例中,使用RF偏壓。在一些實施例中可使用RF偏壓。可使用諸多類型的RF偏壓;例如,RF偏壓可以13.56 MHz或更低的頻率產生,包括但不限於400MHz、2MHz及1MHz。
在一些實例中可採用高偏壓。例如,可使用脈衝之高偏壓來執行蝕刻,以有效地去除含Mo層。高偏壓之示例為蝕刻期間施加至基座之具有至少約1000V功率的偏壓。偏壓之使用取決於化學性以及在使用某些揭示實施例之應用中是否使用定向蝕刻。若施加偏壓,施加至偏壓的功率可介於約10-3000 V之間,例如約10 V。將理解,術語「偏壓功率」及「偏壓電壓」在本文中可互換使用,以描述施加偏壓至基座時基座所設定的電壓。如本文所述的偏壓功率或偏壓電壓係以瓦特作測量,代表施加至基座之功率。
可使用施加之脈衝偏壓(例如,約10-1000W的功率)或施加之連續波偏壓(例如,約10-500W的功率)來施加偏壓。在進一步實施例中,沉積(例如,在CW模式下使用TCP或ICP功率)可包括施加的偏壓(無論頻率為何),其以介於約1-99%之工作週期脈衝(例如,在約1 Hz至約10 kHz之範圍內,例如10-2000 Hz)。 本文描述額外之脈衝頻率及工作週期。在一些實施例中,可提供所施加之脈衝偏壓來控制離子能量。非限定之施加的脈衝偏壓功率可約10-1000W,以及本文所述之其他範圍。
例如,脈衝電漿可在低與高偏壓之間,或開啟(ON)狀態之偏壓與關閉(OFF)狀態(0V)狀態之偏壓之間脈衝。低偏壓與高偏壓之間的脈衝涉及在約100-300 V之間的低偏壓與約1000-2500 V之間的高偏壓之間脈衝。
可使用介於約3%與約40%或約3%至約99%之間、或100%(連續偏壓)的工作週期(DC)來執行脈衝。工作週期係指在一週期期間脈衝呈開啟的持續時間。將理解,偏壓脈衝可涉及週期的重複,每一週期可維持持續時間T。持續時間T包括在給定時段期間脈衝ON時間的持續時間(偏壓處於ON狀態的持續時間)及偏壓OFF時間的持續時間(偏壓處於OFF狀態的持續時間)。 脈衝頻率將被理解為1/T。例如,對於偏壓脈衝週期T = 100 µs,頻率為1/T = 1/100 µs,或10 kHz。工作週期或工作比為週期T中偏壓處於ON狀態之分率或百分比,因此工作週期或工作比為脈衝開啟時間除以T。例如,對於偏壓脈衝週期T = 100 µs,若脈衝ON時間為70 µs(使得一個週期內偏壓處於ON狀態的持續時間為70 µs)且脈衝OFF時間為30 µs(使得一個週期內偏壓處於OFF狀態的持續時間為30 µs),則工作週期為70%。
可採用脈衝或連續偏壓來微調膜的特性。在一實施例中,相較於利用0 W偏壓功率所製備之低密度膜,脈衝偏壓可提供較高密度膜。在一些實例中,相較於低密度膜,此等較高密度膜可提供增強的抗蝕刻性。在其他實例中,利用0 W偏壓功率所製備之低密度膜,此等較高密度膜可提供減少的底切。
在其他實施例中,沉積可包括施加的CW偏壓。CW偏壓亦可用於控制離子能量。在一些實施例中,施加的CW偏壓功率可為10-1000W(例如,10-500 W、10-400 W,以及本文所述之其他範圍)。
其他非限定製程條件包括> 1毫托耳(mTorr)的壓力(例如,約5-1000 mTorr或1至10 Torr),< 5000W(例如,約10-3000 W)之功率位準,或< 200°C(例如,約0-100°C)或> 200°C(例如,約200-700°C)的溫度。可利用在0.3-600 MHz下操作之RF源、介於10-3000 W之間的功率來產生電漿。
其他處理條件可包括提供有用之含Mo層的彼等條件。用於沉積之基板溫度可於例如約20-700°C的範圍內(例如,300-650°C、250-650°C、250-550°C或150-350°C)。在一些實施例中,可使用較低溫度。此等溫度可低於500°C、低於550°C、低於450°C、低於400°C或低於350°C。低溫可用於改善階梯覆蓋率。此外,低溫可能會增加沉積層中之雜質含量,從而增加非晶特性,其進而可增大隨後沉積層之晶粒尺寸。在諸多實施例中,在低溫下沉積該層可能是有利的。腔室壓力可介於約0.2-90 Torr之間、或介於約5-50 Torr之間、或介於約20-40 Torr之間、或約30 Torr。
另其他非限定沉積條件包括控制處理腔室內之前驅物流率、氣體流率、製程壓力、溫度(例如,靜電吸盤(ESC)溫度)、電漿(例如,TCP)功率、偏壓功率及及工作週期(DC)。前驅物之流率可介於約1-2500每分鐘標準狀態毫升 (sccm)之間(例如,約20-5000 sccm、100-5000 sccm、20-1000 sccm或100-1000 sccm)。載氣之流率可介於約0-20000 sccm之間(例如,0-15000 sccm、1000-2000 sccm或1000-15000 scmm)。腔室壓力可介於約5-1000 mTorr之間(例如,5-800 mTorr、10-500 mTorr、10-400 mTorr、30-500 mTorr、10-1000 mTorr或30-1000 mTorr)或介於約0.2- 20 Torr之間。ESC溫度可介於約20-700°C之間;且處理腔室可介於200-650°C之間。
在沉積之後,可視情況地對含Mo層退火。退火可透過提高溫度來進行。例如,若反應在相對低的溫度下進行,例如,在低於約450°C(例如低於約 400°C)的溫度下,退火期間溫度可升高例如50-200°C。在一些實施例中,退火在介於約300-500℃之間的溫度下進行。
圖案形成及轉移製程
在半導體堆疊內,可透過使用遮罩來達到圖案化。在一實例中,透過使用阻劑層來使用阻劑遮罩,阻劑層可使用微影製程來製造,以在光阻內光學注入圖案,接著對光阻顯影以去除部分,因而在阻劑內形成開口。非限定阻劑可包括正型光阻、負型光阻、紫外(UV)光阻、i-線光阻、電子束(e-beam)光阻及其他者。用於圖案化含Mo層之非限定材料可包括例如二氧化矽、非晶碳、含矽前驅物、含碳前驅物,以及包括或由此些中之任一者所形成的材料。
阻劑遮罩可透過在表面上沉積一層阻劑材料(例如,透過旋塗)達所欲厚度來形成。透過將阻劑暴露於圖案化輻射(例如UV輻射)接著顯影阻劑材料,可將圖案引入阻劑材料。顯影後,在阻劑層內提供具有開口之圖案以產生阻劑遮罩。
而後,阻劑遮罩可用於在含Mo層內提供定義圖案。在一些實例中,含Mo層可用作硬遮罩。硬遮罩可視情況地包括寬度介於約16-120 nm之間的特徵部開口。在使用中,可將含Mo層內之定義圖案轉移至設置於含Mo層下方的材料層。
圖案之轉移可包括提供具有開口之遮罩,接著透過使用該遮罩蝕刻下伏材料層。蝕刻可包括將遮罩對準於材料層上方,其中遮罩內之開口定義材料層之顯露部分。接下來,蝕刻可包括將材料層之開口區域暴露於蝕刻劑化學物質,其導致通過開口區域去除材料層。蝕刻可包括濕式或乾式製程,以及其組合。
非限定蝕刻劑化學可包括在存在或不存在電漿下使用蝕刻劑氣體。蝕刻劑氣體可包括含氟氣體(例如,氟碳氣體或全氟碳氣體)、含氧氣體、含氮氣體、含氯氣體、含碳氣體、含鹵素氣體,以及其混合物。蝕刻劑氣體可視情況地包括惰性氣體,例如氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、氙(Xe)及類似者。
非限定蝕刻劑氣體如下。含氟氣體可為四氟甲烷(CF
4)、全氟乙烷(C
2F
6)、六氟環丙烷或六氟丙烯(C
3F
6)、全氟丙烷(C
3F
8)、六氟-1,3-丁二烯或六氟環丁烯(C
4F
6)、全氟異丁烯或八氟環丁烷(C
4F
8)、八氟環戊烯(C
5F
8)、十氟環戊烷或全氟戊-1-烯(C
5F
10)、或1,2,3,3,4,5,6,6-八氟環六-1,4-二烯或3-(三氟乙烯基)五氟環丁烯(C
6F
8)、或其組合。含氧氣體可包括氧(O
2)、二氧化碳(CO
2)、一氧化碳 (CO)、一氧化二氮(N
2O)、二氧化氮(NO
2)、臭氧(O
3)、水(H
2O)及類似者。含氮氣體可包括氮(N
2)、氨(NH
3)、N
2O、NO
2及類似者。含氯氣體可包括氯化氫(HCl)、氯氣(Cl
2)、四氯甲烷(CCl
4)、三氯甲烷(CHCl
3)、二氯甲烷(CH
2Cl
2)、氯甲烷(CH
3Cl)及類似者。含碳氣體可為烴或本文之任一含C前驅物,以及甲烷(CH
4)、乙烷(C
2H
6)、乙烯(C
2H
4)及類似者。含鹵素氣體可為三氟化氮(NF
3)、三氟化硼(BF
3)、六氟化硫(SF
6)、氯氣(Cl
2)、溴氣(Br
2)、氯化氫(HCl)、四氟甲烷(CF
4)、 或類似者。
在將定義圖案轉移至材料層之後,可視情況地剝除含Mo層。剝除含鉬層之方法可包括本文所述之任一蝕刻劑化學物質。剝除化學可包括使用過氧化氫、硫酸以及含氧氣體與含鹵素氣體的組合。
在本文之任一製程中,可在沉積、圖案形成及圖案轉移製程之間採用沖洗操作。沖洗操作可包括使用沖洗氣體(例如本文之任何惰性氣體)以從處理腔室中去除氣體或副產物。每次沖洗可進行介於約0.25-30秒之持續時間。
含鉬前驅物
在一些實例中,使用摻雜劑可改善抗蝕刻性。因此,本文之製程、層及膜可包括使用含Mo前驅物來提供含Mo層。可修改本文之任何製程方案以將Mo併入含Mo層中。例如,在本文所述之製程方案內(例如,本文所述之任何流率、壓力、溫度、電漿功率、偏壓功率、脈衝頻率、工作週期、TCCT等),沉積可包括單獨使用含Mo前驅物或與另一沉積前驅物一起使用(例如,含C、含Si或含B前驅物)。
非限定含Mo前驅物可包括有機鉬化合物(例如,具有一或更多有機配位基)、鹵化鉬化合物(例如,具有一或更多鹵素)、氧鹵化鉬化合物(例如,具有氧化物及鹵素)、無機鉬化合物及類似者。
在一實施例中,含Mo前驅物包括具有式(I)之結構 :
MoL
n(
I),
其中每一L獨立選自氫(H)、鹵素、氧化物(=O)、亞胺基(=NR
1)、羰基(CO)、胺(NR
1R
2)、有機配位基、單牙配位基、或雙牙配位基之群組;且n選自2-6。R
1及R
2各自可為本文所述之任一官能基,例如本文定義之脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族、或其任何組合。每一L可為本文所述之任一配位基。
在一些實施例中,一或更多L可為如本文所定義之脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。在其他實施例中,一或更多L可為烷基、烯基、炔基、雜烷基、雜烯基、雜炔基、鹵代烷基、鹵代烯基、鹵代炔基、鹵代雜烷基、鹵代雜烯基、鹵代雜炔基、芳基、雜芳基、烷基-芳基、烯基-芳基、炔基-芳基,烷基-雜芳基、烯基-雜芳基、炔基-雜芳基、雜烷基-芳基、雜烯基-芳基、雜炔基-芳基、雜烷基-雜芳基、雜烯基-雜芳基、雜炔基-雜芳基或其任何組合。在具體揭示實施例中,L可進一步經一或更多取代基取代,例如烷氧基、醯胺、胺、硫醚、羥基、硫醇、醯氧基、矽烷基、環脂肪族、芳基、醛、酮、酯、羧酸、醯基、醯鹵、氰基、鹵素、磺酸根、硝基、亞硝基、季胺、吡啶基 (或吡啶基,其中氮原子被脂肪族或芳基官能化)、鹵代烷基或其任何組合。
在另一實施例中,含Mo前驅物包括具有式(
II)之結構 :
Mo(X)
m(L)
n(
II),
其中每一X獨立為鹵素(例如F、Cl、Br及I)、氧化物(=O)、亞胺基(=NR
1)或羰基(CO);每一L為有機配位基(例如,本文所述之任一者);m選自0-6;且n選自0-6,其中m與n中之至少一者不為零。在特定實施例中,L進一步包括選自由N、O及S所組成之群組的元素。
在一些實施例中, 有機配位基獨立地選自脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族、酯、胺、脒根(amidinates)、醯胺酸根(amidates)、亞胺基吡咯烷根(iminopyrrolidinates)、二氮雜二烯(diazadienes)、β-亞胺基胺根(β-imino amides)、α-亞胺基烷氧根(α-imino alkoxides)、β-二亞胺根(β-diketiminates)、β-酮亞胺根(β-ketoiminates)、β-二酮根(β-diketonates)、吡唑特(pyrazolates)、β-胺基烷氧根(β-amino alkoxides)、胍根(guanidinates)、醯胺(amides)、醯亞胺(imides)、硫醚(thioethers)、硫醇根(thiolates) 、二硫醇烯(dithiolenes)、α-亞胺基硫醇烯(α-iminothiolenes)、α-二硫醇根(α-dithiolates)及β-二硫醇根(β-dithiolates),其中每一者可經取代或未經取代。
在另一實施例中,含Mo前驅物包括具有式(
III)之結構 :
Mo
2L
n(
III),
其中每一L為雙牙配位基;且n選自2-5。在特定實施例中,含Mo前驅物包括多個鉬-鉬鍵。雙牙配位基可為本文所述之任何配位基,例如脒根、醯胺酸根或胍根配位基。
在又另一實施例中,含Mo前驅物包括具有式(
IV)之結構 :
Mo(L1)
m(L2)
n(X)
p(
IV),
其中每一L1獨立為雙牙配位基;每一L2獨立為中性配位基;每一X獨立為陰離子配位基;m選自1-3;n選自0-4;且p選自0-4。在一些實施例中,n與p不同時為零。本文描述雙牙配位基、中性配位基及陰離子配位基的示例。
非限定雙牙配位基包括脒根、醯胺酸根、亞胺基吡咯烷根、二氮雜二烯、β-亞胺基胺根、α-亞胺基烷氧根、β-二亞胺根、β-酮亞胺根、β-二酮根、吡唑特、β-胺基烷氧根、胍根、二硫醇烯、α-亞胺基硫醇烯、α-二硫醇根及β-二硫醇根。雙牙配位基可為中性的或陰離子。另其他雙牙配位基可包括 NR
1-Ak-NR
2、NR
1-Ak-O、NR
1-Ak-S、S-Ak-O、O-Ak-O及S-Ak-S,其中Ak為視情況選取代之亞烷基或視情況選取代之雜亞烷基。Ak基團可未經取代或被例如烷基、胺、羥基、鹵素、胺基烷基、羥烷基、鹵代烷基或本文對烷基所述之其他取代基取代。Ak基團可為飽和或不飽和(例如,具有一或更多雙鍵或三鍵)。
配位基可為中性或陰離子(例如,單陰離子或雙陰離子),且鉬可為各種氧化態,例如+1、+2、+3、+4、+5及+6。在一些實施例中,配位基可為中性配位基。非限定中性配位基可包括CO、胺、膦、腈、異腈及硫醚。在其他實施例中,配位基可為陰離子配位基。非限定陰離子配位基包括鹵根、烷基、烯丙基(allyl)、環戊二烯基(cyclopentadienyl)、烷氧根(alkoxide)、胺根(amide)及亞胺根(imide)。
其他示例包括雜配鹵化鉬化合物(即具有不同類型配位基之化合物)。此等前驅物之特定示例為包括鉬、與鉬形成鍵之至少一鹵根及至少一有機配位基之化合物(例如,其中有機配位基具有N、O及S元素中之任一者,其中任何此些元素之原子與鉬形成鍵)。在一實施例中,含Mo前驅物包括具有式(
V)之結構 :
Mo(X)
m(L)
n(
V),
其中每一X獨立為鹵素;每一L為有機配位基;m選自1-6;且n選自1-4。在特定實施例中,L包括選自由N、O及S組成之群組的元素。
在本文之任一實施例中,配位基為具有N、O與S元素中任一者之有機配位基,其中任何此些元素之原子與鉬形成鍵。在本文之任一實施例中,配位基可為胺、脒根、醯胺酸根、亞胺基吡咯烷根、二氮雜二烯、β-亞胺基胺根、α-亞胺基烷氧根、β-二亞胺根、β-酮亞胺根、β-二酮根、吡唑特、β-胺基烷氧根、胍根、醯胺及醯亞胺。非限定之含N及O配位基包括
方案 I中之彼等。
方案 I
在一些實施例中,配位基可為硫醚、硫醇根、二硫醇烯、α-亞胺基硫醇烯、α-二硫醇根及β-二硫醇根。硫醚可包括單牙與多牙兩者(例如,雙牙或三牙)硫醚,以及含有硫醚及硫醇根(或其他)部分的配位基。單牙硫醚之示例為二烷基硫醚SR
1R
2,其中R
1與R
2各自獨立為烷基,例如在二甲基硫醚、二乙基硫醚、二異丁基硫醚及類似者中。還包括硫醇根部分之多牙硫醚配位基的示例為(SCH
2CH
2SCH
2CH
2S)
2-。進一步非限定含S配位基包括
方案 II中之彼等。
方案 II
在
方案 I及
方案 II中,R
1、R
2及R
3各自獨立為氫(H)、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。在其他實施例中,R
1、R
2及R
3各自獨立為烷基、烯基、炔基、雜烷基、雜烯基、雜炔基、鹵代烷基、鹵代烯基、鹵代炔基、鹵代雜烷基、鹵代雜烯基、鹵代雜炔基、芳基、雜芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-雜芳基、烯基-雜芳基、炔基-雜芳基、雜烷基-芳基、雜烯基-芳基、雜炔基-芳基、雜烷基-雜芳基、雜烯基-雜芳基、雜炔基-雜芳基或其任何組合。在特定揭示實施例中,R
1、R
2及R
3各自可進一步經一或更多取代基取代,例如烷氧基、醯胺、胺、硫醚、羥基、硫醇、醯氧基、矽烷基、環脂肪族、芳基、醛、酮、酯、羧酸、醯基、醯鹵、氰基、鹵素、磺酸根、硝基、亞硝基、季胺、吡啶基 (或吡啶基,其中氮原子被脂肪族或芳基官能化)、鹵代烷基或其任何組合。
在
方案 I或
方案 II中之配位基的另其他實施例中,R
1、R
2及R
3各自獨立為H、烷基、胺、鹵代烷基、烷基矽烷基、烷基胺基及烷氧基。在一些實施例中,R
1、R
2及R
3各自獨立為H、甲基、乙基、正丙基、異丙基、異丁基、正丁基、仲丁基、叔丁基、戊基、己基、環丙基、環丁基、環戊基、環己基、環丙基甲基、環丙基乙基、環丙基丙基、環丁基甲基及環丁基乙基。在其他實施例中,R
1、R
2及R
3各自獨立為視情況取代之烷基。在另其他實施例中,採用具有支鏈烷基取代基(例如,C
3-6支鏈烷基,例如異丙基及異丁基)之配位基,因為此等配位基可提供更易揮發之鉬前驅物。
本文之任一配位基可為經取代或未經取代。在一些實施例中,此些配位基包括一或更多取代基,其獨立選自由H、烷基、鹵素、羥基、胺、鹵代烷基、烷基矽烷基、烷基胺基及烷氧基取代基所組成之群組。
在特定實施例中,有機鉬化合物可包括羰化鉬(Mo[CO]
6)、乙酸鉬(Mo
2[O
2CCH
3]
4)、五甲基鉬(MoMe
5)、二氫雙(環戊二烯基)鉬(molybdocene dihydride,MoCp
2H
2,其中Cp為環戊二烯基)、雙(環戊二烯基)鉬(IV)二氯化物(bis(cyclopentadienyl)molybdenum(IV) dichloride,MoCp
2Cl
2或C
10H
10Cl
2Mo)、環戊二烯基鉬(II)三羰基二聚體(cyclopentadienylmolybdenum(II) tricarbonyl dimer,Cp
2Mo
2[CO]
6或C
16H
10Mo
2O
6)、雙(乙基苯)鉬(bis(ethylbenzene)molybdenum,[(Et
xC
6H
6-x]
2Mo,其中x為0、1、2、3、或 4)、環庚三烯鉬三羰基(cycloheptatriene molybdenum tricarbonyl,C
7H
8Mo[CO]
3)、雙(2,2,6,6 - 四甲基-3,5 - 庚二酮)鉬(molybdenum bis(2,2,6,6-tetramethyl-3,5-heptanedionate,Mo[thd]
3,其中thd為 2,2,6,6 - 四甲基-3,5 - 庚二酮)、雙(2,2,6,6 - 四甲基-3,5 - 庚二酮)二氧化鉬(VI)(molybdenum(VI) dioxide bis(2,2,6,6-tetramethyl-3,5-heptanedionate) ,MoO
2[thd]
2)、雙(乙醯丙酮)二氧化鉬(Ⅵ) (molybdenum(VI) dioxide bis(acetylacetonate), MoO
2[acac]
2,其中acac為乙醯丙酮根)、 (二環[2.2.1]庚-2,5-二烯)四羰基鉬((bicyclo[2.2.1]hepta-2,5-diene)tetracarbonylmolybdenum,[nbd]Mo[CO]
4)、(均三甲苯)三羰基鉬 ((mesitylene)molybdenum tricarbonyl,[Me
3C
6H
3]Mo[CO]
3)、(三甲基矽烷基環戊二烯基)鉬二羰基2-甲基烯丙基 ((trimethylsilylcyclopentadienyl) molybdenum dicarbonyl 2-methylallyl,[[CpSiMe
3]Mo[CO]
2[η
3-2-甲基烯丙基])及類似者。
有機鉬化合物可包括胺基。此等化合物可包括雙(叔丁基亞胺基)雙(二甲胺基)鉬(VI)([tBuN]
2Mo[NMe
2]
2)、雙(叔丁基亞胺基)雙(
N,
N-二(異丙基)乙脒基)鉬(VI)( [tBuN]
2Mo[iPr
2AMD]
2, 其中AMD為乙醯胺基)、二(
N,
N-二(環己基) 乙醯胺基)二氧化鉬 (MoO
2[(CyN)
2CMe]
2,其中Cy為環己基)、二(
N,
N-二(異丙基)乙脒基)二氧化鉬(MoO
2[iPr
2AMD]
2或 MoO
2[(iPrN)
2CMe]
2)、二(
N,
N-二(叔丁基)乙脒基)二氧化鉬(MoO
2[tBu
2AMD]
2或MoO
2[(tBuN)
2CMe]
2)及類似者。
鹵化鉬化合物可為氟化鉬(例如,MoF
6或MoF
5或MoF
4)、氯化鉬(例如,[MoCl
5]
2或MoCl
5或MoCl
4或MoCl
3或MoCl
2)、溴化鉬(例如,MoBr
4或MoBr
3或MoBr
2)、或碘化鉬(例如MoI
3)。
在一些實施例中,鹵化鉬為氧鹵化鉬化合物,例如二氯二氧化鉬(例如,MoO
2Cl
2)、二溴二氧化鉬(例如,MoO
2Br
2)、二碘二氧化鉬(例如,MoO
2I
2)、’氧四氯化鉬(MoOCl
4) 、氧四氟化鉬(MoOF
4)、氧碘化鉬(例如,Mo
4O
11I)及類似者。
另其他含鉬前驅物可包括氧化鉬(MoO
3)、鉬酸銨(MoO
4[NH
4]
2)及類似者。在一些實施例中,本文提供之含鉬前驅物具有200℃或更低的汽化溫度。
含碳前驅物
與含鉬前驅物組合,可使用一或更多含碳前驅物以提供含碳化鉬材料。此等材料可包括三元或四元材料,例如碳矽化鉬(molybdenum silicide carbide)、碳硼化鉬(molybdenum boride carbide)及其他者。非限定含C前驅物可包括烴化合物、鹵烴化合物及類似者。在一些實例中,含C前驅物與還原劑(例如H
2)、惰性氣體或其組合一起使用。
烴前驅物一般包括含碳前驅物。在一些實例中,烴前驅物僅包括C及H原子。烴化合物可為C
xH
y,其中x為1至10之整數且其中y為2至24之整數。 另其他非限定烴可包括甲烷(CH
4)、乙炔 (C
2H
2)、乙烯 (C
2H
4)、丙烯(C
3H
6)、丙炔(C
3H
4)、丙二烯(C
3H
4)、環丙烯(C
3H
4)、丁烷(C
4H
10)、環己烷(C
6H
12)、苯(C
6H
6)、甲苯(C
7H
8)及類似者。另其他烴化合物包括烯烴、炔烴、芳烴及環烴。
烴前驅物可為脂肪族化合物(例如,C
1-10烷烴、C
2-10烯烴、C
2-10炔烴,包括其線狀或環狀形式)、環脂肪族化合物(例如,C
3-12環烷烴、C
3-12環烯烴或C
3-12環炔烴)或芳香族化合物(例如苯、甲苯、萘、菲以及它們的其他多環形式)。 烴前驅物可包括飽和鍵(單鍵,例如C-C鍵或C-H鍵)、不飽和鍵(雙鍵或三鍵,例如C=C、C≡C或C≡N鍵)或其組合。脂肪族化合物、環狀化合物或芳香族化合物可經一或更多官能基取代,例如鹵素、烷基、烯基、炔基、烷氧基、氰基、羥基及其他者。
在烴前驅物中,可使用諸多化合物。例如,烴前驅物可包括脂肪族及芳香族化合物(例如,烷烴、烯烴、炔烴、苯等),包括其經取代形式。透過使用不同烴前驅物,可改變含Mo層內某些化學鍵之類型及數量。例如,使用不飽和烴前驅物可提供具有增加不飽和鍵含量(例如,增加C=C或C≡C鍵含量)、增加sp
2碳含量、增加sp碳含量、減少飽和鍵含量(例如,減少C-C鍵含量)、減少sp
3碳含量、或減少C-H鍵含量的層(例如,相較於利用增加量之飽和烴前驅物或減少量之不飽和烴前驅物所形成的膜)。
烴前驅物之選擇可取決於諸多因素。在一非限定實例中,烴前驅物包括飽和前驅物(例如,相較於C-C、C=C或C≡C含量,具有增加的C-H鍵含量),其可提供充分的H原子。然而,在其他非限定實例中,烴前驅物包括不飽和前驅物(例如,相較於C-H鍵含量,具有增加的C-C、C=C或C≡C含量)。不希望受限於機制,選擇此等前驅物可提供增強的抗蝕刻性。
非限定鹵碳化合物可包括鹵代烷烴、鹵代烯烴或鹵代芳烴。在另其他實施例中,鹵化碳化合物為四溴化碳(CBr
4)或四氯化碳(CCl
4)。
在一些實施例中,烴化合物可為烴化合物之含氟、含鹵素、含氧、含矽、含羥基及含硼衍生物。
在另一實施例中,烴化合物可為環狀烴(例如甲基環己烷);經取代芳烴(例如鹵代苯、胺取代苯、C
2-8烷基取代苯或鹵代及烷基取代苯,例如異丙苯、苯胺、
N,
N-二甲基苯胺等);及鹵烴(例如,具有一或更多鹵素之C
2-12烷基)。在一些實例中,烴為未經取代之苯或C
1烷基取代之苯(例如甲苯、鄰二甲苯、間二甲苯、對二甲苯)。在其他實例中,烴為鹵素取代之C1烴(例如氯仿、二氯甲烷)。在另其他實例中,烴為乙腈。
在一些實施例中,烴為具有一或更多雙鍵或三鍵的不飽和烴。在其他實施例中,烴為不飽和環狀烴(例如,環戊烯、環己烯、環庚烯、芴等)。在特定實施例中,烴為具有一或更多雙鍵之烯烴或具有一或更多三鍵的炔烴,其中烯烴或炔烴可為線狀或環狀。示例性烯烴包括乙烯、丙烯、1-丁烯、1-戊烯、1-己烯、1-庚烯、1-辛烯及1-壬烯,以及任何此些之二烯及改變雙鍵位置之位置異構物(若有的話)(例如,1-丁烯的位置異構物可為 2-丁烯等)。示例性炔烴包括乙炔、丙炔、1-丁炔、1-戊炔、1-己炔、1-庚炔、1-辛炔及1-壬炔,以及改變三鍵位置之位置異構物(若有的話)(例如,1-丁炔的位置異構物可為2-丁炔等)。
含矽前驅物
此外,一或更多含Si前驅物可用於提供含矽化鉬材料。此等材料可包括三元或四元材料,例如碳矽化鉬(molybdenum silicide carbide)、矽硼化鉬(molybdenum boride silicide)及其他者。非限定性含矽前驅物可包括矽烷化合物、有機矽烷化合物、烷基矽烷化合物、烷氧基矽烷化合物、矽烷醇化合物、矽氧烷化合物、胺基矽烷化合物、環狀氮雜矽烷化合物、鹵代矽烷化合物、無機矽烷化合物及類似者。在特定實施例中,含Si前驅物可用作還原劑。在一些實例中,含Si前驅物與還原劑、惰性氣體或其組合一起使用。
一般含Si前驅物可具有式SiR
1R
2R
3R
4,其中R
1、R
2、R
3及R
4各自獨立為氫(H)、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族,鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
在某些實施例中,R
1、R
2、R
3及R
4各自獨立為烷基、烯基、炔基、雜烷基、雜烯基、雜炔基、鹵代烷基、鹵代烯基、鹵代炔基、鹵代雜烷基、鹵代雜烯基、鹵代雜炔基、芳基、雜芳基、烷基-芳基、烯基-芳基,炔基-芳基、烷基-雜芳基、烯基-雜芳基、炔基-雜芳基、雜烷基-芳基、雜烯基-芳基、雜炔基-芳基、雜烷基-雜芳基、雜烯基-雜芳基、雜炔基-雜芳基或其任何組合。在特定揭示實施例中,R
1、R
2、R
3及R
4各自獨立可進一步經一或更多取代基取代,例如例如烷氧基、醯胺、胺、硫醚、羥基、硫醇、醯氧基、矽烷基、環脂肪族、芳基、醛、酮、酯、羧酸、醯基、醯鹵、氰基、鹵素、磺酸根、硝基、亞硝基、季胺、吡啶基 (或吡啶基,其中氮原子被脂肪族或芳基官能化)、鹵代烷基或其任何組合。
在一實例中,非限定含Si前驅物包括聚矽烷(H
3Si‑(SiH
2)
n‑SiH
3),其中n>0。矽烷之示例為甲矽烷(SiH
4)、乙矽烷(Si
2H
6)、丙矽烷(Si
3H
8)、四矽烷(Si
4H
10)。
有機矽烷可具有式SiR
1R
2R
3R
4,其中R
1、R
2、R
3及R
4各自獨立為氫(H)、羥基、鹵素、胺、胺基烷基、烷氧基、酯、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合;R
1、R
2、R
3及R
4中之至少一者為有機配位基。非限定有機配位基可包括脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。在其他實施例中,有機配位基包括烷基、烯基、炔基、雜烷基、雜烯基、雜炔基、鹵代烷基、鹵代烯基、鹵代炔基、鹵代雜烷基、鹵代雜烯基、鹵代雜炔基、芳基、雜芳基、烷基-芳基、烯基-芳基、炔基-芳基、烷基-雜芳基、烯基-雜芳基、炔基-雜芳基、雜烷基-芳基、雜烯基-芳基、雜炔基-芳基、雜烷基-雜芳基、雜烯基-雜芳基或雜炔基-雜芳基。
非限定有機矽烷包括甲基甲矽烷、乙基甲矽烷、異丙基甲矽烷、叔丁基甲矽烷、二甲基甲矽烷(SiMe
2H
2)、三甲基甲矽烷(SiMe
3H)、四甲基甲矽烷(SiMe
4)、二乙基甲矽烷(SiEt
2H
2)、三乙基甲矽烷(SiEt
3H)、四乙基甲矽烷(SiEt
4)、叔丁基甲矽烷、三丁基甲矽烷(SiBu
3H)、烯丙基甲矽烷、四烯丙基甲矽烷(Si[CH
2CH=CH
2]
4)、仲丁基甲矽烷、二甲苯基甲矽烷、異戊基甲矽烷、叔丁基乙矽烷、二叔丁基乙矽烷、環戊二烯基三甲基甲矽烷(SiCpMe
3)、六甲基乙矽烷 (Si
2Me
6)、醋酸矽(Si[OAc]
4,其中Ac為乙醯基)及類似者。
烷氧基矽烷包括鍵結至Si原子之至少一O原子,但亦可含有H、N、鹵素或C原子。非限定烷氧基矽烷可具有式R
1OSiR
2R
3R
4,其中R
1為視情況取代之脂肪族或視情況取代之烷基;R
2、R
3及R
4各自獨立為氫(H)、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
烷氧基矽烷之示例為單-、二-、三-及四-烷氧基矽烷(分別為H
3Si[OR]、H
2Si[OR]
2、HSi[OR]
3及Si[OR]
4,其中每一R可獨立為視情況取代之烷基或芳基),以及經取代之單-、二-、三-及四-烷氧基矽烷,例如三甲氧基甲基甲矽烷(MeSi[OMe]
3)、(3-胺基丙基)三甲氧基甲矽烷(NH
2(CH
2)
3Si[OMe]
3)、(3-胺基丙基)三乙氧基甲矽烷(NH
2(CH
2)
3Si[OEt]
3)、三乙氧基乙烯基甲矽烷 (CH
2=CHSi[OEt]
3)、三乙氧基乙基甲矽烷 (EtSi[OEt]
3)、三甲氧基苯基甲矽烷 (PhSi[OMe]
3)、異丁基三乙氧基甲矽烷(i-BuSi(OCH
2CH
3)
3)、二乙醯氧基二甲基甲矽烷(Me
2Si(OCOMe)
2)及類似者。另其他示例包括三甲氧基甲矽烷 (HSi[OMe]
3)、四甲氧基甲矽烷 (Si[OMe]
4)、三乙氧基甲矽烷(HSi[OEt]
3)、四乙氧基甲矽烷(TEOS或Si[OEt]
4)及四丁氧基甲矽烷(Si[OBu]
4)。
非限定矽烷醇可具有式HOSiR
2R
3R
4,其中R
2、R
3及R
4各自獨立為氫(H)、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族,雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。非限定矽烷醇化合物包括三叔丁氧基矽烷醇([tBuO]
3SiOH)、三叔戊氧基矽烷醇([EtMe
2CO]
3SiOH)及類似者。
非限定矽氧烷可具有式R
1R
2R
3Si-O-SiR
4R
5R
6,其中R
1、R
2、R
3及R
4各自獨立為氫(H)、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。非限定矽氧烷化合物包括六氯二矽氧烷(Cl
3SiOSiCl
3)、六甲基二矽氧烷(Me
3SiOSiMe
3)、1,1,3,3-四甲基二矽氧烷(HMe
2SiOSiMe
2H)及類似物。
胺基矽烷包括鍵結至Si原子之至少一N原子,但亦可含有H、O、鹵素或C原子。非限定胺基矽烷可具有式R
1R
2NSiR
3R
4R
5,其中R
1及R
2各自為H、視情況取代之脂肪族、視情況取代之烷基、視情況取代之芳香族、或視情況取代之芳基;R
3、R
4及R
5各自獨立為H、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
胺基矽烷之示例為單胺基矽烷、二胺基矽烷、三胺基矽烷及四胺基矽烷(分別為H
3Si[NH
2]、H
2Si[NH
2]
2、HSi[NH
2]
3及Si[NH
2]
4),以及經取代之單、二、三及四胺基矽烷,例如,叔丁基胺基甲矽烷、甲基胺基甲矽烷、二仲丁基胺基甲矽烷(DSBAS或[(s-Bu)
2N]SiH
3)、H
2Si(NRR′)
2(其中R為tBu或Et,R'為H或 Et)、雙(叔丁基胺基)甲矽烷([H(tBu)N]
2SiH
2或BTBAS)、雙(二乙基胺基)甲矽烷 ([Et
2N]
2SiH
2)、雙(二甲基胺基)二甲基甲矽烷([Me
2N]
2SiMe
2)、六(乙基胺基)乙矽烷([HEtN]
6Si
2)、三(二甲基胺基)甲矽烷([Me
2N]
3SiH)、四(乙基甲基胺基)甲矽烷 ([MeEtN]
4Si)、2,2,4,4,6,6-六甲基環三矽氮烷(Me
6H
3N
3Si
3)、叔丁基矽烷基胺基甲酸酯(t-butyl silylcarbamate)、SiHMe-(NMe
2)
2、SiHCl‑(NMe
2)
2、(SiMe
2NH)
3及類似者。胺基矽烷之另一示例為三甲矽烷基胺(N[SiH
3])。
鹵代矽烷包括至少一鹵素基團且可包括或不包括H或C原子。非限定鹵代矽烷可具有式XSiR
1R
2R
3,其中X為鹵素;R
1、R
2及R
3各自獨立為是H、羥基、鹵素、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。
鹵代矽烷之示例為碘代矽烷、溴代矽烷、氯代矽烷及氟代矽烷。具體氯代矽烷為四氯甲矽烷(SiCl
4)、三氯代甲矽烷(SiHCl
3)、二氯代甲矽烷、一氯代甲矽烷、氯代烯丙基甲矽烷、氯代甲基甲矽烷、二氯代甲基甲矽烷、氯代二甲基甲矽烷、氯代乙基甲矽烷、叔丁基氯代甲矽烷、二叔丁基氯代甲矽烷、氯代異丙基甲矽烷、氯代仲丁基甲矽烷、叔丁基二甲基氯代甲矽烷、己基二甲基氯代甲矽烷、六氯代矽烷(Si
2Cl
6)、芐基三氯代甲矽烷(SiBzCl
3)及類似者。具體溴代矽烷包括四溴代甲矽烷(SiBr
4)及類似者。具體碘代矽烷為四碘代甲矽烷、三碘代甲矽烷、二碘代甲矽烷、單碘代甲矽烷、三甲基甲矽烷基碘及類似者。
含硼前驅物
一或更多含B前驅物可用於提供含硼化鉬材料。此等材料可包括三元或四元材料,例如碳硼化鉬(molybdenum boride carbide)、矽硼化鉬(molybdenum boride silicide)及其他者。非限定含B前驅物可包括有機硼烷化合物、鹵化硼化合物、硼酸酯化合物、無機硼化合物及類似者。在特定實施例中,含B前驅物可用作還原劑。
非限定有機硼烷可具有式BR
1R
2R
3,其中R
1、R
2及R
3各自獨立為H、羥基、鹵素、胺、胺基烷基、烷基胺基、烷氧基、酯、胺基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合;R
1、R
2及R
3中之至少一者為有機配位基(例如本文所述之任一者)。在特定實施例中,有機配位基為視情況取代之烷基、視情況取代之脂肪族、視情況取代之芳基、或視情況取代之芳香族。
有機硼烷化合物可為三甲基硼烷(BMe
3)、1,2-二甲基二硼烷((BH
2Me)
2)、三乙基硼烷(BEt
3)、三苯基硼烷(BPh
3,其中Ph為苯基)、三(五氟苯基)硼烷、四(二甲基胺基))二硼(B
2[NMe
2]
4)及類似物。
非限定鹵化硼化合物可具有式XBR
1R
2,其中X為鹵素;R
1及R
2各自獨立為H、羥基、鹵素、氧化物(=O)、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。鹵化硼化合物可為溴化硼(例如BBr
3)、氯化硼(例如BCl
3或B
2Cl
4)、氟化硼(例如BF或BF
3或B
2F
4)、碘化硼(例如BI
3)、一氧化一氟化硼(boron monofluoride monoxide,BFO)及類似者。
非限定硼酸酯化合物可具有式R
1OBR
2R
3,其中R
1為H、視情況取代之脂肪族或視情況取代之芳香族;且R
2及R
3各自獨立為H、羥基、鹵素、氧化物(=O)、胺、胺基烷基、烷氧基、脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、雜芳香族、脂肪族-芳香族、雜脂肪族-芳香族或其任何組合。硼酸酯化合物可為硼酸三甲酯(B[OMe]
3)、硼酸三乙酯(B[OEt]
3)、硼酸三異丙酯(B[OiPr]
3)及類似者。
非限定無機硼化合物可具有式BR
1R
2R
3,其中R
1、R
2及R
3各自獨立為H、羥基、鹵素、氧化物(=O)、NH
2、NH及類似者。無機硼化合物可為硼烷(BH
3)、乙硼烷(例如,B
2H
6或B
2H
4)、硼嗪(H
3NBH
3)及其他者。
含鉬層
含Mo層可包括一或更多其他雜原子(例如,碳、氮、矽、硼、氧及其他者)。在特定實施例中,該層為含Mo碳層、含Mo矽層、含Mo硼層、含Mo碳與矽層、含Mo碳與硼層、或含Mo矽與硼層,以及任何此些的摻雜形式。此等含Mo層可包括合金或其混合形式。此外,含Mo層可包括二元材料(例如,包括Mo與C、Si或B中的一者)或三元材料(例如,包括Mo與C、Si或B中之兩者)。在一些實施例中,含Mo層為非晶。在其他實施例中,含Mo層為晶粒尺寸介於約1-3 nm之間的晶體。
另其他含Mo層可包括碳化鉬(例如,MoC
y、Mo
2C、MoC或Mo
xC
y)、矽化鉬(例如,MoSi
y、MoSi
2、Mo
5Si
3、Mo
3Si或Mo
xSi
y)、硼化鉬(例如 ,MoB
y、MoB
2、Mo
2B
4或Mo
xB
y)、碳氧化鉬(例如,Mo
2C
yO
z或Mo
xC
yO
z)、矽硼化鉬(例如,Mo
5SiB
2、MoSi
2B或Mo
xSi
yB
z)、碳氮化鉬(例如,MoC
yN
z或Mo
xC
yN
z)、碳矽化鉬(例如,MoSi
yC
z或Mo
xSi
yC
z)、碳硼化鉬(例如,Mo
xB
yC
z)、氮化鉬(MoN
y或Mo
xN
y)、氮氧化鉬(例如,Mo
xO
yN
z)及類似者,其中x、y及z表示此些化合物之化學計量可變化。在一些實施例中,x、y與z各自可為0.1至10,包括其間之整數及小數。
含Mo層可用作硬遮罩、蝕刻停止層、保形層或階梯覆蓋層。硬遮罩可包括在諸多應用中使用,例如深氧化物觸點蝕刻、DRAM電容器模具蝕刻及線或空間蝕刻,包括用於形成淺溝槽隔離結構、閘極及位元線的蝕刻。
在特定實施例中,含Mo層提供硬遮罩膜,其中增加之鉬原子摻雜提供增加的交聯。交聯膜進而可提供較硬或較緻密的膜,從而提供增強的蝕刻選擇性,並對半導體硬遮罩應用保持可去除性。
在其他實施例中,含Mo層提供保形膜。膜之保形程度可透過階梯覆蓋來測量。在一實施例中,透過將側壁上沉積膜之平均厚度除以特徵部頂部處沉積膜之平均厚度並將其乘以100以獲得百分比來算得階梯覆蓋率。
含Mo層亦可具有其他有用的特性。例如,含Mo層可表徵為具有低氟含量(例如,約0-2原子百分比)。在另一示例中,含Mo層可表徵為具有約5-60原子百分比之Mo含量。在又另一實例中,含Mo層可表徵為具有低氫含量(例如,約5-12原子百分比)。
含Mo層可具有降低的壓縮膜應力。在一實例中,應力可約10-20 MPa。在特定實施例中,含Mo層之總張應力可小於約1 GPa。
含Mo層可具有任何有用的厚度,例如約10 Å至10 μm。在其他實施例中,厚度約10-30 Å。在其他實施例中,厚度約200 Å至10 μm。在又另一實施例中,厚度約100 Å至2 μm。在諸多實施例中,含Mo層介於約150-300Å厚。
含Mo層的特徵可在於高蝕刻選擇性。例如,高蝕刻選擇性可允許具有改進蝕刻餘裕(etch margin)之更薄遮罩。
界面層
界面層可包括任何有用的材料。非限定材料包括純硼(B)、純碳(C)、鈦 (Ti)、氮化鈦(TiN)、鋁化鈦(TiAl)、矽化鈦(TiSi)、碳化鈦(TiC)、鎢(W) , 氮化鎢(WN)、碳氮化鎢(WCN)、氮化鉭 (TaN)、鎳 (Ni)、矽化鎳(NiSi)、氮化鎳 (NiN)、碳化鉬(MoC)、氮化鉬(MoN
x)、氮氧化鉬層(MoO
xN
y)及類似者,以及其組合。另其他材料可包括介電層及導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。
界面層可包括任何有用的厚度,例如約10 Å至100 nm。在使用中,界面層可用作擴散阻障、黏附層、阻障層、成核層或其組合。
提供界面層之方法包括氣相沉積前驅物以提供界面層。界面層可沉積在基板之表面部分上或預處理表面上。在一實例中,界面層包括金屬氮化物,其中沉積包括使用適當的含金屬(M)前驅物與適當的含氮(N)前驅物。對於界面層內之其他組成,可使用其他前驅物,例如 : 用於金屬碳化物之含C前驅物與含M前驅物、用於金屬氧化物之含O前驅物與含M前驅物、用於金屬氮氧化物之含O前驅物及含N前驅物與含M前驅物、用於氧化矽之含O前驅物與含Si前驅物等。在其他實施例中,純硼層包括本文所述之任何含B前驅物的沉積;且純碳層包括本文所述之任何含C前驅物的沉積。
非限定含M前驅物包括金屬鹵化物,例如MX
n,其中M為金屬;每一X獨立為鹵素;且n選自2-6。另其他非限定含金屬前驅物包括有機金屬前驅物,例如ML
n,其中M為金屬;每一L獨立為氫(H)、鹵素、氧化物(=O)、亞胺基 (=NR
1)、羰基(CO)、胺(NR
1R
2)、有機配位基、單牙配位基或雙牙配位基(例如,本文所述之任一者);且n選自2-6。M可為界面層內之任何金屬原子,例如鈦(Ti)、鎢(W)、鉭(Ta)、鎳(Ni)鉬(Mo)。
非限制定含Si前驅物包括本文所述之任一者,例如四乙氧基甲矽烷(Si(OEt)
4或TEOS)。非限定含C前驅物包括本文所述之任一者,包括乙炔(C
2H
2)。
非限定含N前驅物包括本文所述之任一者,包括氨(NH
3)、氮(N
2)、肼(N
2H
4)、胺及胺基矽烷。非限定胺包括甲基胺、二甲基胺、乙基甲基胺、乙基胺、異丙基胺、叔丁基胺、二叔丁基胺、環丙基胺、仲丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二-叔丁基肼,以及含芳香族的胺,例如苯胺、吡啶及芐胺。另其他含N前驅物可包括腈(例如乙腈)、醯胺、含N雜環化合物、或胺基醇(例如乙醇胺)。胺可為一級、二級、三級或四級(例如,四烷基銨化合物)。含N前驅物可包含除N以外的雜原子,例如羥胺、叔丁氧羰基胺及N-叔丁基羥胺為含N前驅物。
非限定含O前驅物包括本文所述之任一者,包括氧(O
2)、臭氧(O
3)、一氧化碳(CO)、二氧化碳(CO
2)、水(H
2O)、過氧化氫(H
2O
2)、醇(例如叔戊醇、乙醇、丙醇等)、多元醇(例如二醇,例如乙二醇)、酮、醛、醚、酯、羧酸、烷氧基矽烷、氧雜環戊烷(oxolane)或呋喃。
材料層及基板,包括其堆疊
材料層可包括任何有用的材料。此等材料可包括可用於形成半導體裝置(例如,金屬觸點、溝槽隔離、閘極、位元線或任何其他互連特徵部)之氧化物材料、氮化物材料、介電材料、金屬層、半導體材料及其組合。
非限定材料可包括介電材料,例如氧化矽(例如,SiO
2)、氮化矽(例如,S
i3N
4)、氮氧化矽、碳化矽、碳氧化矽、氮化鈦、二氧化鉿(HfO
2)、二氧化鋯(ZrO
2)、矽氧化鉿(hafnium silicon oxide, HfSiO
2)、氧化鋁鉿(hafnium aluminum oxide, HfAlO)、矽酸鋯氧化合物(zirconium silicon oxide, ZrSiO
2)、二氧化鉭(TaO
2)、氧化鋁、鋁摻雜二氧化鉿、鈦酸鍶鉍(bismuth strontium titanium, BST)、鈦酸鋯鉑(platinum zirconium titanium, PZT),以及其複合物、其合金、或其摻雜形式。在其他實施例中,該材料為高k材料,例如,具有大於4之介電常數。
另其他非限定材料包括導電材料,例如包括矽的材料(例如,多晶矽、例如n型或p型摻雜矽之摻雜矽、矽化鎢(WSi)、鎢多晶矽(W/poly)及類似者)。其他材料包括具有鈦(Ti)、鉿(Hf)、釩(V)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、鐵(Fe)、釕(Ru)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、金(Ag)、銀(Au)、鋁(Al)、其合金、其氮化物化合物、其組合及其他者之彼等。
本文之方法及層可用於任何有用的堆疊。在一實施例中,堆疊包括在三維(3D)堆疊內之階梯狀結構。在另一實施例中,堆疊包括一或更多高深寬比(HAR)特徵部,例如,大於20 : 1,設置在基板上。其他特徵部可存在於堆疊內,例如溝槽、貫孔及類似者。在一些實例中,特徵部透過使用本文所述之含Mo層而形成。
本文之含Mo層可用於提供任何有用的堆疊、膜或裝置。例如,蝕刻選擇性對於圖案化新一代NAND及動態隨機存取記憶體(DRAM)裝置可能至關重要,而本案包括使用含Mo層來形成此等裝置。另其他裝置可包括用於VNAND或垂直整合記憶體(VIM)應用,以及磁性隨機存取記憶體(MRAM)及相變隨機存取記憶體(PCRAM)應用之彼等。
材料層可具有任何有用的厚度。在一些實施例中,材料層可包括單一類型的材料或兩種或更多不同類型的材料。在特定實施例中,材料層可包括交替的子層。材料層或材料子層可具有任何有用的厚度,例如每一層或子層或全部層/子層之總厚度為10 Å至2000 Å。
在諸多實施例中,對於3D NAND應用,材料層介於約50-500 nm厚。材料層中待蝕刻之特徵部的臨界尺寸取決於應用。在一些實施例中,對於3D NAND應用,特徵部具有介於約50-120 nm之間的臨界尺寸。在一些實施例中,對於DRAM應用,特徵部具有介於約16-22 nm之間的臨界尺寸。在其他實施例中,該等特徵部包括縮窄部、支柱、溝槽、空隙及類似者,其可視情況地在稍後被填充(如,例如提供字元線)。
基板可以包括任何有用的材料。在諸多實施例中,基板具有其上包含有氧化物表面之介電層。基板可為矽晶圓, 例如,200毫米晶圓、300毫米晶圓或450毫米晶圓,包括具有一或更多層材料的晶圓,例如沉積在其上之介電、導電或半導體材料。層之非限定示例包括介電層及導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。
在諸多實施例中,基板被圖案化。圖案化基板可具有「特徵部」,例如柱、極點(pole)、溝槽、貫孔或接觸孔,其特徵在於窄或凹入開口、特徵部內窄縮及高深寬比中之一或更多者。特徵部可形成上述層之一或更多者中。例如,特徵部可至少部分地形成在介電層中。在一些實施例中,特徵部可具有至少約2 : 1、至少約4 : 1、至少約6 : 1、至少約10 : 1、至少約25 : 1或更高之深寬比。特徵部之一示例為半導體基板中的孔或貫孔或基板上的層。
在一些實施例中,可在基板之最頂層中的一或更多者上形成特徵部,使得特徵部之底部為顯露底層。特徵部之一示例為半導體基板中的柱或極點或基板上的層。另一示例為基板或層中的溝槽。在諸多實施例中,特徵部可具有底層,例如阻障層或黏附層。底層之非限定示例包括介電層及導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物及金屬層。
設備
本文之方法及層可透過使用設備來形成、沉積並處理。在一實例中,用於提供含Mo層之設備包括處理腔室,其包括基板固持件;與處理腔室及相關流量控制硬體連接之製程氣體源;以及與處理腔室連接之基板處理硬體。
處理腔室及基板固持件可配置用於任何有用的沉積製程。此等沉積製程可包括ALD、CVD及其電漿增強形式。視情況地,處理腔室可為ICP腔室或CCP腔室。基板固持件可為例如具有至少一夾持電極之靜電吸盤(ESC),用於將基板保持在處理腔室內之噴淋頭組件下方。ESC可配置為用作陰極。可視情況地加熱基板固持件。
此外,製程氣體源可配置成提供呈氣體之本文任一前驅物,例如含Mo前驅物、含C前驅物、 含Si前驅物、含B前驅物或其組合。氣體源可視情況地透過噴淋頭組件以與處理腔室連接。
該設備可進一步包括電漿源,其可連接至處理腔室及/或相關聯的流量控制硬體。電漿源可配置成供應電漿至處理腔室。電漿可視情況地為遠端電漿源,其透過匹配網路耦合至處理腔室或噴淋頭組件。
在特定實施例中,該設備進一步包括具有處理器及記憶體的控制器,其中處理器及記憶體相互通訊連接,處理器至少與流量控制及基板處理硬體可操作地連接,且記憶體儲存用於執行本文所述任何方法中所載操作之電腦可執行指令。例如,控制器可配置成控制流量控制硬體及電漿源,其中控制器提供指令用以 : 使基板暴露於處理腔室內之含Mo前驅物及一或更多沉積前驅物,因而將基板暴露於前驅物;供應電漿至處理腔室;且使含Mo層沉積在基板之頂表面部分上。
圖 4示意性示出製程站400的實施例,其可用於使用原子層沉積(ALD)及/或化學氣相沉積(CVD)來沉積材料,其方法皆可用電漿增強。為求簡潔,製程站400繪製為具有用於維持低壓環境之製程腔室本體402的獨立製程站。然而,將知悉,複數製程站400可包含於共同製程工具環境中。進一步地,將知悉,在一些實施例中,製程站400之一或更多硬體參數(包括以下詳細討論之彼等)可由一或更多電腦控制器以程式化方式進行調整。
製程站400與反應物輸送系統401流體連通,以將製程氣體輸送至分佈噴淋頭406。反應物輸送系統401包括一混合容器404,用於混合及/或調節製程氣體,以輸送至噴淋頭406。一或更多混合容器入口閥420可控制製程氣體引入混合容器404。類似地,噴淋頭入口閥405可控制製程氣體引入噴淋頭406。
一些反應物(如BTBAS)可在汽化之前以液體形式儲存在製程站以及隨後輸送至製程站。例如,
圖 4之實施例包括汽化點403,用於汽化待供應至混合容器404之液體反應物。在一些實施例中,汽化點403可為經加熱汽化器。由此等汽化器產生之反應物蒸氣可能在下游輸送管道中冷凝。不相容氣體暴露於冷凝反應物中可能會產生小顆粒。此些小顆粒可能堵塞管道、阻礙閥操作、污染基板等。解決此些問題之一些方法包含清掃及/或排空輸送管道,以去除殘留的反應物。然而,清掃輸送管道可能增加製程站的循環時間,降低製程站的產量。因此,在一些示例中,可熱追踪汽化點403下游之輸送管道。在一些示例中,亦可熱追蹤混合容器404。在一非限定示例中,汽化點403下游之管道具有從約100℃延伸至混合容器404處約150℃之升高溫度曲線。
在一些實施例中,可在液體注入器處汽化反應物液體。例如,液體注入器可將液體反應物的脈衝注入至混合容器上游的載氣流中。在一情況中,液體注入器可透過使液體從較高壓力閃蒸至較低壓力來汽化反應物。在另一情況中,液體注入器可將液體霧化成分散的微滴,其隨後於加熱輸送管中汽化。將知悉,較小的液滴可比較大的液滴更快汽化,從而減少液體注入與完全汽化之間的延遲。更快的汽化可縮減汽化點403下游的管道長度。在一情況中,液體注入器可直接安裝至混合容器404上。在另一種情況中,液體注入器可直接安裝在噴淋頭406上。
在一些實施例中,可提供在汽化點403上游之液體流量控制器,用於控制液體的質量流量以汽化並輸送至製程站400。例如,液體流量控制器(LFC)可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。然而,使用反饋控制可能需要一秒鐘或更長時間來穩定液體流動。此可能會延長注入液體反應物的時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間動態地切換。在一些實施例中, LFC可透過關閉LFC之感測管及PID控制器以動態地從反饋控制模式切換至直接控制模式。
噴淋頭406向基板412分佈製程氣體。在
圖 4所示實施例中,基板412位於噴淋頭406下方,並示為留置於基座408上。將知悉,噴淋頭406可具有任何合適的形狀,且可具有用於將製程氣體分佈至基板412之任何適當數量及佈設的埠。
在一些實施例中,微容積407位於噴淋頭406下方。在微容積中而非在製程站之整個容積中執行ALD及/或CVD製程可減少反應物暴露及清掃時間,可減少改變製程條件(例如,壓力、溫度等)的時間,可限制製程站機器人暴露於製程氣體等。示例性微容積尺寸包括,但不限於,介於 0.1公升與2公升之間的容積。此微容積亦影響生產產量。當每一循環之沉積速率下降時,循環時間亦同時減少。在某些例子中,對於給定之目標膜厚,後者之效果夠顯著而足以改善模組之整體產量。
在一些實施例中,基板固持件(例如,基座408)可被升起或降下,以使基板412暴露於微容積407及/或改變微容積407的容積。例如,在基板轉移階段,基座408可被降下,以允許基板412被裝載至基座408上。在沉積製程階段期間,基座408可被升起以將基板412設於微容積407內。在一些實施例中,微容積407可完全包圍基板412以及一部份的基座408,以在沉積製程期間建立高流阻的區域。
視情況地,基座408可在部分的沉積製程期間被降下及/或升起,以調整微容積407內之製程壓力、反應物濃度等。在製程腔室本體402於沉積製程期間維持於基礎壓力之情況中,降下基座408可允許微容積407被排空。微容積比上製程腔室容積之示例性比率包括,但不限於,介於1 : 500與1 : 10之間的容積比率。將知悉,在一些實施例中,基座高度可透過適當的電腦控制器以程式化方式來調整。
在另一情況中,調整基座408的高度可允許在電漿活化及/或包含於沉積製程中之處理循環期間改變電漿密度。在沉積製程階段結束時,基座408可在另一基板轉移階段期間被降下,以允許基板412從基座408上移除。
儘管本文所述之示例性微容積變化係與高度可調基座有關,但將知悉,在一些實施例中,噴淋頭406的位置可相對於基座408被調整,以改變微容積407的容積。進一步地,將知悉,基座408及/或噴淋頭406之垂直位置可透過任何適合的機構在本案之範圍內作變化。在一些實施例中,基座408可包括用於旋轉基板412方向之轉軸。將知悉,在一些實施例中,此些示例性調整中之一或更多者可透過一或更多適當的電腦控制器以程式化方式來執行。
返回
圖 34所示之實施例,噴淋頭406及基座408與RF功率供應源414及匹配網路416電性連通以對電漿供電。在一些實施例中,電漿能量可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源414及匹配網路416可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。上文包含適當功率的示例。同樣地,RF功率供應源414可提供任何合適頻率之RF功率。在一些實施例中,RF功率供應源414可配置成彼此獨立地控制高頻及低頻RF功率供應源。示例性低頻RF頻率可包括,但不限於,介於50 kHz與1000 kHz之間的頻率。示例性高頻RF頻率可包括,但不限於,介於1.8 MHz與2.45 GHz之間的頻率。將知悉,可不連續地或連續地調變任何合適的參數,以提供用於表面反應之電漿能量。在一非限定示例中,可對電漿功率進行間歇性脈衝,以相對於連續供電的電漿降低對基板表面的離子轟擊。
在一些實施例中,可透過一或更多電漿監測器原位監測電漿。在一情況中,可透過一或更多電壓、電流感測器(例如,VI探針)來監測電漿功率。在另一情況中,可透過一或更多光放射光譜感測器(OES)來測量電漿密度及/或製程氣體濃度。在一些實施例中,可基於來自此等原位電漿監測器之測量值以程式化方式調整一或更多電漿參數。例如,OES感測器可用於反饋迴路中,以提供對電漿功率之程式控制。將知悉,在一些實施例中,可使用其他監測器來監測電漿及其他製程特性。此等監測器可包括,但不限於,紅外(IR)監測器、聲學監測器及壓力轉換器。
在一些實施例中,可透過輸入/輸出控制(IOC)定序指令來控制電漿。在一示例中,用於針對電漿製程階段設定電漿條件的指令可包含於沉積製程配方之對應電漿活化配方階段中。在一些例子中,製程配方階段可依序排列,使得針對沉積製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多電漿參數之指令可包含於電漿製程階段前之配方階段中。例如,第一配方階段可包括用於設定惰性及/或反應物氣體之流率的指令、用於將電漿產生器設定至功率設定點的指令、以及用於第一配方階段之時間延遲指令。第二後續配方階段可包括用於啟動電漿產生器之指令及用於第二配方階段之時間延遲指令。第三配方階段可包括用於關閉電漿產生器之指令及用於第三配方階段之時間延遲指令。將知悉,此些配方階段可在本案之範圍內以任何合適的方式進一步細分及/或重複。
在一些沉積製程中,電漿撞擊持續大約幾秒量級或更長的持續時間。在某些實施方式中,可使用更短的電漿撞擊。此些可能在10 ms至1秒的量級上,通常約 20-80 ms,其中50 ms為一具體示例。此等非常短的RF電漿撞擊需要極快地穩定電漿。為達到此點,電漿產生器可配置成使得阻抗匹配被預設為特定電壓,並允許頻率浮動。習知地,高頻電漿以約13.56 MHz之RF 頻率產生。在本文揭示之諸多實施例中,允許頻率浮動至不同於此標準值的值。透過在將阻抗匹配固定至預定電壓時允許頻率浮動,電漿可更快地穩定,當使用與一些沉積循環類型相關之非常短的電漿撞擊時,此結果可能是重要的。
在一些實施例中,基座408可透過加熱器410進行溫度控制。進一步地,在一些實施例中,對沉積製程站400之壓力控制可透過蝶閥418來提供。 如
圖 4之實施例中所示,蝶閥418調節下游真空泵(未示出)所提供之真空。然而,在一些實施例中,製程站400之壓力控制亦可透過改變引入製程站400之一或更多氣體的流率來調整。
圖 5示出多站處理工具500之實施例的示意圖,其具有入站裝載室502及出站裝載室504,其一者或兩者可包括遠端電漿源。處於大氣壓下之機器人506配置成將晶圓透過傳送盒(pod)508裝載之晶舟通過大氣埠510移動至入站裝載室502。晶圓透過機器人506被放置在入站裝載室502中之基座512上,大氣埠510被關閉,且裝載室被泵抽。在入站裝載室502包括遠端電漿源之情況下,晶圓可在引入處理腔室514之前暴露於裝載室中之遠端電漿處理。進一步地,晶圓亦可在入站裝載室502中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室514之腔室轉移埠516,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於
圖 5中之實施例包括裝載室,但將知悉,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室514包括四個製程站,在
圖 5所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之518)及氣體管線入口。將知悉,在一些實施例中,每一製程站可具有不同或多個目的。儘管所繪之處理腔室514包括四個站,但將理解,根據本案之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中,處理腔室可具有三或更少站。
圖 5亦繪出用於在處理腔室514內轉移晶圓之晶圓搬運系統590的實施例。在一些實施例中,晶圓搬運系統590可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉,可採用任何合適之晶圓搬運系統。非限定示例包括晶圓轉盤及晶圓搬運機器人。
圖 5亦繪出用於控制製程條件及製程工具500之硬體狀態的系統控制器550實施例。系統控制器550可包括一或更多記憶體裝置556、一或更多大容量儲存裝置554以及一或更多處理器552。處理器552可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器550控制製程工具500之所有活動。系統控制器550執行儲存在大容量儲存裝置554中、加載至記憶體裝置556中並在處理器552上執行之系統控制軟體558。系統控制軟體558可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、沖洗條件及時序、晶圓溫度、RF功率位準、RF頻率、基板、基座、吸盤及/或晶座位置、及由製程工具500所執行之特定製程其他參數的指令。系統控制軟體4558可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以根據所揭示之方法執行諸多製程工具製程之製程工具組成件的操作。系統控制軟體558可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體558可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。例如,電漿增強製程(例如,電漿增強原子層沉積(PEALD)製程)之每一階段可包括由系統控制器550執行之一或更多指令。用於設定PEALD製程階段之製程條件的指令可包含於對應的PEALD配方階段中。在一些實施例中,PEALD配方階段可依序排列,使得PEALD製程階段之全部指令與該製程階段同時執行。
在一些實施例中,可採用儲存於與系統控制器550相關聯之大容量儲存裝置554及/或記憶體裝置556上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座518上並控制基板與製程工具500之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制氣體組成及流率,及視情況地用以在沉積前使氣體流入一或更多製程站中以穩定製程站中之壓力。製程氣體控制程式可包含用於將氣體組成及流率控制在任何所揭示範圍內的編碼。壓力控制程式可包含用於控制該製程站中之壓力的編碼,其藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等。壓力控制程式可包含用於將製程站中之壓力保持在任何所揭示壓力範圍內的編碼。
加熱器控制程式可包含用於控制流至加熱單元(用於加熱基板)之電流的編碼。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。加熱器控制程式可包含用以將基板溫度維持在任何所揭示範圍內的編碼。
電漿控制程式可包含用於設定RF功率位準及頻率(施加至一或更多製程站中之製程電極)的編碼。電漿控制程式亦可包含用於控制每一電漿暴露之持續時間的編碼。
在一些實施例中,可有與系統控制器550相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器550調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流率、溫度、壓力、電漿條件(例如RF功率位準、頻率及暴露時間)等。可以配方(其可利用使用者介面來輸入)形式將此些參數提供至使用者。
透過系統控制器550之類比及/或數位輸入連接,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具500之類比及/或數位輸出連接上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
可使用任何合適的腔室來實施所揭示之實施例。示例性沉積設備包括,但不限於,來自 ALTUS
®產品系列、VECTOR
®產品系列及/或 SPEED
®產品系列的設備(每一者皆可獲自加州費里蒙科林研發公司)或任何各種其他市售的處理系統。該等站之兩個或更多者可執行相同功能。類似地,兩個或更多站可執行不同的功能。每一站可設計/配置成根據需要執行特定的功能/方法。
圖 6為根據某些實施例適合執行薄膜沉積製程之處理系統的方塊圖。系統600包括轉移模組603。轉移模組603提供乾淨且加壓環境,以將被處理基板在諸多反應器模組之間移動時受汙染的風險降至最低。安裝在轉移模組603上的是兩個多站反應器609及610,其每一者能夠根據某些實施例來執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器609及610可包括多個站611、613、615、及617,其可根據所揭示之實施例依序或非依序地執行操作。該等站可包括加熱基座或基板支座、一或更多氣體入口、或噴淋頭、或分配板。
亦安裝在轉移模組603上的是一或更多單站或多站模組607,其能夠執行電漿或化學(非電漿)預清潔、或與所揭示方法相關之所述任何其他製程。該模組607可在一些例子中用於諸多處理,例如以準備用於沉積製程之基板。模組607亦可設計/配置成執行諸多其他製程,例如蝕刻或拋光。系統600亦包括一或更多晶圓源模組601,其為處理前後儲存晶圓之處。大氣轉移腔室619中之大氣機器人(未示出)可先將晶圓從源模組601移至裝載室621。轉移模組603中之晶圓轉移裝置(一般為機械手臂單元)將晶圓從裝載室621移動至安裝在轉移模組603上之模組中並在該等模組之間移動。
在諸多實施例中,採用系統控制器629來控制沉積期間之製程條件。控制器629將通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器電路板等。
控制器629可控制沉積設備之所有活動。系統控制器629執行系統控制軟體,包含用以控制時序、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、基板吸盤或基座位置、及特定製程之其他參數的指令組。儲存於與控制器629相關聯之記憶體裝置上的其他電腦程式可用於一些實施例中。
通常將存有與控制器629相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等。
系統控制邏輯可以任何適合的方式配置。一般而言,邏輯可設計或配置於硬體及/或軟體中。用於控制驅動電路的指令可被硬編碼或被提供作為軟體。該等指令可由「程式設計」提供。此等程式設計被理解為包括任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實施為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。
在製程序列中控制含Mo前驅物流、沉積前驅物流、反應物氣體流、還原劑流、載氣流及其他製程的電腦程式碼可以任何習知電腦可讀程式設計語言寫入 : 例如,組合語言、C、C++、Pascal、Fortran或其他。編譯的目的碼或稿件係由處理器執行,以進行在程式中所識別的任務。亦如所指,程式碼可被硬編碼。
控制器參數係關於製程條件,例如舉例製程氣體組成及流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。此些參數係以配方的形式提供至使用者,且可利用使用者介面輸入。可藉由系統控制器629之類比及/或數位輸入連接來提供用以監測製程的訊號。用以控制製程之訊號可在沉積設備600之類比及數位輸出連接上輸出。
系統軟體可以許多不同方式設計或配置。例如,可寫入諸多腔室組成件子程式或控制目標,以控制必須根據所揭示實施例來實行沉積製程(及一些例子中之其他製程)之腔室組成件的操作。用於此用途之程式或程式片段的示例包括基板定位編碼、製程氣體控制編碼、壓力控制編碼、及加熱器控制編碼。
在一些實施方式中,控制器629為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此些系統可與電子設備整合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。該等電子設備可稱為「控制器」,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,控制器629可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
控制器在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
結論
雖然為了清楚理解目的已詳細描述前述實施例,但將顯而易見的是,可在隨附請求項之範圍內進行某些改變及修改。本文所揭示之實施例可在沒有此些具體細節之一些或全部者下實施。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊所揭示之實施例。進一步地,儘管所揭示之實施例將結合具體實施例加以描述,但將理解,具體實施例並非意欲限制所揭示之實施例。應注意,有許多實施本實施例之製程、系統及設備的替代方式。據此,本實施例應被視為說明性而非限制性,且實施例不限於本文所給出的細節。
101:圖案化
102:轉移
103:轉移
105:開口
106:開口
107:開口
111:基板層
112:材料層
112a:圖案化特徵部
112b:圖案化特徵部
112c:圖案化特徵部
112d:圖案化特徵部
113:含Mo層
113a:圖案化特徵部
113b:圖案化特徵部
113c:圖案化特徵部
113d:圖案化特徵部
114:阻劑層
114a:圖案化特徵部
114b:圖案化特徵部
114c:圖案化特徵部
114d:圖案化特徵部
210:提供
230:操作
250:操作
270:暴露
290:沉積
310:提供
320:預處理表面
330:沉積
350:形成
351:沉積
352:轉移
370:轉移
391:轉移
400:製程站
401:反應物輸送系統
402:製程腔室本體
403:汽化點
404:混合容器
405:噴淋頭入口閥
406:噴淋頭
407:微容積
408:基座
410:加熱器
412:基板
414:RF功率供應源
416:匹配網路
418:蝶閥
420:混合容器入口閥
500:處理工具、製程工具
502:入站裝載室
504:出站裝載室
506:機器人
508:傳送盒
510:大氣埠
512:基座
514:處理腔室
516:腔室轉移埠
518:基座
550:系統控制器
552:處理器
554:大容量儲存裝置
556:記憶體裝置
558:系統控制軟體
590:晶圓搬運系統
600:系統、沉積設備
601:晶圓源模組
603:轉移模組
607:模組
609:反應器
610:反應器
611:站
613:站
615:站
617:站
619:大氣轉移腔室
621:裝載室
629:系統控制器
圖 1提供堆疊進行圖案化及蝕刻操作之示意圖。
圖 2為繪示根據某些揭示實施例所執行之操作的製程流程圖,用於提供含Mo層。
圖 3A-3B示出繪示根據某些揭示實施例所執行之操作的製程流程圖。提供用於(A)處理基板之非限定操作及(B)處理基板之另其他非限定操作的圖。
圖 4為用於執行某些揭示實施例之示例性製程腔室的示意圖。
圖 5為用於執行某些揭示實施例之示例性製程設備的示意圖。
圖 6為根據某些實施例適於進行薄膜沉積製程之處理系統的方塊圖。
210:提供
230:操作
250:操作
270:暴露
290:沉積
Claims (44)
- 一種提供含金屬層的方法,該方法包括 : 在一處理腔室內之一基板固持件上提供一基板; 將該基板之一頂表面部分暴露於一含鉬前驅物及一或更多可選沉積前驅物;以及 在該處理腔室內電漿存在或不存在下,在該基板之該頂表面部分上沉積一含金屬層。
- 如請求項1所述之提供含金屬層的方法,其中該含金屬層包括一含鉬層或一鉬摻雜層。
- 如請求項1所述之提供含金屬層的方法,其中該處理腔室包括一電漿增強化學氣相沉積腔室。
- 如請求項1所述之提供含金屬層的方法,其中所述暴露包括依序或同時輸送該含鉬前驅物及該一或更多可選沉積前驅物。
- 如請求項1所述之提供含金屬層的方法,其中所述暴露進一步包括輸送一反應物氣體、一還原劑或一載氣至該處理腔室。
- 如請求項5所述之提供含金屬層的方法,其中該還原劑包括水、醇、H 2S、烴、硫醇或其組合。
- 如請求項1所述之提供含金屬層的方法,在所述沉積之前進一步包括 : 在該基板之該頂表面部分上提供一界面層,因而在所述沉積之後使該界面層設置於該基板與該含金屬層之間。
- 如請求項7所述之提供含金屬層的方法,其中該界面層包括一黏附層、一起始層或一生長層。
- 如請求項7所述之提供含金屬層的方法,其中該界面層包括硼(B)、碳(C)、鈦(Ti)、鎢(W)、鉭(Ta)、鎳(Ni)、鉬(Mo)、其氮化物、其矽化物、其氮氧化物、其碳化物、或其碳氮化物。
- 如請求項1所述之提供含金屬層的方法,在所述沉積之前進一步包括 : 對該基板之該頂表面部分預處理,因而提供在所述沉積之後設置於該基板與該含金屬層之間的一預處理表面。
- 如請求項1所述之提供含金屬層的方法,其中該基板進一步包括一材料層設置於該基板之該頂表面部分上,且其中所述沉積包括在該材料層之一頂表面部分上沉積該含金屬層。
- 如請求項11所述之提供含金屬層的方法,其中該材料層包括氧化物或氮化物中之至少一者。
- 如請求項1所述之提供含金屬層的方法,其中所述沉積包括施加一低頻(LF)射頻分量或高頻(HF)射頻分量中之至少一者。
- 一種提供含金屬層的方法,該方法包括 : 在一處理腔室中提供一基板;以及 透過電漿增強化學氣相沉積(PECVD)製程,在該基板之一表面部分上沉積一含鉬層。
- 一種處理基板的方法,該方法包括 : 在一基板之一頂表面部分上沉積一含鉬層; 在該含鉬層內形成一定義圖案;以及 將該定義圖案轉移至設置於該含鉬層下方之一材料層。
- 如請求項15所述之處理基板的方法,其中所述沉積包括 : 將該基板之該頂表面部分暴露於一含鉬前驅物及一或更多可選沉積前驅物,因而形成該含鉬層。
- 如請求項16所述之處理基板的方法,其中所述暴露包括依序輸送該含鉬前驅物及該一或更多可選沉積前驅物,並進一步包括在輸送該含鉬前驅物之後或在輸送該一或更多可選沉積前驅物之後視情況地進行至少一沖洗。
- 如請求項16所述之處理基板的方法,其中所述暴露包括同時輸送該含鉬前驅物及該一或更多可選沉積前驅物。
- 如請求項16所述之處理基板的方法,其中所述暴露進一步包括輸送一反應物氣體、一還原劑或一惰性氣體。
- 如請求項15所述之處理基板的方法,其中所述沉積包括化學氣相沉積、原子層沉積、或其電漿增強形式。
- 如請求項15所述之處理基板的方法,其中所述形成該定義圖案包括 : 在該含鉬層之一頂表面部分上沉積一阻劑層以形成具有一圖案之一阻劑遮罩;以及 將該阻劑遮罩之該圖案轉移至該含鉬層之該頂表面部分,俾形成具有一或更多開口之一硬遮罩以提供該定義圖案。
- 如請求項21所述之處理基板的方法,其中所述轉移該定義圖案包括 : 透過該硬遮罩之該一或更多開口來蝕刻該材料層。
- 如請求項15所述之處理基板的方法,在所述沉積該含鉬層之前進一步包括 : 在該基板之該頂表面部分上提供一界面層,因而使該界面層設置於該基板與該含鉬層之間。
- 如請求項23所述之處理基板的方法,其中該界面層包括一黏附層、一起始層或一生長層。
- 如請求項23所述之處理基板的方法,其中該界面層包括硼(B)、碳(C)、鈦(Ti)、鎢(W)、鉭(Ta)、鎳(Ni)、鉬(Mo)、其氮化物、其矽化物、其氮氧化物、其碳化物、或其碳氮化物。
- 如請求項23所述之處理基板的方法,在所述將該定義圖案轉移至該材料層之前進一步包括 : 透過該含鉬層內之該定義圖案來蝕刻該界面層。
- 如請求項15所述之處理基板的方法,在所述沉積該含鉬層之前進一步包括 : 對該基板之該頂表面部分預處理,因而提供設置於該基板與該含鉬層之間的一預處理表面。
- 如請求項27所述之處理基板的方法,在所述將該定義圖案轉移至該材料層之前進一步包括 : 透過該含鉬層內之該定義圖案來蝕刻該預處理表面。
- 如請求項15所述之處理基板的方法,其中所述轉移該定義圖案包括 : 透過該含鉬層內之該定義圖案來蝕刻該材料層。
- 一種處理基板的方法,該方法包括 : 提供一基板,其具有一材料層設置在該基板之一頂表面部分上; 在該材料層之一頂表面部分上沉積一含鉬層,其中所述沉積包括輸送一含鉬前驅物及一或更多可選沉積前驅物; 在該含鉬層上方形成一圖案化遮罩; 將該圖案化遮罩之一圖案轉移至該含鉬層,因而在該含鉬層內形成一定義圖案;以及 將該定義圖案轉移至該材料層。
- 如請求項30所述之處理基板的方法,其中該一或更多可選沉積前驅物選自由含碳前驅物、含矽前驅物及含硼前驅物所組成之群組。
- 如請求項31所述之處理基板的方法,其中該含碳前驅物包括烴、甲烷(CH 4)、乙炔(C 2H 2)、乙烯(C 2H 4)、丙烯(C 3H 6)、丙炔(C 3H 4)、丙二烯(C 3H 4)、環丙烯(C 3H 4)、丁烷(C 4H 10)、環己烷(C 6H 12)、苯(C 6H 6)或甲苯(C 7H 8)中之至少一者。
- 如請求項31所述之處理基板的方法,其中該含矽前驅物包括矽烷化合物、有機矽烷化合物、烷基矽烷化合物、烷氧基矽烷化合物、矽烷醇化合物、矽氧烷化合物、胺基矽烷化合物、環狀氮雜矽烷化合物、鹵代矽烷化合物、或無機矽烷化合物。
- 如請求項31所述之處理基板的方法,其中該含硼前驅物包括有機硼烷化合物、鹵化硼化合物、硼酸酯化合物或無機硼化合物。
- 如請求項30所述之處理基板的方法,其中該含鉬前驅物包括有機鉬化合物、鹵化鉬化合物、氧鹵化鉬化合物、無機鉬化合物、或包括具有式( I)-( V)中一者之結構的化合物 : MoL n( I), 其中每一L獨立為氫(H)、鹵素、氧化物(=O)、亞胺基(=NR 1)、羰基(CO)、胺(NR 1R 2)、有機配位基、單牙配位基、或雙牙配位基; n選自2-6;且 R 1及R 2各自獨立為脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、或雜脂肪族-芳香族; Mo(X) m(L) n( II), 其中每一X獨立為鹵素、氧化物(=O)、亞胺基(=NR 1)、或羰基(CO); 每一L為有機配位基; R 1為脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、或雜脂肪族-芳香族; m選自0-6;且 n選自0-6,其中m與n中之至少一者不為零; Mo 2L n( III), 其中每一L為雙牙配位基;且 n選自2-5; Mo(L1) m(L2) n(X) p( IV), 其中每一L1獨立為雙牙配位基; 每一L2獨立為中性配位基; 每一X獨立為陰離子配位基; m選自1-3; n選自0-4;且 p選自0-4;或 Mo(X) m(L) n( V), 其中每一X獨立為鹵素; 每一L為有機配位基; m選自1-6;且 n選自1-4; 或其鹽。
- 如請求項30所述之處理基板的方法,其中該含鉬層包括Mo xC y、Mo xSi y、Mo xB y、Mo xC yO z、Mo xSi yB z、Mo xC yN z、Mo xSi yC z、Mo xB yC z、Mo xN y、或Mo xO yN z。
- 如請求項36所述之處理基板的方法,其中該含鉬層為硬遮罩、蝕刻停止層、保形層、或階梯覆蓋層。
- 如請求項30所述之處理基板的方法,其中該含鉬層具有低氟含量。
- 如請求項30所述之處理基板的方法,其中該含鉬層具有低應力。
- 如請求項30所述之處理基板的方法,其中該含鉬層包括高蝕刻選擇性。
- 一種處理基板的設備,該設備包括 : 一處理腔室,包含一基板固持件; 一製程氣體源,與該處理腔室及相關流量控制硬體連接; 基板搬運硬體,與該處理腔室連接;以及 一控制器,具有一處理器及一記憶體,其中該處理器與該記憶體相互通訊連接,該處理器至少與該流量控制硬體及該基板搬運硬體可操作地連接,且該記憶體儲存用於執行請求項1之該方法中所述之該等操作的電腦可執行指令。
- 如請求項41所述之處理基板的設備,其中該等電腦可執行指令包括配置用於下列操作之指令 : 使一基板之一頂表面部分暴露於該處理腔室內之一含鉬前驅物及一或更多沉積前驅物;以及 使一含金屬層或一含鉬層沉積在該基板之該頂表面部分上。
- 如請求項41所述之處理基板的設備,進一步包括 : 一電漿源,與該處理腔室連接。
- 如請求項43所述之處理基板的設備,其中該等電腦可執行指令包括配置用於下列操作之指令: 使一基板之一頂表面部分暴露於該處理腔室內之一含鉬前驅物及一或更多沉積前驅物; 使電漿供應至該處理腔室;以及 使一含金屬層或一含鉬層沉積在該基板之該頂表面部分上。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163201839P | 2021-05-14 | 2021-05-14 | |
US63/201,839 | 2021-05-14 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202309328A true TW202309328A (zh) | 2023-03-01 |
Family
ID=84029834
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111117762A TW202309328A (zh) | 2021-05-14 | 2022-05-12 | 高選擇性摻雜硬遮罩膜 |
Country Status (5)
Country | Link |
---|---|
JP (1) | JP2024517288A (zh) |
KR (1) | KR20240008230A (zh) |
CN (1) | CN115702474A (zh) |
TW (1) | TW202309328A (zh) |
WO (1) | WO2022241042A1 (zh) |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9624577B2 (en) * | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
US9520295B2 (en) * | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
JP2018098287A (ja) * | 2016-12-09 | 2018-06-21 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
US10096475B1 (en) * | 2017-11-17 | 2018-10-09 | Lam Research Corporation | System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks |
US10643846B2 (en) * | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
-
2022
- 2022-05-11 KR KR1020227044688A patent/KR20240008230A/ko unknown
- 2022-05-11 JP JP2023568487A patent/JP2024517288A/ja active Pending
- 2022-05-11 CN CN202280005128.XA patent/CN115702474A/zh active Pending
- 2022-05-11 WO PCT/US2022/028845 patent/WO2022241042A1/en active Application Filing
- 2022-05-12 TW TW111117762A patent/TW202309328A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
JP2024517288A (ja) | 2024-04-19 |
KR20240008230A (ko) | 2024-01-18 |
WO2022241042A1 (en) | 2022-11-17 |
CN115702474A (zh) | 2023-02-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9892917B2 (en) | Plasma assisted atomic layer deposition of multi-layer films for patterning applications | |
TW201833366A (zh) | 鋁氧化物蝕刻停止層之沉積 | |
TW201348502A (zh) | 用於電漿活化保形膜沉積之前驅物 | |
KR20220050192A (ko) | 반도체 디바이스 제작시 실질적으로 탄소-프리 몰리브덴-함유 막들 및 텅스텐-함유 막들 | |
TW201726963A (zh) | 低介電常數含鋁蝕刻終止膜的形成方法 | |
TW201826344A (zh) | 內連線結構用之複合介電界面層 | |
TW202309328A (zh) | 高選擇性摻雜硬遮罩膜 | |
TW202309327A (zh) | 經由選擇性沉積及電阻率降低的完全對準貫孔之整合 | |
US20240355624A1 (en) | In-situ core protection in multi-patterning | |
WO2023163950A1 (en) | Thermal film deposition | |
WO2023178216A1 (en) | Low-k dielectric protection during plasma deposition of silicon nitride | |
KR20240144475A (ko) | 열적 막 증착 | |
KR20240046605A (ko) | 멀티-패터닝에서 인-시츄 코어 보호 | |
WO2023122557A1 (en) | Conformal silicon oxide deposition using aminosilane and chlorosilane precursors | |
WO2023230170A1 (en) | Hybrid atomic layer deposition | |
KR20240119325A (ko) | 실리콘 나이트라이드의 컨포멀한 (conformal) 증착 | |
KR20240124350A (ko) | 할로겐-함유 증착 억제제들을 사용하는 리세스된 피처들 내 금속들의 증착 | |
WO2023172736A1 (en) | Methods of selective deposition and chemical delivery systems | |
CN118805241A (zh) | 热膜沉积 | |
WO2023133075A1 (en) | Silicon nitride deposition | |
WO2024220583A1 (en) | Capacitance reduction | |
TW202403079A (zh) | 含金屬膜的沉積及腔室清潔 | |
TW202340511A (zh) | 保形碳摻雜矽氮化物膜及其方法 | |
TW202430534A (zh) | 含金屬膜的沉積 | |
CN118830053A (zh) | 硅氮化物沉积 |