TW201726963A - 低介電常數含鋁蝕刻終止膜的形成方法 - Google Patents

低介電常數含鋁蝕刻終止膜的形成方法 Download PDF

Info

Publication number
TW201726963A
TW201726963A TW105131843A TW105131843A TW201726963A TW 201726963 A TW201726963 A TW 201726963A TW 105131843 A TW105131843 A TW 105131843A TW 105131843 A TW105131843 A TW 105131843A TW 201726963 A TW201726963 A TW 201726963A
Authority
TW
Taiwan
Prior art keywords
film
aluminum
dielectric
forming
semiconductor device
Prior art date
Application number
TW105131843A
Other languages
English (en)
Other versions
TWI721022B (zh
Inventor
丹尼爾 達姆尼亞諾维奇
普拉莫 瑟藍莫尼恩
納葛 珊卡
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201726963A publication Critical patent/TW201726963A/zh
Application granted granted Critical
Publication of TWI721022B publication Critical patent/TWI721022B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

特徵在於低於約10的介電常數(k)且具有至少約2.5 g/cm3的密度的介電質AlO、AlOC、 AlON、及AlOCN薄膜,被沉積在部分已製成半導體裝置上作為蝕刻終止層及/或擴散阻障層。在一實施例中,在iALD製程腔室中將包含暴露介電質層(例如ULK介電質)與暴露金屬層的基板與含鋁化合物(例如三甲基鋁)接觸,並允許含鋁化合物吸附在基板的表面上。此步驟係在無電漿的情況下執行。接下來,將未吸附的含鋁化合物從製程腔室中移除,並在電漿中使用包含CO2或N2O及惰性氣體的製程氣體來處理基板,以形成AlO、AlOC、AlON、或AlOCN層。然後重複執行這些步驟。

Description

低介電常數含鋁蝕刻終止膜的形成方法
本發明屬於半導體裝置製程中沉積介電質薄膜的方法。具體而言,本發明屬於積體電路(IC)製程期間在層間介電質(ILD)上沉積低k介電質蝕刻終止層的方法。
在積體電路製程中,金屬線路(例如銅線路)一般被嵌入ILD層中,其中ILD通常為具有低介電常數的孔洞性矽氧化物基介電質材料、或有機聚合物介電質材料,例如介電常數為2.2或更低的極低k(ULK)介電質。經由鑲嵌處理來形成此種嵌入式金屬線路需要圖案化並蝕刻ILD以形成通孔及溝槽;之後接著(例如)使用電鍍以金屬(例如銅)填充這些通孔及溝槽。在以金屬填充通孔及溝槽之後,沉積第二層ILD,並再將之圖案化以形成通孔及溝槽。再次以金屬填充這些凹陷特徵部,而形成具有嵌入式金屬線路的ILD疊層,其中金屬線路形成積體電路的傳導路徑。蝕刻終止層通常被沉積在個別的ILD層與金屬線路上,並且用以在IC製程之圖案化操作中保護位於這些層下方的材料免於在圖案化期間被蝕刻。例如,半導體基板可包括位在兩ILD層之間的一蝕刻終止層。當圖案化並蝕刻(例如使用氟化物基化學品)上方的ILD層以界定通孔及溝槽時,該蝕刻終止層保護下方的ILD層(位在該蝕刻終止層下方)免於被蝕刻。
蝕刻終止層的材料與被蝕刻的材料相比之下應表現良好的蝕刻選擇比。換句話說,蝕刻終止層材料應以比暴露的ILD材料(或被圖案化的其他材料)顯著低許多的速率而被蝕刻。
在積體電路製程期間,蝕刻終止層一般而言不被完全移除,而是會留在最終製成的半導體裝置中作為較厚的ILD層之間的薄膜。習用的蝕刻終止層材料的範例包括矽碳化物與矽氮化物。
提供用以形成低k介電質AlO、AlOC、 AlON、及AlOCN材料的方法、設備、及系統。所提供的材料非常適合作為蝕刻終止層,因為其特徵在於低介電常數(k)以及相當高的密度。蝕刻終止層非常期望低介電常數,因為蝕刻終止層在處理期間未被從半導體裝置上完全移除,且最終裝置通常包含薄的蝕刻終止層介於個別的ILD層之間。為使金屬線路之間的串擾降至最低並且減少電阻電容(RC)延遲,使用具有低介電常數的蝕刻終止材料是相當重要的。然而,許多習知的低k材料通常具有相較於ILD材料較低的蝕刻選擇比。因此,需要具有低介電常數及高蝕刻選擇比的材料。蝕刻選擇比係與材料密度正相關的性質。因此,期望同時擁有低介電常數及高密度的材料。
本文中提供的AlO、AlOC、 AlON、及AlOCN材料之特徵在於:低於約10(例如介於約4-10之間)的介電常數;以及高於約2.5 g/cm3 的密度,例如介於約 2.5 -3.5 g/cm3 之間(例如介於約2.6-3.2 g/cm3 之間)的密度。再者,提供介電常數低於約8(例如介於約5-8之間)且密度高於約2.5 g/cm3 (例如介於約2.8-3.2 g/cm3 之間)的AlO與AlOC薄膜。在一些實施例中,提供介電常數低於約6.5且密度高於約2.7 g/cm3 的AlOC薄膜。在一些實施例中,形成介電常數低於約5且密度高於約2.8 g/cm3 的AlOC薄膜。
根據一態樣,提供用以形成半導體裝置結構的方法。該方法可在配置用以產生電漿並將製程氣體引入製程腔室中的任何設備中實施。適當設備的範例包括離子感應原子層沉積(ion induced atomic layer deposition,iALD)設備及電漿增強化學氣相沉積(PECVD)設備。在一實施例中,該方法包含下列操作:(a)在一製程腔室中(例如在iALD腔室中),將一半導體基板與一含鋁化合物(有機鋁化合物,例如三甲基鋁)接觸,並使該含鋁化合物吸附在該半導體基板上;(b)將未吸附的該含鋁化合物從該製程腔室中移除(例如使用製程腔室之沖淨及/或排空);(c)透過將該製程腔室中的該半導體基板與一氧化電漿接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON、及AlOCN所組成之群組中的一介電質化合物;(d)沖淨及/或排空該製程腔室;並且(e)重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。在一些實施例中,執行操作(a)-(d)10-40次以形成具有介於約10-100 Å之間的厚度的層。
該氧化電漿可在包含含氧氣體(例如CO2 、N2 O、O2 、NO、CO、及其中之混合物)的製程氣體中形成。在一些實施例中,製程氣體可更包括惰性氣體(例如氦氣與氬氣) 。在一些實施例中,含氧氣體可提供在具有N2 的混合物(例如O2 及N2 的混合物)中。在其中一較佳實施例中,該氧化電漿係在一製程氣體中形成,該製程氣體包含一惰性氣體及選自由下列各項所組成之群組的一氧化劑: CO2、N2O、及其混合物。含氧氣體的類型及其在製程氣體中的濃度可用來調諧薄膜的沉積速率、薄膜的組成、及所提供的AlO、AlOC、 AlON、及AlOCN薄膜的介電常數及其他性質。
在一些實施例中,該方法涉及在操作(a)中使三甲基鋁(TMA)(作為含鋁化合物)吸附,並使用在包含CO2 及氦氣的製程氣體中形成的電漿將已吸附的TMA改質。意外地,吾人發現透過此種方法形成具有相當高碳含量(5%或以上)的AlOC薄膜,且這些薄膜具有不尋常地低的介電常數。例如,在一些實施例中,以此方法形成的AlOC薄膜具有低於約6.5的介電常數,但仍具有高於約2.7 g/cm3 的相當高的密度。
根據另一態樣,提供配置用以沉積此種薄膜的設備。在一實施例中,該設備包括一製程腔室,其具有用以固持該半導體基板的一支持件及一控制器。該控制器包含程式指令,用以進行本文中提供的任何沉積方法。在一些實施例中,該控制器經編程以進行下列動作:(a)將一含鋁化合物(例如有機鋁化合物,如三甲基鋁)引入容置該半導體基板的該製程腔室中,並使該含鋁化合物吸附在該半導體基板上;(b)將未吸附的該含鋁化合物從該製程腔室中移除(例如使用製程腔室之沖淨及/或排空);(c)透過將該製程腔室中的該半導體基板與一氧化電漿(例如在製程氣體中形成的電漿,該製程氣體包含一惰性氣體(例如氬氣及/或氦氣)及選自由下列各項所組成之群組的一氧化劑: CO2 、N2 O、及其混合物)接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON所組成之群組中的一介電質化合物;(d)沖淨及/或排空該製程腔室;並且(e)重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。在一些實施例中,該設備為iALD設備。
根據另一態樣,本文中提供一系統,其包括一步進器以及用以沉積低k之AlO、AlOC、 AlON、AlOCN層的沉積設備。
根據另一實施例,提供一非暫態電腦機械可讀取媒介。其包含用以控制沉積設備的程式指令。指令包括用於本文中提供之沉積方法的程式碼。在一些實施例中,程式碼係提供以進行下列動作: (a)將一含鋁化合物(例如有機鋁化合物,如三甲基鋁)引入容置該半導體基板的該製程腔室中,並使該含鋁化合物吸附在該半導體基板上;(b)將未吸附的該含鋁化合物從該製程腔室中移除(例如使用製程腔室之沖淨及/或排空);(c)透過將該製程腔室中的該半導體基板與一氧化電漿(例如在製程氣體中形成的電漿,該製程氣體包含一惰性氣體(例如氬氣及/或氦氣)及選自由下列各項所組成之群組的一氧化劑: CO2 、N2 O、及其混合物)接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON、及AlOCN所組成之群組中的一介電質化合物;(d)沖淨及/或排空該製程腔室;並且(e)重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
根據另一態樣,提供一半導體裝置,其包含選自由AlO、AlOC、AlON、及AlOCN所組成之群組中的一介電質含鋁層,其中該介電質含鋁層之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
本發明的這些及其他的特徵與優點將於下文中參考相關圖式更詳細描述。
為提供所揭露實施例的全面性的認識,將於下列實施方式中闡述多個具體細節。然而,對於所屬技術領域中具有通常知識者而言明顯的係,所揭露實施例毋須這些具體細節、或可使用替代元件或方法而實施。在其他例子中,為了避免不必要地混淆所揭露實施例之態樣,熟知的製程、處理作業、及元件將不再贅述。
在本說明書中,「半導體晶圓」、「半導體基板」、「晶圓」、「基板」、「晶圓基板」及「部分已製成積體電路」等用語可互換地使用。所屬技術領域中具有通常知識者應知悉的係,「部分已製成積體電路」等用語可指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。接下來的實施方式假設所揭露實施例係在一晶圓上實施。然而,所揭露實施例並非這般限制性。工作件可具有各種形狀、尺寸、以及材料。除了半導體晶圓,其他可受益於所揭露實施例的工作件包括各種物件,例如印刷電路板及其他。本文中使用的「半導體晶圓」或「半導體基板」等用語涉及具有半導體材料位在其本體之任一處的基板,且應領解半導體材料不須暴露。在許多實施例中,半導體基板包括一或更多介電質及傳導性層形成在半導體材料上。
本文中提供的AlO薄膜包含鋁、氧,且亦可包含氫。 其他元素可僅存在少量(少於其他元素之總合的約5原子%)。本文中提供的AlOC薄膜包含鋁、氧、及碳,且亦可包含氫。其他元素可僅存在少量(少於其他元素之總合的約5原子%)。AlO 與AlOC薄膜的化學計量可改變。在一些實施例中,AlO薄膜中的鋁含量介於約 20-40 原子%;氧含量介於約55-65原子%;而氫含量介於約 0-8 原子% (例如介於約 1-5原子%)。在一些實施例中, AlOC薄膜中的鋁含量介於約 20-40原子%;氧含量介於約55-65原子%;碳含量介於約 1-15原子% (例如介於約 5-10原子%之間);而氫含量介於約 0-8原子% (例如介於約 1-5原子%之間)。在一些實施例中,薄膜包含少量捕獲的(trapped)氬。薄膜中的碳可形成Al-C鍵及/或C=O (羰基) 鍵。在許多實施例中,AlOC薄膜的紅外線光譜包含在約870 cm-1 (對應到 Al-C拉伸)的波峰及/或在約1470 cm-1 與1560 cm-1 (兩者均對應到羰基拉伸) 的波峰。
本文中提供的AlON薄膜包含鋁、氧、及氮,且亦可包含氫。其他元素可僅存在少量(少於其他元素之總合的約5原子%)。AlON薄膜的化學計量可有變化。本文中提供的AlOCN薄膜包含鋁、氧、碳、及氮,且亦可包含氫。其他元素可僅存在少量(少於其他元素之總合的約5原子%)。AlOCN薄膜的化學計量可不一樣。
在一些實施例中,提供半導體裝置,其中該半導體裝置包括:兩層ILD(例如ULK介電質);以及所提供之AlO、AlOC、AlON、或AlOCN薄膜的一薄層(例如介於約10-100 Å,例如約20 Å),位在兩ILD層之間。該ILD層亦可包括嵌入式金屬(例如銅)線路,且在一些實施例中,該AlO、AlOC、AlON、或AlOCN之薄層亦位在金屬與ILD(例如ULK介電質)之間。在一些實施例中,所提供之層亦使用作為位在銅與ULK介電質之間的介面處而避免銅擴散進入介電質的擴散阻障層。
本文中提供的AlO、AlOC、AlON、及AlOCN材料之特徵在於:低於約10(例如介於約4-10之間)的介電常數;以及高於約2.5 g/cm3 的密度,例如介於約 2.5 -3.5 g/cm3 之間(例如介於約2.6-3.2 g/cm3 之間)的密度。再者,提供介電常數低於約8(例如介於約5-8之間)且密度高於約2.5 g/cm3 (例如介於約2.8-3.2 g/cm3 之間)的AlO與AlOC薄膜。在一些實施例中,提供介電常數低於約6.5且密度高於約2.7 g/cm3 的AlOC薄膜。在一些實施例中,提供介電常數低於約5且密度高於約2.8 g/cm3 的AlOC薄膜。
在一些實施例中,本文中提供的薄膜之特徵亦在於:可改變大小的(scalable)介電常數,亦即,實質上獨立於薄膜厚度之外的介電常數。例如,在所提供之AlO及AlOC薄膜中,100Å厚的薄膜與20Å厚的薄膜的介電常數實質上相等。應注意的係,在AlN薄膜(對照組薄膜)中,介電常數不充分隨厚度而縮放,且與較厚薄膜(例如50-100 Å)相較之下,較薄薄膜(例如10-20 Å) 的介電常數較高。
總的來說,所提供的薄膜可沉積在平坦或經圖案化的基板上。在一實施例中,所提供的薄膜沉積在具有暴露的平坦介電質層的半導體基板上。在另一實施例中,所提供的薄膜沉積在具有暴露的介電質層及暴露的金屬(例如銅)層的平坦化半導體基板上。應注意的係,當所提供之介電質含鋁薄膜沉積在具有暴露的介電質層及金屬(例如銅)層的基板上時,所沉積的AlO、AlOC、AlON、或AlOCN 薄膜在金屬上的厚度通常大於在介電質上者。在一些實施例中,所沉積的含鋁層在金屬上的厚度比此層在介電質上的厚度大至少約50%,例如大至少100% 。例如,在一些實施例中,沉積可產生20Å厚的層在介電質上,及 40Å厚的層在銅上。這係因為,含鋁前驅物化合物(例如TMA)在銅上比在低k介電質上更好且更快的成核作用及更好的吸附作用。當含鋁前驅物化合物沉積在孔洞性ULK材料上時,在ALD循環中形成薄膜之前,若干前驅物可能擴散進入ULK層的頂部區域而在介電質上產生整體而言較小厚度的薄膜(與在銅上者相比)。當使用含鋁介電質薄膜作為銅擴散阻障層時,尤其期望此厚度差異。在一些實施例中,沉積在ILD上的所有或大部分(例如,50%或以上)的含鋁介電質層被蝕刻掉,但一部分的較厚含鋁介電質層留在銅上,此層被允許作為銅擴散阻障層。
在一些實施例中,所提供的薄膜沉積在具有複數凹陷特徵部(例如溝槽與通孔)的基板上。例如,所提供的薄膜可沉積在具有暴露的介電質的基板上,其中此種介電質中形成有複數凹陷特徵部。吾人認為,當在iALD設備中沉積時,所提供的薄膜可以良好的階梯覆蓋率沉積在此種圖案化基板上。在一些實施例中,圖案化基板上的凹陷特徵部具有介於約10-80nm之間的寬度。
所提供的薄膜可用於期望低介電常數(例如低於約10,例如介於約4-8之間)與相當高密度(例如高於約2.5 g/cm3 ,例如介於約2.8-3.5 g/cm3 之間)的組合的任何應用領域中。例如,所提供的薄膜可使用作為蝕刻終止層、銅擴散阻障層,且在一些實施例中可用於蝕刻終止與擴散阻障之雙用途。
圖1A-1C提供歷經雙鑲嵌處理之各種步驟的半導體基板的範例,其中描繪所提供的AlO、AlOC、AlON、及AlOCN 薄膜的沉積。參考圖1A,描繪用於雙鑲嵌製程的部分已製成IC結構100的範例。如圖1A-1C所示,結構100為半導體基板的一部分,且在一些實施例中可直接位於含主動裝置(例如電晶體)的層上。在其他實施例中,其可直接位在金屬化層上、或位在結合傳導性材料的其他層(例如含記憶體電容器的層)上。
圖1A中描繪的層103為層間介電質層,其可為二氧化矽,但一般而言為低k介電質材料。為使金屬間介電質疊層的介電常數降至最低,使用k值小於約3.5(較佳係小於約3.0,且通常小於約2.8)的材料作為層間介電質。這些材料包括(但不限於)氟或碳摻雜二氧化矽、含有機物的低k材料、及所屬技術領域中具有通常知識者已知的孔洞性經摻雜二氧化矽材料。可透過(例如)PECVD、或透過旋塗方法來沉積此種材料。將層103蝕刻而使其帶有線路路徑(溝槽與通孔),其中沉積有部分傳導性金屬擴散阻障層105,之後接著嵌入(inlay)銅傳導性路線107。由於銅或其他移動傳導性材料提供半導體晶圓的傳導性路徑,所以接近金屬線路的下方矽裝置與介電質層必須受保護而遠離金屬離子(例如Cu2+ ),否則金屬離子可能會擴散或鑽入矽或層間介電質中而導致該者性質劣化。為保護IC裝置的介電質層而使用各種類型的金屬擴散阻障層。這些類型可分為部分傳導性含金屬層(例如105)、及介電質阻障層(參考圖1B進一步詳細描述)。用於部分傳導性擴散阻障層105的適當材料包括例如下列材料:鉭、鉭氮化物、鈦、鈦氮化物、及其他。一般而言,該者係透過PVD或ALD方法而沉積在具有通孔與溝槽的介電質層上。
可透過若干技術來形成銅傳導性路線107,包括PVD、電鍍、無電沉積、CVD等。在一些實施例中,形成銅填充之較佳方法包括:透過PVD沉積薄的銅晶種層,並接續地透過電鍍沉積主體銅填充。由於一般而言銅被沉積而具有覆蓋層位在場區中,故需要化學機械拋光(CMP)操作來移除該覆蓋層而得到平坦化結構100。
接下來,參考圖1B,在結構100完成之後,以本文中提供的方法,透過iALD將介電質含鋁蝕刻終止薄膜109 (AlO、AlOC、AlON、或AlOCN)沉積在銅線路107與介電質103兩者之上。應注意在一些實施例中,ILD層103的頂部區域(層109沉積於其上)可與ILD層103之主體不同。例如,在一些實施例中,層103的頂部區域在機械性質方面比主體更強。在一些實施例中,層103的頂部區域為機械性質強的經摻雜或未摻雜矽碳化物或矽氮化物,而介電質層103之主體為較脆弱的ULK介電質(例如孔洞性材料)。在一範例中,層103的頂部區域為氧摻雜矽碳化物(ODC)。此種更強的層的存在,讓使用電漿步驟沉積AlO、AlOC、AlON、及AlOCN薄膜而不破壞基板的暴露部分更為容易。
在一些實施例中,蝕刻終止層109更作為介電質擴散阻障層,因其在製程結構中位於銅與介電質之間的介面處。在一些實施例中,在層109的頂部上沉積不同的擴散阻障(或蝕刻終止)層。一般而言,此類擴散阻障層包括經摻雜或未摻雜矽碳化物或矽氮化物。
參考圖1B,在薄膜109之上沉積雙鑲嵌介電質結構的第一介電質層111。此步驟之後接著在第一介電質層111上沉積蝕刻終止薄膜113。蝕刻終止薄膜113可為本文中提供的AlO、AlOC、AlON、及AlOCN薄膜中之一者,其係以iALD方法沉積。介電質層111一般而言係由低k介電質材料(例如針對介電質層103所列者)組成,且亦可包括機械性質較強的頂部區域(例如由ODC組成的頂部區域)。應注意層111與103非必須具有相同組成。
如圖1C中描繪般,製程繼續進行,其中以類似於第一介電質層111的方式在蝕刻終止薄膜113上沉積雙鑲嵌介電質結構的第二介電質層115。之後接著沉積抗反射層(未圖示)與CMP終止薄膜117。第二介電質層115一般而言包含低k介電質材料(例如前文針對層103與111描述者),且可選擇性地包括機械性質更強的頂部區域。CMP終止薄膜117用以在後續CMP操作期間保護層間介電質(IMD)層115的脆弱介電質材料。一般而言,CMP終止層依照與擴散阻障層及蝕刻終止薄膜109及113類似的整合條件,且可包括本文中提供的AlO、AlOC、AlON、及AlOCN材料。替代地,其可包括以矽碳化物或矽氮化物為基礎的習知的CMP終止材料。
在後續操作期間,將ILD層111與115圖案化而形成凹陷特徵部(通孔與溝槽)。圖案化通常係使用習知的光微影技術來執行且涉及如下步驟:塗佈光阻劑在基板上;曝光光阻劑;圖案化光阻劑並透過蝕刻(一般而言使用氟化物基化學品)介電質材料而將圖案轉移至基板;並且移除光阻劑。所提供的AlO、AlOC、AlON、及AlOCN蝕刻終止層具有與ILD介電質(例如ULK介電質及/或ODC)相較之下良好的蝕刻選擇比,並保護位在蝕刻終止層之下的材料免於被蝕刻。
應注意的係,所提供的AlO、AlOC、AlON、及AlOCN薄膜可使用於各種不同的整合方案中,且其用途不限於圖1A-1C中描繪的方案。
在一態樣中,提供用以沉積特徵在於小於10的介電常數及高於2.5 g/cm3 的密度之AlO、AlOC、AlON、及AlOCN薄膜的方法。以圖2所示之製程流程圖來說明此方法。方法始於 201,使含鋁化合物吸附在製程腔室中基板的表面上。例如,基板 (例如具有暴露的介電質層的半導體基板)可位在 iALD製程腔室中,含鋁化合物以經汽化之形式流入該iALD製程腔室中。用於此步驟之適當的揮發性含鋁化合物包括(但不限於)有機鋁化合物,例如三甲基鋁 (TMA)、氫化二甲基鋁、三乙基鋁、三異丁基鋁、及三(二乙胺基)鋁。在許多實施例中,TMA為較佳的化合物。含鋁化合物可作為與載氣(例如N2 )的混合物而引入製程腔室中。在一範例中,以介於約100-2000sccm之間的流速將TMA/N2 混合物輸送到製程腔室中。吸附步驟期間的製程條件經選擇以避免含鋁化合物的主體發生CVD型沉積。在一實施例中,用於吸附步驟(例如TMA吸附步驟)之適當的製程條件包括將製程溫度維持在介於約300-420 °C之間的範圍,例如介於約 300-400 °C (其中溫度係指涉在晶圓支座的溫度);並將製程腔室中的壓力維持在介於約1-10托之間,例如介於約1-6 托之間 。在一些實施例中,基板與含鋁化合物接觸歷時介於約0.2-60秒之間。此步驟係在無電漿存在的情況下執行,且係受基板表面與氣態含鋁化合物之間的交互作用而驅動。該交互作用一般而言產生物理吸附,但在若干情況中,不排除含鋁化合物與基板表面之間的化學交互作用。此限於表面之交互作用的結果為,在表面上形成既薄且保形的含鋁化合物吸附層。若含鋁化合物所吸附上的基板包含暴露的金屬層,則該基板在接觸含鋁化合物之前應先預清潔,以移除存在於該金屬層上的任何金屬氧化物。例如,在一些實施例中,使用在包含氨氣的製程氣體中形成的電漿,將包含暴露的ULK層與暴露的銅層的基板預清潔,以將銅氧化物從銅的表面上移除。進行預清潔是很重要的,因為若無預清潔,含鋁化合物可能與銅氧化物發生反應,而這在此製程中係不樂見的。
接下來,在203,將未吸附的含鋁化合物從製程腔室中移除。例如,以惰性氣體(例如He 或 N2 )沖淨製程腔室歷時介於約0.5-60秒之間。替代地或除了沖淨製程腔室另外加上地,可將製程腔室排空,以將未吸附的含鋁化合物移除。當使用N2 作為沖淨氣體且目標薄膜為AlO 或 AlOC薄膜時,透過泵抽將N2 從腔室中移除。步驟203之目的係為不讓含鋁物種之CVD型主體沉積在後續步驟中發生。
在將未吸附的含鋁化合物從製程腔室中移除之後,在操作205中,使用氧化電漿將基板表面上已吸附的含鋁化合物改質,以形成AlO、AlOC、 AlON、或 AlOCN。此步驟稱為「轉化」。電漿可在基板所在之製程腔室中產生 或遠端地在與該製程腔室連接之不同腔室中產生,其中設備配置以將遠端產生的離子及/或自由基輸送到該製程腔室。電漿係在包含氧化劑與惰性氣體的製程氣體中形成。適當之氧化劑的範例包括含氧氣體,例如CO2 、N2 O、O2 、NO、CO、及其中之任何組合。所沉積的薄膜包含鋁及氧,且可更包括氮(當製程氣體包含氮的來源,例如NO、N2 O、或 N2 )及/或碳 (當製程氣體包含碳的來源,例如CO2 或CO)。可透過調節氧化電漿的組成、並透過調節製程氣體中氧化劑的濃度來調諧薄膜的沉積速率、薄膜的組成、及薄膜的性質(例如介電常數與密度)。
在較佳實施例中,氧化劑為CO2 、N2 O或其中之組合;且惰性氣體為稀有氣體(例如氦氣或氬氣),但在一些實施例中,N2 亦可使用作為惰性氣體。當使用CO2 作為氧化物種時,可形成AlOC或AlO薄膜。當使用N2 O作為氧化物種時,可形成AlO或AlON薄膜。當使用CO2 與N2 O之組合時,可形成AlO、AlOC、 AlON、或 AlOCN薄膜。在一些範例中,電漿轉化步驟係在介於約300-420 °C之間(例如介於約300-400 °C之間)的溫度下(其中溫度係指涉在晶圓支座的溫度)、及介於約1-10 托之間(例如介於約1-6 托之間)的壓力下執行。在轉化步驟中使用的溫度與壓力可與在吸附步驟201期間使用的溫度與壓力相同或不同。在一實施例中,實質上由氧化氣體與惰性氣體組成的製程氣體流進容置4個300mm晶圓的製程腔室中。在一些實施例中, CO2 或N2 O以介於約100-20000 sccm之間(例如介於約100-10000 sccm之間)的流速流動,而惰性氣體(例如氦氣、氬氣、或其中之組合) 以介於約100-20000 sccm之間(例如介於約1000-10000 sccm之間)的流速流動。可使用射頻 (RF)產生器產生電漿。可使用高頻(HF)或雙頻產生來形成射頻(RF) 電漿,其中雙頻包括低頻(LF)與HF產生兩者。例示性低頻 RF 頻率可包括(但不限於) 介於50 kHz 與900 kHz之間的頻率。例示性高頻 RF 頻率可包括(但不限於) 介於1.8 MHz與2.45 GHz之間的頻率。在一實施例中,高頻為13.56 MHz。而低頻為400 kHz。在許多實施例中, LF功率範圍為每一處理模組(包含4個300mm晶圓)約100 到2000 W,而 HF功率範圍為同一處理模組約400到約3000 W,對應到介於約0.14-1.1 W/cm2 之間的HF功率密度及介於約0.03-0.71 W/cm2 之間的LF功率密度。在許多實施例中, 在轉化步驟中使用電漿處理基板歷時約0.2- 60秒。
接下來,在將吸附的含鋁化合物轉化為AlO、AlOC、AlON、或AlOCN之後,在操作207中,沖淨及/或排空製程腔室。例如,可使用惰性氣體(例如N2 ) 沖淨製程腔室歷時介於約0.5-60秒之間。
由於沉積材料的量受到步驟201中吸附的含鋁化合物的量所限制,故在操作201-209中形成的層的平均厚度一般而言非常小。在一些實施例中,在一iALD循環中沉積的層的平均厚度小於約5 Å,例如介於約0.5-2 Å之間。一般而言,執行若干iALD循環直到達到層的期望厚度為止。在操作209中,決定是否需要進一步沉積。決定可取決於薄膜的已知目標厚度及在每一循環中沉積的已知厚度。若未達到期望厚度,重複執行操作201-209達為提供期望厚度所需的若干次數。若達到期望厚度,完成製程。在許多實施例中,每一沉積製程包括介於約10-40次之間的iALD循環(例如介於約15-30次之間的iALD循環),其中每一循環包括操作201-209。在一些實施例中,所沉積之薄膜可選擇性地經受後處理,例如惰性氣體(例如氦氣或氬氣)中的電漿後處理。後處理可在各iALD循環中於各子層沉積之後執行、或在若干iALD循環之後執行,例如在已沉積完整薄膜之後執行。
應注意的係,如上述般使用iALD來沉積所提供之薄膜(而非在一步驟中沉積並以電漿處理大量含鋁化合物)係極有益的。使用若干iALD循環的其中一益處為,與一步驟式沉積及處理相較之下,對介電質的破壞顯著降低。若沉積並電漿處理大量含鋁化合物,則連續長時間的電漿處理將導致介電質緻密化,其與ILD層之介電常數的不樂見增加相關。相較之下,若在若干iALD循環中執行電漿處理,則觀察到少或無的暴露ILD層之緻密化。再者,iALD尤其有益於在具有凹陷特徵部的圖案化基板上沉積介電質含鋁層,這係因為,iALD提供的保形薄膜具有極佳側壁與水平表面覆蓋率,係TMA主體沉積、之後接著單一電漿處理所無法達到的。
可將薄膜沉積至各種厚度。在一些實施例中,厚度之範圍介於約10-100 Å之間。應注意的係,薄膜的期望厚度取決於應用領域與薄膜的性質。與具有較低密度及較低蝕刻選擇比的薄膜(需要更大的厚度以執行其功能)相較之下,可使用具有相當高密度及,因此,高蝕刻選擇比的薄膜作為較薄的蝕刻終止層。
同時,與具有較高介電常數的薄膜相較之下,具有較低介電常數的薄膜可被沉積到更大厚度(若需要),因為其不會如同具有較高k之薄膜一般提高ILD疊層的電容值。此概念可透過方程式(1)中呈現之薄膜電容值(Cox)的關係式來說明: Cox = (e x k x A)/d                                                                               (1) ,其中  e為自由空間介電常數; k為薄膜的介電常數; A為量測面積;而d為薄膜的厚度。
吾人發現,若在薄膜中結合更多碳(例如多於約5%原子的碳,例如5-10%原子的碳),則薄膜的介電常數下降。吾人亦意外地發現,若在CO2 電漿轉化步驟期間使用氬氣(而非氦氣),則形成具有低介電常數及具有高碳結合率的AlOC薄膜。例如,在電漿中使用CO2 及氬氣處理產生介電常數為6.5或更低、例如5.5或更低(例如4.9-5.5)的AlOC薄膜。此類薄膜的密度約2.7-3.2 g/cm3 ,使其特別被注目作為蝕刻終止材料。以圖3所示之製程流程圖來說明此方法的具體範例。方法始於301,使TMA吸附在基板的表面上。接下來,在303,將未吸附的TMA從製程腔室中移除,例如透過沖淨。接下來,在操作305中,將已吸附的TMA轉化成AlOC層。此轉化步驟涉及使包含氬氣與CO2 的製程氣體流進製程腔室中並形成電漿。在一些實施例中,製程氣體實質上由氬氣與CO2 組成。在一些實施例中,氬氣與CO2 之流速之間的流速比介於約0.1-2之間。其他製程條件可與參考圖2之製程流程圖所列者相同。根據若干實施例之製程參數的適當範圍提供於表1中。在沉積AlOC子層之後,在307沖淨及/或排空製程腔室,然後在309決定是否需要進一步沉積,並在309重複執行操作301-305達為將AlOC薄膜沉積到期望厚度所需的若干次數。 表1:沉積AlOC薄膜之例示性製程條件 流速與功率數值係針對容置4個300mm晶圓的製程腔室而提出。應理解的係,所屬技術領域中具有通常知識者可將這些參數調整比例,以符合具有期望尺寸的製程腔室。使用13.56 MHz 作為 HF電漿的頻率,並使用400 kHz作為 LF電漿的頻率。
應注意的係,當使用CO2 /氬氣混合物中形成的電漿來執行轉化時,一般而言,形成碳含量高於約5原子%的AlOC薄膜。在一些實施例中,這些薄膜具有低於6.5的介電常數及高於2.7 g/cm3 的密度。當使用CO2 /氦氣混合物中形成的電漿來執行轉化時,形成具有較低碳含量(低於約原子5%)的AlOC薄膜或AlO薄膜。在一些實施例中,這些薄膜之特徵在於比使用CO2 /氬氣電漿形成之薄膜更高的介電常數,但仍適合用在許多應用領域中。此類薄膜的範例包括介電常數介於約8-10之間且密度介於約2.7-3.2 g/cm3 之間的薄膜。實驗
1.所提供之AlOC薄膜與習知含鋁介電質薄膜的比較
根據本文中提供的iALD實施例在吸附步驟中使用TMA並在轉化步驟中使用CO2 /氬氣或CO2 /氦氣來製備兩組AlOC薄膜。將薄膜與習知的PECVD AlN薄膜及習知的PVD Al2 O3 薄膜比較。表2列出薄膜的下列資料:沉積溫度、漏電流、崩潰電壓、介電常數、及密度。 表2: AlOC薄膜與習知薄膜的比較 可以見得的係,所提供的薄膜不需要在高於400 °C的溫度下沉積,且特徵在於:比對照組薄膜更小的漏電流,以及高崩潰電壓(比AlN更好,與Al2 O3 相當)。所提供的薄膜的介電常數相當於或低於對照組薄膜的介電常數(在CO2 /氬氣AlOC薄膜的例子中)。
2. AlOC薄膜的性質
製備若干不同的AlOC薄膜,其中在轉化步驟中有變化。表3列出所提供的薄膜的性質。 表3: AlOC薄膜的性質
透過iALD 在包含暴露的ULK與Cu的平坦基板上沉積12個AlOC 薄膜。判定薄膜的密度、介電常數、及元素組成。使用電容電壓(CV)量測方法並以汞探針在所沉積之薄膜上量測介電常數。針對氫之外的所有元素使用拉塞福背向散射分析儀(RBS)、並針對氫使用氫前向散射分析儀(HFS)來進行元素分析。
於 iALD設備中在350 °C之溫度及2.5 托之壓力下沉積所有薄膜。如本文所述般使用10-50次 iALD 循環而將薄膜沉積到10-50 Å之厚度。 針對全部12個薄膜使用提供在具有N2 載氣之混合物中的TMA來進行吸附步驟。轉化步驟的條件不同。薄膜 1係使用CO2 /He製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 2000 sccm流動氦氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。薄膜 2係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 2000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。 薄膜 3係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時5秒,以 4000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。薄膜 4係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 4000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。薄膜 5係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 8000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。薄膜 6係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時5秒,以 8000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並在1000 W 之功率下提供LF電漿。薄膜 7係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時5秒,以 8000 sccm流動氬氣,在1000 W 之功率下提供HF電漿,並在500 W 之功率下提供LF電漿。薄膜 8係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 8000 sccm流動氬氣,在1000 W 之功率下提供HF電漿,並且不提供LF電漿。薄膜 9係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 8000 sccm流動氬氣,在1000 W 之功率下提供HF電漿,並在500 W 之功率下提供LF電漿。薄膜 10係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時0.5秒,以 8000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並且不提供LF電漿。薄膜 11係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時5秒,以 8000 sccm流動氬氣,在1000 W 之功率下提供HF電漿,並且不提供LF電漿。薄膜 12係使用CO2 /Ar製程氣體來沉積,其中進行轉化步驟歷時5秒,以 8000 sccm流動氬氣,在2000 W 之功率下提供HF電漿,並且不提供LF電漿。針對所有薄膜,CO2 在轉化步驟期間以 2000 sccm之流速流動,以13.56 MHz產生 HF電漿並以400 kHz(當使用時) 產生LF電漿。流速與功率位準涉及容置4個300mm晶圓的製程腔室。
從比較薄膜1及2可以見得的係,在轉化步驟中將氦氣換成氬氣,使介電常數顯著降低並且增加碳進入薄膜的結合率。應注意的係,雖然透過XPS在薄膜1中未偵測到碳,但此類薄膜中的羰基鍵可透過更靈敏的FTIR而偵測到,且此類薄膜可被視為低碳AlOC薄膜 (例如具有介於約0.5-5 原子%之間的碳含量)或視為AlO 薄膜。
3.AlON、AlOC及AlO薄膜之密度
製備若干薄膜,其中在轉化步驟及/或在後處理步驟中有變化。量測薄膜之密度並將之提供於圖4所示之長條圖中。除了薄膜(b)係在400 °C下沉積之外,於 iALD設備中在350 °C之溫度及2.5 托之壓力下沉積所有薄膜。如本文所述般使用10-50 iALD 循環將薄膜沉積到10-50 Å之厚度。 針對所有薄膜使用提供於具有N2 載氣之混合物中的TMA進行吸附步驟。轉化步驟及/或後處理的製程條件不同。薄膜 (a)為使用N2 O/He轉化製程氣體來沉積的AlON薄膜,其中電漿轉化步驟進行歷時10秒,且未執行後處理。薄膜 (b)為使用CO2 /He轉化製程氣體來沉積的AlO或低碳AlOC薄膜,其中轉化在350 °C之下進行歷時1秒,且未執行後處理。薄膜 (c)為使用CO2 /He轉化製程氣體來沉積的AlO或低碳AlOC薄膜,其中轉化進行歷時10秒,且未執行後處理。薄膜 (d)為使用CO2 /He轉化製程氣體來沉積的AlO或低碳AlOC薄膜,其中在轉化期間以10 slm的流速提供氦氣,且未執行後處理。薄膜 (e)為使用CO2 / Ar轉化製程氣體來沉積的AlOC薄膜,其中未執行後處理。薄膜 (f)為使用CO2 / He轉化製程氣體來沉積的AlOC或AlO薄膜,其中使用氬氣電漿在完整沉積薄膜上執行後處理歷時10秒。薄膜 (g)為使用CO2 / He轉化製程氣體來沉積的AlOC或AlO薄膜,其中在沉積完整薄膜之後使用氦氣電漿執行後處理歷時10秒。薄膜 (h)為使用CO2 / He轉化製程氣體來沉積的薄膜,其中在沉積薄膜之後使用N2 執行電漿後處理歷時10秒。
可以見得的係,除了薄膜 (g)之外的所有薄膜均具有高於 2.5 g/cm3 的密度。提供若干密度高於 2.8 g/cm3 的薄膜。
4.密度與介電常數之間的關聯性
圖5根據本文中提供之實施例,提供一實驗作圖針對所沉積之若干薄膜說明密度與介電常數之間的關聯性。可以見得的係,在介於6及8之間的k範圍內,這些參數之間存在負相關。
5.密度與漏電流之間的關聯性
圖6根據本文中提供之實施例,提供一實驗作圖針對所沉積之若干薄膜說明密度與漏電流之間的關聯性。可以見得的係,這些參數之間存在負相關。
6.AlOC薄膜的FTIR光譜
紀錄使用CO2 /He(圖7A) 以及CO2 /Ar (圖7B與 7C)轉化化學品而沉積的AlOC薄膜的FTIR光譜。圖 7B提供具有6.9之介電常數的AlOC薄膜的FTIR光譜,而圖7C提供具有4.94之介電常數的AlOC薄膜的FTIR光譜。可以見得的係,全部三個光譜均呈現對應到羰基拉伸的在1560及1470 cm-1 的波峰,其中C=O波峰在使用CO2 /Ar轉化之薄膜中更為顯著。亦觀察到對應到Al-C的在870 cm-1 的肩峰。圖8為一作圖,說明使用CO2 /Ar轉化氣體而製備的不同AlOC薄膜的FTIR光譜上的羰基拉伸峰值面積與薄膜之介電常數之間的關聯性。可以見得的係,介電常數隨著FTIR C=O峰值面積增加而增加。吾人亦相信,Al-O波峰強度降低與介電常數降低有關。
7.下方的層的碳耗盡
已知在氧化電漿沉積及處理期間,基板的下方的層可能受損。具體而言,含碳層(例如ODC)可能因氧化而耗盡碳。使用飛行時間二次離子質譜儀(TOF SIMS)探討所提供之N2 O/He、CO2 /He、及CO2 /Ar轉化步驟造成此種破壞的能力。包含暴露ODC層的基板經受iALD沉積,其中在吸附步驟中使用TMA並在電漿轉化步驟中使用N2 O/He、CO2 /He、或CO2 /Ar化學品。針對在不同深度之不同元素的存在使用TOF SIMS測試最終基板。僅N2 O/He化學品表現出明顯的ODC層中的碳耗盡,其中碳在75 Å之深度耗盡。CO2 /He與CO2 /Ar 化學品未產生任何顯著的ODC層中的碳耗盡。此結果暗示, CO2 應為電漿轉化中較佳的氧化物種,而當在含碳介電質上執行沉積時尤其如此。設備
本文中揭露之實施例的另一態樣為配置以完成本文中揭露之方法的設備。適當設備包括:硬體,用以完成製程操作;及系統控制器,具有用以根據所揭露之實施例控制製程操作之指令。用以完成製程操作的硬體包括iALD製程腔室與PECVD製程腔室。在一些實施例中,所提供之方法的所有操作均在單一製程腔室中執行。在另一些實施例中,基板在用以執行方法的不同步驟的腔室之間移轉。系統控制器一般而言包含配置以執行指令的一或更多記憶體裝置及一或更多處理器,以使設備能執行根據所揭露之實施例之方法。包含根據所揭露之實施例來控制製程操作之指令的機器可讀取媒介,可耦接至系統控制器。
在一些實施例中,在iALD反應器中進行沉積,該iALD反應器為可得自美國加州佛蒙特( Fremont, CA)的蘭姆研究公司(Lam Research Corp.)的Vector Excel 沉積模組的一部分。適當的製程腔室包括:用以在沉積期間固持晶圓基板的支持件(晶圓支座);用以在製程腔室中形成電漿的產生器;以及用以將含鋁前驅物與轉化製程氣體(CO2 、氬氣、氦氣等)輸送到製程腔室中的導管。設備更配置以將製程腔室沖淨及/或排空,並在沉積期間維持製程腔室中期望的溫度與壓力。
iALD製程腔室之範例記載於美國專利案第6416822號、美國專利案第6428859號、及美國專利案第8747964號中,該等專利案以全文加入本案之參考資料。
圖9示意地呈現可用以使用離子感應原子層沉積iALD來沉積所提供之薄膜的處理站900之實施例。為了簡潔,將處理站900描繪成具有用以維持低壓環境之製程腔室本體902的單站式處理站。然而,應知悉的係,複數處理站900可包括在共同的低壓處理工具環境中。此外,應知悉的係,在一些實施例中,可透過一或更多電腦控制器來以編程方式調整處理站900的一或更多硬體參數,包括下文中詳述者。
處理站900與用以將製程氣體輸送到分配噴淋頭906的反應劑輸送系統901流體地交流。反應劑輸送系統901包括混合容器904,用以將輸送至噴淋頭906的製程氣體加以混合及/或調節。一或多個混合容器入口閥920可控制製程氣體到混合容器904的引入。類似地,噴淋頭入口閥905可控制製程氣體到噴淋頭906的引入。
若干反應劑(例如TMA)在汽化及後續輸送到處理站之前可被以液體的形式儲存。例如,圖9之實施例包括汽化點903,用以將待供應至混合容器904的液態反應劑汽化。在一些實施例中,汽化點903可為一加熱汽化器。從此種汽化器中產生的反應劑蒸氣可能在下游的輸送配管系統中凝結。不相容的氣體暴露到已凝結的反應劑可能產生微粒。這些微粒可能阻塞配管系統、妨礙閥操作、汙染基板等。解決這些問題的若干方法包括沖洗及/或排空該輸送配管系統,以將殘餘的反應劑移除。然而,沖洗該輸送配管系統會增加處理站循環時間、降低處理站的產出量。因此,在一些實施例中,在汽化點903下游的輸送配管系統可為伴熱的(heat traced)。在一些範例中,混合容器904亦可為伴熱的。在一非限制性的範例中,在汽化點903下游的配管系統具有從大約100°C 到在混合容器904大約150°C的漸增的溫度分布。
在一些實施例中,反應劑液體可在一液體注入器中被汽化。例如,液體注入器可在混合容器上游將液態反應劑之脈衝注入載氣氣流中。在一情況中,液體注入器可透過將液體從較高壓力驟降至較低壓力來將該反應劑汽化。在另一情況中,液體注入器可將液體霧化成分散的微滴,其之後在加熱的輸送配管系統中被汽化。應知悉較小的液滴比較大的液滴汽化地更快,而減少液體注入與完全汽化之間的延遲。更快的汽化可縮短汽化點903下游之配管系統的長度。在一情況中,液體注入器可直接地安裝在混合容器904上。在另一情況中,液體注入器可直接地安裝在噴淋頭906上。
在一些實施例中,可提供汽化點903上游的液體流量控制器以控制汽化並輸送到處理站900的液體的質量流量。例如,液體流量控制器( LFC)可包含位於該LFC下游的熱質量流量計(MFM)。於是,該LFC的柱塞閥可響應由與該MFM電連通之比例積分微分(PID,proportional-integral-derivative)控制器所提供的反饋控制信號而受到調整。然而,使用反饋控制來穩定液體流量可能會花上一秒以上的時間。這可能會延長施加液態反應劑的時間。因此,在一些實施例中,該LFC可動態地在反饋控制模式與直接控制模式之間進行切換。在一些實施例中,可藉由將該LFC的感測管以及該PID控制器停止運作,而將該LFC動態地從反饋控制模式切換到直接控制模式。
噴淋頭906朝向基板912分配氣體。在圖9所示之實施例中,基板912係設置在噴淋頭906的下方,並且被顯示置於支座908上。應知悉噴淋頭906可具有任何適當的形狀,且可具有用以將製程氣體分配至基板912之任何適當數量與排列的埠口。
在一些實施例中,微容積907位在噴淋頭906的下方。在微容積中(而非在處理站的整個容積中)執行ALD處理可減少反應劑曝露與沖洗時間,可減少改變製程條件(例如壓力、溫度等)的時間,可限制處理站機器手臂對製程氣體的曝露等。例示性微容積尺寸包含(但不限於)介於0.1升與2升之間的容積。此微容積亦影響生產的產出量。雖然每一循環的沉積速率下降,但循環時間亦同時減少。在某些情況下,對於一給定目標厚度的薄膜而言,後者的效果夠顯著而足以提升模組的整體產出量。
在一些實施例中,支座908可被升起或降下,以將基板912曝露於微容積907及/或改變微容積907的容量。例如,在基板傳送階段中,可將支座908降下,以允許將基板912裝載於支座908上。在沉積製程階段期間,可將支座908升起,以將基板912定位在微容積907內。在一些實施例中,微容積907可完全地包圍基板912與一部分的支座908,以在沉積製程期間產生高流阻抗的區域。
選擇性地,支座908可在部分的沉積製程期間被降下及/或升起,以調節微容積907中的製程壓力、反應劑濃度等。在製程腔室本體902於沉積製程期間維持在基礎壓力的一情況下,降下支座908可允許微容積907被排空。微容積比上製程腔室容積的例示性比例包含(但不限於)介於1:900和1:10之間的容積比例。應知悉的係,在一些實施例中,可藉由適當的電腦控制器來以編程方式調整支座的高度。
在另一情況下,調整支座908的高度可允許在電漿活化及/或包含於沉積製程中的製程循環期間改變電漿的密度。在沉積製程階段結束時,支座908可在另一基板傳送階段期間被降下,以允許從支座908移除基板912。
雖然本文所述之例示性微容積變異係關於高度可調式支座,但應知悉在一些實施例中,噴淋頭906的位置可相對於支座908而被調整,以改變微容積907的容量。此外,應知悉在本發明之範疇內可藉由任何適當的機制來改變支座908及/或噴淋頭906的垂直位置。在一些實施例中,支座908可包含用以轉動基板912之方向的轉軸。應知悉在一些實施例中,可藉由一或更多適當的電腦控制器來以編程方式執行這些例示性調整中的一或更多者。
回到圖9所示之實施例,噴淋頭906及支座908係與 RF功率供應器914及匹配網路916電性連通,以對電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、以及電漿功率脈衝時序中之一或更多者而控制電漿能量。例如,RF功率供應器914以及匹配網路916可在任何適當的功率下操作,以形成具有期望自由基物種組成的電漿。前面敘述合適功率之範例。同樣地,RF功率供應器914可提供任何適當頻率的RF功率。在一些實施例中,RF功率供應器914可配置以控制相互獨立的高頻與低頻RF功率來源。例示性低頻RF頻率可包含(但不限於)介於50kHz與900kHz之間的頻率。例示性高頻RF頻率可包含(但不限於)介於1.8MHz與2.45GHz之間的頻率。應知悉任何適當的參數可不連續或連續地調節,以便對表面反應提供電漿能量。在一非限制性範例中,可使電漿功率產生間歇性脈衝,以相對於連續被供電的電漿降低對基板表面的離子轟擊。
在一些實施例中,可藉由一或更多電漿監視器來原位監控電漿。在一情況中,可藉由一或更多電壓、電流感測器(例如VI探針)來監控電漿功率。在另一情況中,可藉由一或更多光放射光譜感測器(OES)來量測電漿密度及/或製程氣體的濃度。在一些實施例中,可基於出自此種原位電漿監視器的量測值而以編程方式調整一或更多電漿參數。例如,OES感測器可被使用在用以提供電漿功率編程控制的反饋迴路。應知悉在一些實施例中,其他監視器可用以監視電漿與其他製程特性。此種監視器可包含(但不限於)紅外線(IR)監視器、聲波監視器、以及壓力傳感器。
在一些實施例中,可經由輸入/輸出控制(IOC)定序指令來控制電漿。在一範例中,用以設定電漿製程階段之電漿條件的指令可被包含在沉積製程配方的相對應電漿活化配方階段中。在某些情況下,可相繼安排製程配方階段,以便使沉積製程階段的所有指令與此製程階段並行地被執行。在一些實施例中,設定一或更多電漿參數的指令可包含於在電漿製程階段之前的一配方階段中。例如,第一配方階段可包含用以設定惰性及/或反應劑氣體之流率的指令、用以設定電漿產生器至一功率設定點的指令、以及用於第一配方階段的時間延遲指令。第二後續的配方階段可包含用以運轉電漿產生器的指令、以及用於第二配方階段的時間延遲指令。第三配方階段可包含用以停止電漿產生器的指令、以及用於第三配方階段的時間延遲指令。應知悉在本發明之範疇內可以任何適當的方式進一步細分及/或重複這些配方階段。
在一些沉積製程中,電漿衝擊(plasma strikes)歷時大約幾秒鐘或更多的持續期間。在某些實施例中,使用更為短暫的電漿衝擊。此可大約10ms到1秒,一般而言大約20到80ms,具體範例為50ms。此種非常短的RF電漿引燃需要極快的電漿安定化。為達成此目的,電漿產生器可經配置以將阻抗匹配值設定在特定電壓值,同時允許頻率浮動。習慣上,高頻電漿係在約13.56MHz的RF頻率下產生。在本文中揭露的許多實施例中,允許將頻率浮動到相異於此標準值的數值。透過允許頻率浮動,同時將阻抗匹配值固定在一預設電壓值,可更快地將電漿安定化,當使用非常短的電漿衝擊(與若干類型的沉積循環相關)時此結果係重要的。
在一些實施例中,可經由加熱器910而對支座908進行溫度控制。。此外,在一些實施例中,可藉由蝶形閥918來提供對於沉積製程站900的壓力控制。如圖9之實施例所示,蝶形閥918調節由下游真空泵浦(未顯示)所提供的真空。然而,在一些實施例中,亦可藉由改變引入到處理站900的一或更多氣體的流率來調整處理站900的壓力控制。
在一些實施例中,本文中提供的基板係在一多站工具中受處理。圖10顯示具有入站負載鎖室1002以及出站負載鎖室1004之多站處理工具1000之範例的示意圖,這些負載鎖室的其中一者或兩者可包含遠端電漿源。在大氣壓力下的機器手臂1006配置以將基板或晶圓從透過莢1008所承載的卡匣、經由大氣埠1010、而移動到入站負載鎖室1002內。藉由機器手臂1006將晶圓放置在位於入站負載鎖室1002中的支座1012上,將大氣埠1010關閉,並且對此負載鎖室進行抽氣。此處的入站負載鎖室1002包含遠端電漿源,晶圓可在被引入製程腔室1014之前於此負載鎖室中曝露於遠端電漿處理。此外,舉例來說,此晶圓亦可在入站負載鎖室1002中被加熱,以便去除水分以及吸附之氣體。接著,開啟通往製程腔室1014的腔室傳送埠1016,而另一個機器手臂(未圖示)則將此晶圓放置到反應器內並使其位於反應器所示之第一站的支座上以進行處理。
所繪之製程腔室1014包含四個處理站,在圖10所示之實施例中編號為1到4。每一站皆具有加熱支座(於站1顯示成1018)、以及氣體管線入口。應知悉在部份實施例中,每一處理站可具有不同或多種目的。雖然所繪之製程腔室1014係包含四個站,但應知悉解根據本發明,製程腔室可具有任何適當數量的站。例如,在一些實施例中,製程腔室可具有五個或更多的站,但在其他實施例中,製程腔室可具有三個或更少的站。
圖10亦描繪用以在製程腔室1014內傳送晶圓之晶圓搬運系統1090的一實施例。在一些實施例中,晶圓搬運系統1090可在各種處理站之間及/或在處理站與負載鎖室之間傳送晶圓。應知悉可使用任何適當的晶圓搬運系統。非限制性範例包含晶圓旋轉料架以及晶圓搬運機器手臂。圖10亦描繪系統控制器1050的一實施例,其用以控制處理工具1000的製程條件與硬體狀態。系統控制器1050可包含一或更多的記憶體裝置1056、一或更多的大量儲存裝置1054、以及一或更多的處理器1052。處理器1052可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器電路板等。
在一些實施例中,系統控制器1050控制處理工具1000的所有活動。系統控制器1050執行系統控制軟體1058,此軟體係被儲存在大量儲存裝置1054中、被載入到記憶體裝置1056中、以及在處理器1052上被執行。系統控制軟體1058可包含用以控制時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、冲淨條件及時序、晶圓溫度、RF功率位準、RF頻率、基板、支座、夾具及/或載具位置、及由處理工具1000所執行的特定製程之其他參數的指令。系統控制軟體1058可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制用以根據所揭露之方法來實現各種處理工具製程之該處理工具元件的操作。系統控制軟體1058可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體1058可包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。例如,iALD製程的各階段可包括用於系統控制器1050執行的一或更多指令。用以設定iALD製程階段之製程條件的指令可包括在相對應的iALD配方階段中。在一些實施例中,可相繼安排iALD配方階段,以便使iALD製程階段的所有指令與此製程階段並行地被執行。
在一些實施例中,可使用儲存於與系統控制器1050相關聯之大量儲存裝置1054及/或記憶體裝置1056上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含處理工具元件的程式碼,該處理工具元件係用以將基板裝載至支座1018上,並控制基板與處理工具1000其他部分之間的間距。
製程氣體控制程式可包含程式碼,該程式碼係用以控制氣體組成及流速、及選擇性地用以在沉積前將氣體流入一或更多處理站以使該處理站中之壓力安定化。該製程氣體控制程式可包含用以將氣體組成及流速控制在所揭露之任何範圍內的程式碼。壓力控制程式可包含程式碼,該程式碼係藉由調節例如該處理站之排氣系統中的節流閥、進入該處理站之氣流等,以控制該處理站中之壓力。該壓力控制程式可包含用以將處理站中的壓力維持在所揭露之任何壓力範圍內的程式碼。
加熱器控制程式可包含程式碼,該程式碼係用以控制通往用於加熱基板之加熱單元的電流。替代地,該加熱器控制程式可控制熱傳氣體(如氦氣)至基板之輸送。該加熱器控制程式可包含用以將基板的溫度維持在所揭露之任何範圍內的指令。
電漿控制程式可包含程式碼,該程式碼係用以設定施加至一或更多處理站中之處理電極的RF功率位準及頻率,例如使用本文中揭露之任何RF功率位準。該電漿控制程式亦可包含用以控制各電漿暴露之持續期間的程式碼。
在一些實施例中,可存在有與系統控制器1050相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器1050所調整之參數可與製程條件有關。非限制性之範例包含製程氣體組成及流速、溫度、壓力、電漿條件(如RF功率位準、頻率、及暴露時間)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面輸入。
可藉由系統控制器1050之類比及/或數位輸入連接件,而自各種處理工具感測器提供用以監視製程的信號。可於處理工具1000之類比及數位輸出連接件上,輸出用以控制製程之信號。可受到監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當編程之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
可使用任何適當的腔室來實施所揭露之實施例。例示性沉積設備包括(但不限於)可得自美國加州佛蒙特的蘭姆研究公司的ALTUS® 產品系列、VECTOR®產品系列、及/或 SPEED®產品系列;或任何各種其他商業可購得之處理系統。二或更多的站可執行相同功能。類似地,二或更多的站可執行不同功能。各站可視所需經設計/配置以執行特定之功能/方法。
圖11為適合用於根據某些實施例執行薄膜沉積製程的處理系統的方塊圖。系統1100包括傳送模組1103。傳送模組1103提供乾淨且加壓的環境,以將在各種反應器模組之間移動被處理之基板時汙染基板的風險降至最低。兩個多站反應器1109及1110安裝在傳送模組1103上,各多站反應器1109及1110均能夠根據某些實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器1109及1110可包括複數站1111、1113、1115、及1117,該等站可根據所揭露之實施例依序地或不依序地執行操作。該等站可包括加熱支座或基板支持件、一或更多氣體入口、或噴淋頭、或分配面板。
一或更多單站或多站模組1107亦安裝在傳送模組1103上,模組1107能夠執行電漿或化學(非電漿)預清潔、或關於所揭露之方法的所述之任何其他處理。在一些例子中,模組1107可用於各種處理,例如預備基板用於沉積製程。模組1107亦可經設計/配置以執行各種其他處理,例如蝕刻或拋光。系統1100亦可包括一或更多晶圓來源模組1101,為在處理前後儲存晶圓之處。在大氣壓力傳送腔室1119中的大氣壓力機器手臂(未圖示)可先將晶圓從來源模組1101移出到負載匣1121。傳送模組1103中的晶圓傳送裝置(大致上為機械手臂裝置)將晶圓從負載匣1121移動到安裝在傳送模組1103上的模組中並在該等模組中移動。
在許多實施例中,使用系統控制器1129來控制沉積期間的製程條件。控制器1129一般而言包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器電路板等。
控制器1129可控制沉積設備的所有活動。系統控制器1129執行系統控制軟體,包含用以控制時序、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、基板夾具或支座位置、及特定製程之其他參數的指令組。在一些實施例中,可使用儲存於與控制器1129相關聯之記憶體裝置上的其他電腦程式。
一般而言,存在有與系統控制器1129相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
可以任何適合的方式配置系統控制邏輯。通常,邏輯可被設計或配置於硬體及/或軟體中。控制驅動電路的指令可被硬編碼或被提供作為軟體。該指令可由「程式設計」提供。此程式設計係被理解為包括任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實現為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦係被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言編碼。
在製程序列中控制含鍺還原劑脈衝、氫流量、及含鎢前驅物脈衝、和其他製程的電腦程式碼可以任何習知電腦可讀程式設計語言寫入:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或稿件係由處理器實行以執行在程式中所識別的任務。如所指出般,程式碼可被硬編碼。
控制器參數涉及製程條件,例如:製程氣體組成和流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。可藉由系統控制器1129之類比及/或數位輸入連接件來提供用以監視製程的信號。可於沉積設備1100之類比及數位輸出連接件上,輸出用以控制製程之信號。
系統軟體可以許多不同的方式設計或配置。例如,可寫入各種腔室元件的子程式或控制目標,以控制用以根據所揭露實施例執行沉積製程(及在一些例子中之其他處理)必須的腔室元件之操作。用於此用途之程式或程式片段的範例包括基板定位程式碼、製程氣體控制程式碼、壓力控制程式碼、及加熱器控制程式碼。
在一些實施例中,控制器1129為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,包含一個以上處理工具、一個以上腔室、用於處理之一個以上平臺,及/或特定處理元件(晶圓支座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器1129可被編程以控制本文揭露的任何製程,包括:製程氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、在一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、進出工具和其他傳送工具及/或與特定系統連接或介接的負載鎖室之晶圓傳送。
廣義地說,控制器可定義為電子設備,其具有用以接收指令、發送指令、控制操作、允許清洗操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,其定義用以在半導體晶圓上或對半導體晶圓或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒的製造期間完成一或更多製程步驟。
在一些實施例中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、連接,或以其他方式網路連至系統,或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可達成對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包括區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收資料形式的指令,該指令針對待於一或更多操作期間執行之各個處理步驟而指定參數。應理解參數可特定於待執行之製程的類型、及工具的類型(控制器係配置成與該工具介接或控制該工具)。因此,如上所述,控制器可為分散式的,諸如藉由包含一或更多分開的控制器,其由網路連在一起且朝共同的目標(諸如在此描述的製程和控制)作業。用於此目的之分散式控制器的一例子為腔室上的一或更多積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一或更多積體電路,其結合以控制腔室中之製程。
例示性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植佈腔室或模組、徑跡腔室或模組、及任何其他使用於半導體晶圓的製造及/或生產中或與之相關的半導體處理系統,但不限於此。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述一或多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,其將晶圓容器攜帶進出半導體生產工廠內的工具位置及/或負載埠。其他實施例
本文所述之設備和製程可結合微影圖案化的工具或製程一起使用,例如,用於半導體裝置、顯示器、LED、光伏面板等的製造或生產。一般而言(但非必要),此等工具及製程於共同的製造設施內一起使用或執行。薄膜的微影圖案化通常包含一些或全部下述步驟,每個步驟以若干可能的工具而提供:(1)塗佈光阻劑於工件(亦即基板)上,使用旋塗或噴塗工具;(2)固化光阻劑,使用加熱板或加熱爐或UV固化工具;(3)曝露光阻劑於可見光或UV或x射線光,使用諸如晶圓步進機的工具;(4)顯影光阻劑以選擇性地移除光阻劑及從而使其圖案化,使用諸如溼檯的工具;(5)轉移光阻劑圖案至下方的膜或工件,藉由使用乾式或電漿輔助蝕刻工具;及(6)移除光阻劑,使用諸如RF或微波電漿光阻剝除器的工具。此種處理可用於(例如)將介電質層圖案化,鉭氮化物、鉭、及/或銅層沉積在介電質層上,如上所述。替代實施例
在一替代實施例中,沉積AlO、AlON、或AlOC 層的方法涉及: (a) 沉積AlN層;並且 (b)以氧化劑處理AlN層以形成選自由下列各項所組成之群組的層:AlO、AlON、或AlOC。例如,可使用在包含氧化劑(例如CO2 或N2 O)之製程氣體中形成的電漿來處理AlN層。可透過各種方法來沉積AlN層。在一些實施例中, AlN係透過ALD沉積,且涉及: (i) 使含鋁化合物 (例如TMA) 吸附在基板上; (ii)使用含氮化合物(例如氨)處理已吸附的層以形成AlN層;並且 (iii) 重複執行步驟 (i) 及(ii) 所需次數。方法可更包括在(i) 及(ii) 之後沖淨及/或排空製程腔室。在一些實施例中,氧化處理係在沉積各AlN 子層之後執行。
100‧‧‧結構
103‧‧‧介電質層
105‧‧‧擴散阻障層
107‧‧‧銅傳導性路線/銅線路
109‧‧‧蝕刻終止薄膜/蝕刻終止層
111‧‧‧第一介電質層
113‧‧‧蝕刻終止薄膜
115‧‧‧第二介電質層
117‧‧‧CMP終止薄膜
201‧‧‧步驟
203‧‧‧步驟
205‧‧‧步驟
207‧‧‧步驟
209‧‧‧步驟
301‧‧‧步驟
303‧‧‧步驟
305‧‧‧步驟
307‧‧‧步驟
309‧‧‧步驟
900‧‧‧處理站
901‧‧‧反應劑輸送系統
902‧‧‧製程腔室本體
903‧‧‧汽化點
904‧‧‧混合容器
905‧‧‧閥
906‧‧‧噴淋頭
907‧‧‧微容積
908‧‧‧支座
910‧‧‧加熱器
912‧‧‧基板
914‧‧‧RF功率供應器
916‧‧‧匹配網路
918‧‧‧蝶形閥
920‧‧‧閥
1000‧‧‧處理工具
1002‧‧‧入站負載鎖室
1004‧‧‧出站負載鎖室
1006‧‧‧機器手臂
1008‧‧‧莢
1010‧‧‧大氣埠
1012‧‧‧支座
1014‧‧‧製程腔室
1016‧‧‧腔室傳送埠
1018‧‧‧加熱支座
1090‧‧‧晶圓搬運系統
1050‧‧‧系統控制器
1052‧‧‧處理器
1054‧‧‧大量儲存裝置
1056‧‧‧記憶體裝置
1058‧‧‧系統控制軟體
1100‧‧‧系統
1101‧‧‧來源模組
1103‧‧‧傳送模組
1107‧‧‧模組
1109‧‧‧反應器
1110‧‧‧反應器
1111‧‧‧站
1113‧‧‧站
1115‧‧‧站
1117‧‧‧站
1119‧‧‧傳送腔室
1121‧‧‧負載匣
1129‧‧‧控制器
圖1A-1C顯示在處理期間之半導體裝置的剖面圖,根據本文中提供之一實施例描繪蝕刻終止層。
圖2為根據本文中提供之一實施例沉積介電質含鋁薄膜之方法的製程流程圖。
圖3為根據本文中提供之一實施例沉積介電質低k之AlOC薄膜之方法的製程流程圖。
圖4為一實驗長條圖,說明根據本文中提供之實施例而沉積的不同的AlO、AlOC、AlON薄膜之密度。
圖5為一實驗作圖,說明根據本文中提供之實施例而製備的薄膜的介電常數與薄膜密度之間的關係。
圖6為一實驗作圖,說明根據本文中提供之實施例而製備的薄膜的密度與崩潰電壓之間的關係。
圖7A為AlOC薄膜的FTIR光譜,該AlOC薄膜係使用已吸附之TMA層的CO2 及氦電漿處理而製備。
圖7B為AlOC薄膜的FTIR光譜,該AlOC薄膜係使用已吸附之TMA層的CO2 及氬電漿處理而製備。
圖7C為另一AlOC薄膜的FTIR光譜,該另一AlOC薄膜係使用已吸附之TMA層的CO2 及氬電漿處理而製備。
圖8為一實驗作圖,說明根據本文中提供之實施例而製備的不同AlOC薄膜的介電常數與FTIR C=O峰值面積之間的關係。
圖9為可用以根據本文中提供之實施例沉積低k薄膜之iALD處理站之示意呈現。
圖10呈現根據本文中提供之實施例之多站處理工具的示意圖。
圖11為配置以根據本文中提供之實施例沉積薄膜的處理工具之方塊圖。
201‧‧‧步驟
203‧‧‧步驟
205‧‧‧步驟
207‧‧‧步驟
209‧‧‧步驟

Claims (25)

  1. 一種用以形成半導體裝置結構的方法,該方法包含下列操作: (a)  在一製程腔室中,將一半導體基板與一含鋁化合物接觸,並使該含鋁化合物吸附在該半導體基板上; (b) 將未吸附的該含鋁化合物從該製程腔室中移除; (c)  透過將該製程腔室中的該半導體基板與一氧化電漿接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON、及AlOCN所組成之群組中的一介電質化合物; (d) 沖淨及/或排空該製程腔室;並且 (e)  重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
  2. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該氧化電漿係在一製程氣體中形成,該製程氣體包含一惰性氣體及選自由下列各項所組成之群組的一氧化劑: CO2 、N2 O、及其混合物。
  3. 如申請專利範圍第2項之用以形成半導體裝置結構的方法,其中所形成的該介電質薄膜為AlOC薄膜,且其中在操作(a)中使用的該含鋁化合物為三甲基鋁,且在操作(c)中使用的該製程氣體包含CO2 及氬氣。
  4. 如申請專利範圍第3項之用以形成半導體裝置結構的方法,其中該AlOC薄膜具有低於約6.5的介電常數及至少約2.7 g/cm3 的密度。
  5. 如申請專利範圍第3項之用以形成半導體裝置結構的方法,其中該AlOC薄膜包含至少約5 %原子的碳。
  6. 如申請專利範圍第3項之用以形成半導體裝置結構的方法,其中該AlOC薄膜包含介於約5-10 %原子之間的碳。
  7. 如申請專利範圍第3項之用以形成半導體裝置結構的方法,其中操作(c)包含在介於約0.1-2之CO2 對氬氣之流速比之下提供CO2 及氬氣至該製程腔室中。
  8. 如申請專利範圍第2項之用以形成半導體裝置結構的方法,其中所形成的該介電質薄膜為AlOC 或AlO薄膜,且其中在操作(a)中使用的該含鋁化合物為三甲基鋁,且在操作(c)中使用的該製程氣體包含CO2 及氦氣。
  9. 如申請專利範圍第8項之用以形成半導體裝置結構的方法,其中該介電質薄膜中的碳含量介於約0-5原子%之間。
  10. 如申請專利範圍第8項之用以形成半導體裝置結構的方法,其中該介電質薄膜具有介於約8-10之間的介電常數及介於約2.7-3.2 g/cm3 之間的密度。
  11. 如申請專利範圍第2項之用以形成半導體裝置結構的方法,其中所形成的該介電質薄膜為AlON薄膜,且其中在操作(a)中使用的該含鋁化合物為三甲基鋁,且在操作(c)中使用的該製程氣體包含N2 O。
  12. 如申請專利範圍第2項之用以形成半導體裝置結構的方法,其中操作(a)及(c)係在介於約300-420 °C的溫度範圍下執行。
  13. 如申請專利範圍第2項之用以形成半導體裝置結構的方法,其中操作(a)及(c)係在介於約1-10托的壓力範圍下執行。
  14. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該含鋁化合物為三甲基鋁。
  15. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該方法將該介電質薄膜沉積至介於約10-100 Å之間的厚度。
  16. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該方法將該介電質薄膜沉積在一平坦基板上。
  17. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該方法將該介電質薄膜保形地沉積在包含複數凹陷特徵部的一基板上。
  18. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該方法將該介電質薄膜沉積在一暴露介電質層及一暴露金屬層上。
  19. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該介電質薄膜之沉積操作包含執行操作(a)-(d)10-40次。
  20. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,更包含下列操作: 塗佈光阻劑於該半導體基板上; 曝光該光阻劑; 圖案化該光阻劑並將圖案轉移至該半導體基板;並且 將該光阻劑從該半導體基板上選擇性移除。
  21. 如申請專利範圍第1項之用以形成半導體裝置結構的方法,其中該介電質薄膜為一蝕刻終止層。
  22. 一種用以在半導體基板上沉積介電質薄膜的設備,該設備包含: 一製程腔室,包含用於該半導體基板的一支持件及一控制器,其中該控制器包含程式指令,用以進行下列動作: (a)  將一含鋁化合物引入容置該半導體基板的該製程腔室中,並使該含鋁化合物吸附在該半導體基板上; (b) 將未吸附的該含鋁化合物從該製程腔室中移除; (c)  透過將該製程腔室中的該半導體基板與一氧化電漿接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON所組成之群組中的一介電質化合物; (d) 沖淨及/或排空該製程腔室;並且 (e) 重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
  23. 一種系統,包含一步進器以及如申請專利範圍第22項之設備。
  24. 一種非暫態電腦機械可讀取媒介,包含程式碼,用以進行下列動作: (a)  將一含鋁化合物引入容置該半導體基板的該製程腔室中,並使該含鋁化合物吸附在該半導體基板上; (b) 將未吸附的該含鋁化合物從該製程腔室中移除; (c)  透過將該製程腔室中的該半導體基板與一氧化電漿接觸以將已吸附的該含鋁化合物改質,進而形成選自由AlO、AlOC、AlON所組成之群組中的一介電質化合物; (d) 沖淨及/或排空該製程腔室;並且 (e)  重複執行操作(a)-(d)以形成一介電質薄膜,其中所形成的該介電質薄膜之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
  25. 一種半導體裝置,包含選自由AlO、AlOC、AlON、及AlOCN所組成之群組中的一介電質含鋁層,其中該介電質層之特徵在於低於約10的介電常數及至少約2.5 g/cm3 的密度。
TW105131843A 2015-10-09 2016-10-03 低介電常數含鋁蝕刻終止膜的形成方法 TWI721022B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562239666P 2015-10-09 2015-10-09
US62/239,666 2015-10-09
US14/949,533 2015-11-23
US14/949,533 US9633896B1 (en) 2015-10-09 2015-11-23 Methods for formation of low-k aluminum-containing etch stop films

Publications (2)

Publication Number Publication Date
TW201726963A true TW201726963A (zh) 2017-08-01
TWI721022B TWI721022B (zh) 2021-03-11

Family

ID=58499936

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131843A TWI721022B (zh) 2015-10-09 2016-10-03 低介電常數含鋁蝕刻終止膜的形成方法

Country Status (3)

Country Link
US (1) US9633896B1 (zh)
KR (1) KR102668418B1 (zh)
TW (1) TWI721022B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211097B2 (en) * 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US9984870B2 (en) * 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
TWI642333B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
TWI642334B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
US10707089B2 (en) 2018-03-27 2020-07-07 Texas Instruments Incorporated Dry etch process landing on metal oxide etch stop layer over metal layer and structure formed thereby
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
WO2021173421A1 (en) * 2020-02-25 2021-09-02 Tokyo Electron Limited Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282268A (en) 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
JPS56157037A (en) 1980-05-08 1981-12-04 Toshiba Corp Semiconductor device
JPH0765179B2 (ja) 1987-05-15 1995-07-12 日本電信電話株式会社 化学的気相成長方法
GB2195663B (en) 1986-08-15 1990-08-22 Nippon Telegraph & Telephone Chemical vapour deposition method and apparatus therefor
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
JPH0982696A (ja) 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US5975740A (en) 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100289945B1 (ko) 1998-09-15 2001-09-17 신현국 알루미늄박막의화학증착용전구체화합물및이의제조방법
JP4162779B2 (ja) 1998-11-04 2008-10-08 キヤノンアネルバ株式会社 Cvd装置およびcvd方法
US6153523A (en) 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
JP2000252278A (ja) 1998-12-28 2000-09-14 Matsushita Electronics Industry Corp 半導体装置およびその製造方法
US6143657A (en) 1999-01-04 2000-11-07 Taiwan Semiconductor Manufacturing Company Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby
US6271595B1 (en) 1999-01-14 2001-08-07 International Business Machines Corporation Method for improving adhesion to copper
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
SG125881A1 (en) 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
JP2002043315A (ja) 2000-07-26 2002-02-08 Sony Corp 半導体装置およびその製造方法
US20020048926A1 (en) 2000-09-14 2002-04-25 Konecni Anthony J. Method for forming a self-aligned copper capping diffusion barrier
CN101577247B (zh) 2000-09-18 2011-12-14 Acm研究公司 把金属和超低k值电介质集成
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6815324B2 (en) 2001-02-15 2004-11-09 Megic Corporation Reliable metal bumps on top of I/O pads after removal of test probe marks
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6664182B2 (en) 2001-04-25 2003-12-16 Macronix International Co. Ltd. Method of improving the interlayer adhesion property of low-k layers in a dual damascene process
JP4350337B2 (ja) 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US6599827B1 (en) 2001-05-02 2003-07-29 Advanced Micro Devices, Inc. Methods of forming capped copper interconnects with improved electromigration resistance
JP2003273212A (ja) 2002-03-14 2003-09-26 Fujitsu Ltd 積層構造体およびその製造方法
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
JP3657921B2 (ja) 2002-04-26 2005-06-08 株式会社東芝 半導体装置とその製造方法
TW559999B (en) 2002-05-08 2003-11-01 Nec Corp Semiconductor device having silicon-including metal wiring layer and its manufacturing method
DE10224167B4 (de) 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
WO2004040642A1 (en) 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
JP4647184B2 (ja) 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6974768B1 (en) 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
FR2851373B1 (fr) 2003-02-18 2006-01-13 St Microelectronics Sa Procede de fabrication d'un circuit electronique integre incorporant des cavites
US7060619B2 (en) 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
JP2004349609A (ja) 2003-05-26 2004-12-09 Renesas Technology Corp 半導体装置およびその製造方法
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
JP2005072384A (ja) 2003-08-26 2005-03-17 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
EP1521301A1 (en) 2003-09-30 2005-04-06 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Method of formation of airgaps around interconnecting line
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7531463B2 (en) 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
JP4230334B2 (ja) 2003-10-31 2009-02-25 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7365001B2 (en) 2003-12-16 2008-04-29 International Business Machines Corporation Interconnect structures and methods of making thereof
JP2005187880A (ja) 2003-12-25 2005-07-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 成膜装置のクリーニング方法
KR100564801B1 (ko) 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
US7179747B2 (en) 2004-02-04 2007-02-20 Texas Instruments Incorporated Use of supercritical fluid for low effective dielectric constant metallization
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7211509B1 (en) 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
JP2006041453A (ja) 2004-06-22 2006-02-09 Ebara Corp 配線形成方法及び配線形成装置
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7247946B2 (en) 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
FR2891084A1 (fr) 2005-07-07 2007-03-23 St Microelectronics Sa REALISATION D'UNE BARRIERE CuSiN AUTO ALIGNEE
TW200746355A (en) 2005-07-12 2007-12-16 St Microelectronics Crolles 2 Integration control and reliability enhancement of interconnect air cavities
DE102005035740A1 (de) 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
US7452743B2 (en) 2005-09-01 2008-11-18 Aptina Imaging Corporation Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level
US7470612B2 (en) 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TW200802703A (en) 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
DE102005057057B4 (de) 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
JP2007180408A (ja) 2005-12-28 2007-07-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
CN101454891A (zh) 2006-03-30 2009-06-10 皇家飞利浦电子股份有限公司 改善互连叠层中局部气隙形成的控制
FR2907259A1 (fr) 2006-10-13 2008-04-18 St Microelectronics Sa Realisation d'une barriere metallique dans un circuit electronique integre
US7855143B2 (en) 2006-12-22 2010-12-21 Chartered Semiconductor Manufacturing, Ltd. Interconnect capping layer and method of fabrication
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7655556B2 (en) 2007-03-23 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for semiconductor devices
US7777344B2 (en) 2007-04-11 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Transitional interface between metal and dielectric in interconnect structures
US7754588B2 (en) 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7825019B2 (en) 2007-09-28 2010-11-02 International Business Machines Corporation Structures and methods for reduction of parasitic capacitances in semiconductor integrated circuits
US20090093100A1 (en) 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090218627A1 (en) 2008-02-28 2009-09-03 International Business Machines Corporation Field effect device structure including self-aligned spacer shaped contact
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7741226B2 (en) 2008-05-06 2010-06-22 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same
US8674484B2 (en) 2008-12-30 2014-03-18 Intel Corporation Dielectric separator layer
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5773306B2 (ja) 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 半導体素子構造を形成する方法および装置
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US8784950B2 (en) * 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
CN104347476B (zh) 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films

Also Published As

Publication number Publication date
TWI721022B (zh) 2021-03-11
US9633896B1 (en) 2017-04-25
KR102668418B1 (ko) 2024-05-23
US20170103914A1 (en) 2017-04-13
KR20170044014A (ko) 2017-04-24

Similar Documents

Publication Publication Date Title
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
JP7414891B2 (ja) 半導体基板を処理するための装置および方法
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
JP6832088B2 (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
CN118099080A (zh) 创建气隙的方法
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US11987876B2 (en) Chamfer-less via integration scheme
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
WO2019190783A1 (en) Atomic layer deposition of carbon films