WO2019190783A1 - Atomic layer deposition of carbon films - Google Patents

Atomic layer deposition of carbon films Download PDF

Info

Publication number
WO2019190783A1
WO2019190783A1 PCT/US2019/022568 US2019022568W WO2019190783A1 WO 2019190783 A1 WO2019190783 A1 WO 2019190783A1 US 2019022568 W US2019022568 W US 2019022568W WO 2019190783 A1 WO2019190783 A1 WO 2019190783A1
Authority
WO
WIPO (PCT)
Prior art keywords
carbon
containing reactant
aluminum
layer
semiconductor substrate
Prior art date
Application number
PCT/US2019/022568
Other languages
French (fr)
Inventor
Adrien La Voie
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to JP2020551853A priority Critical patent/JP7396998B2/en
Priority to CN201980022653.0A priority patent/CN112005339A/en
Priority to KR1020207030667A priority patent/KR20200127261A/en
Publication of WO2019190783A1 publication Critical patent/WO2019190783A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Definitions

  • This invention pertains to methods of semiconductor device manufacturing. Specifically, embodiments of this invention pertain to methods of depositing carbon films in semiconductor processing.
  • ALD Atomic layer deposition
  • Methods for depositing carbon layers by ALD have not been previously developed. Therefore, deposition of carbon layers with high level of control over the deposited thickness and with good step coverage presents a challenging problem.
  • Methods and apparatus for depositing carbon layers in a surface-controlled fashion are provided herein.
  • Conformal carbon films having excellent step coverage can be deposited by provided methods and can be used in a variety of applications, including in gapfill (e.g., in gapfill in 3D NAND structures), and during spacer formation in self aligned double patterning (SADP).
  • gapfill e.g., in gapfill in 3D NAND structures
  • SADP self aligned double patterning
  • a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber includes: (a) introducing an aluminum-containing reactant to the processing chamber, where the aluminum-containing reactant has at least one aluminum - carbon bond (e.g., where the reactant is an alkyl-substituted aluminum, such as a trialkylaluminum); (b) introducing a carbon-containing reactant to the processing chamber, where the carbon-containing reactant has at least one carbon - halogen bond, and where the carbon-containing reactant is different from the aluminum-containing reactant (e.g., where the carbon-containing reactant is a carbon tetrahalide); (c) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption- limited layer on the surface of the semiconductor substrate; and (d) reacting the aluminum- containing reactant with the carbon-containing
  • Suitable aluminum-containing reactants include trialkylaluminums. In one example the aluminum-containing reactant is trimethylaluminum.
  • suitable carbon-containing reactants with a carbon-halide bond include CX 4 , CHX 3 , CH2X2, and CH 3 X, where X is a halogen.
  • the carbon-containing reactant is carbon tetrahalide.
  • the carbon-containing reactant includes a carbon-fluorine bond.
  • the aluminum-containing reactant is a trialkylaluminum
  • the carbon-containing reactant is one or more of CX 4 , CHX 3 , CH2X2, and CH 3 X, where X is a halogen.
  • the halogen is fluorine.
  • the halogen is chlorine and/or bromine.
  • the aluminum-containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum), and the carbon-containing reactant is CF 4 , CC1 4 , or CBr 4 .
  • the reaction between the aluminum-containing reactant and the carbon-containing reactant results in a formation of an aluminum-containing byproduct, which can be removed after the reaction is completed.
  • the byproduct typically contains an aluminum-halogen bond.
  • the carbon-containing reactant contains a carbon-fluorine bond
  • the byproduct will include an aluminum fluoride.
  • the introduction of the reactants into the processing chamber is sequential, and the processing chamber is purged and/or evacuated after introduction of a first reactant and before introduction of a second reactant.
  • the reactants can be introduced in any order.
  • the aluminum-containing reactant having the aluminum-carbon bond is first introduced into the processing chamber and is allowed to form an adsorption-limited layer on the surface of the substrate.
  • the unadsorbed aluminum-containing reactant is removed from the processing chamber (e.g., by purging and/or evacuation), and then a carbon-containing reactant is introduced into the processing chamber and is allowed to react with the adsorbed layer of the aluminum-containing reactant to form a carbon layer.
  • a carbon-containing reactant is introduced into the processing chamber and is allowed to react with the adsorbed layer of the aluminum-containing reactant to form a carbon layer.
  • an aluminum-containing byproduct can be removed, and the process may be repeated as many times as necessary to deposit a carbon layer of pre-determined thickness.
  • the process starts by first introducing the carbon-containing reactant into the processing chamber and forming an adsorption-limited layer of the carbon- containing reactant on the substrate.
  • the unadsorbed carbon-containing reactant is removed from the processing chamber by purging and/or evacuation.
  • the aluminum-containing reactant is introduced into the processing chamber and is reacted with the adsorbed layer of the carbon- containing reactant to form the carbon layer on the surface of the substrate.
  • the reaction between the aluminum-containing reactant and the carbon-containing reactant occurs thermally without activation.
  • the reaction is activated, for example, by plasma treatment of a substrate having a layer of an aluminum-containing reactant and a layer of a carbon-containing reactant formed thereon.
  • the method carbon deposition method includes adsorbing both the aluminum-containing reactant and the carbon-containing reactant onto the surface of the substrate, and activating the reaction between the adsorbed reactants on the substrate by exposing the substrate to a plasma formed in a process gas comprising a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (3 ⁇ 4) and nitrogen (N 2 ).
  • a plasma-activated method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber includes: (a) introducing an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond and forming a layer of the aluminum- containing reactant on the surface of the semiconductor substrate; (b) removing the aluminum- containing reactant from the processing chamber after (a); (c) introducing a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant, and forming a layer of the carbon-containing reactant on the surface of the semiconductor substrate; (d) removing the carbon-containing reactant from the processing chamber after (c); and (d) contacting the semiconductor substrate having the layer of the carbon-containing reactant and the layer of the aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon
  • Operations (a) - (d) can be repeated as many times as necessary to deposit a carbon layer of desired thickness.
  • the aluminum containing reactant is a trialkylaluminum
  • the carbon-containing reactant is CF 4
  • the reaction between the trialkylaluminum and CF 4 is activated by contacting the semiconductor substrate with a plasma formed in a process gas comprising a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N 2 ).
  • the surface of the semiconductor substrate upon which the carbon layer is formed has patterned three-dimensional features.
  • the carbon layer is deposited in a gapfill operation.
  • the carbon layer can be deposited in a gapfill operation in a partially fabricated 3D NAND structure.
  • the carbon layer is deposited conformally over a semiconductor substrate having a plurality of protruding features.
  • the method further involves: completely removing the carbon layer from horizontal surfaces of the protruding features without completely removing the carbon layer at the sidewalls of the protruding features; and then removing the protruding features without completely removing the carbon layer that resided at the sidewalls of the protruding features, thereby forming carbon spacers on the semiconductor substrate.
  • provided methods further include: applying photoresist to the substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the substrate; and selectively removing the photoresist from the substrate.
  • a partially fabricated semiconductor substrate wherein the semiconductor substrate includes a plurality of carbon spacers.
  • a system for processing a semiconductor substrate includes a processing chamber, having a substrate holder and one or more inlets for introduction of reactants to the processing chamber; and a system controller comprising program instructions for performing any of the methods described herein.
  • the program instructions include instructions for: (i) causing an introduction of an aluminum- containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond; (ii) causing an introduction of a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing an adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing a reaction between the aluminum-containing reactant and the carbon- containing reactant after at least one of the aluminum-containing reactant and the carbon- containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate.
  • Figure 1 is a process flow diagram for a carbon deposition method according to an embodiment provided herein.
  • Figure 2A is a process flow diagram for a carbon deposition method according to an embodiment provided herein.
  • Figure 2B is a process flow diagram for a carbon deposition method according to another embodiment provided herein.
  • Figures 3A-3F show schematic cross-sectional views of a semiconductor substrate undergoing processing according to an embodiment provided herein.
  • Figure 4 is a process flow diagram for a method of forming carbon spacers according to an embodiment provided herein.
  • Figures 5-6 show schematic cross-sectional views of a semiconductor substrate undergoing processing according to an embodiment provided herein.
  • Figure 7 is a schematic presentation of an apparatus that is suitable for depositing carbon films, according to an embodiment provided herein.
  • Figure 8 shows a schematic view of a multi-station processing system according to an embodiment provided herein.
  • Figure 9 shows a schematic view of a multi-station processing system according to an embodiment provided herein.
  • Methods for depositing carbon films using ALD are provided. These methods can be used, for example, for depositing conformal carbon films on semiconductor substrates having three-dimensional structures on the surface, such as on substrates having one or more recessed features or one or more protrusions.
  • the methods involve a reaction between an aluminum-containing reactant having an Al-C bond (e.g., trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., CF 4 ).
  • ALD as used herein generally refer to deposition methods that rely on reactions limited by the amount of a reactant adsorbed on a surface of a substrate (adsorption- limited reactant layer).
  • Adsorption-limited layers of reactants may include adsorption-limited layer of an aluminum-containing reactant, an adsorption-limited layer of a carbon-containing reactant or adsorption-limited layers of both reactants.
  • ALD methods involve sequential introduction of reactants into the processing chamber, such that the reactants are not allowed to mix in the bulk of the processing chamber.
  • carbon films are deposited in gapfill applications.
  • carbon films can be deposited in gapfill during 3D NAND fabrication.
  • carbon films are used as spacers in self aligned double patterning (SADP).
  • SADP self aligned double patterning
  • Provided methods are not limited to deposition of carbon films on surfaces with recessed features, and can also be used for depositing blanket carbon films on planar surfaces. The methods rely on surface-controlled reactions and can be used to deposit films with a high degree of control over film thickness.
  • the films can be deposited in a wide variety of apparatuses that allow for sequential introduction of reactants into a process chamber.
  • carbon films can be deposited in the Striker ® deposition systems available from Lam Research Corporation.
  • Carbon refers to material that consists essentially of carbon (C), and, optionally, hydrogen (H).
  • carbon films may contain C-H bonds. Materials that include hydrocarbons are within the scope of carbon films. Other elements can be present in carbon films as dopants in small quantities of less than about 10 atomic % for the total amount of dopants, where hydrogen is not included in the calculation.
  • semiconductor substrate refers to a substrate at any stage of semiconductor device fabrication containing a semiconductor material anywhere within its structure. It is understood that the semiconductor material in the semiconductor substrate does not need to be exposed.
  • Semiconductor wafers having a plurality of layers of other materials (e.g., dielectrics) covering the semiconductor material, are examples of semiconductor substrates.
  • the following detailed description assumes the disclosed implementations are implemented on a wafer. However, the disclosed implementations are not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of the disclosed implementations include various articles such as printed circuit boards and the like.
  • an aluminum-containing reactant is introduced to the processing chamber housing the semiconductor substrate.
  • the aluminum-containing reactant includes at least one aluminum-carbon bond.
  • the carbon of the aluminum-carbon bond is a part of an alkyl substituent, such as methyl, ethyl, a propyl (e.g., «-propyl or isopropyl), a butyl, a pentyl, etc.
  • the aluminum-containing reactant is a trialkylaluminum. Examples of suitable reactants include trimethylaluminum, triethylaluminum, and the like.
  • the aluminum-containing reactant is volatile, and is introduced into the processing chamber in gaseous phase.
  • the reactant may be introduced in a mixture with a carrier gas, where the carrier gas is typically an inert gas, such as N 2 , He, Ar, Ne, or Kr.
  • the aluminum-containing reactant is not volatile, it can be vaporized using a direct liquid injection (DLI) vaporizer, such as a Vapbox DLI vaporizer available from Kemstream.
  • DLI direct liquid injection
  • a carbon-containing reactant is introduced into the processing chamber housing the substrate.
  • the carbon-containing reactant has a carbon-halogen bond, such as at least one of carbon-fluorine, carbon-chlorine, and carbon-bromine bonds.
  • suitable reactants include CX 4, CHX 3 , CH 2 X 2 , and CH 3 X, where X is a halogen.
  • fluorine- containing reactants such as CF 4 , CHF 3 , CH 2 F 2 , or CH 3 F, are used in some embodiments.
  • chlorine-containing reactants such as CCE, CHC1 3 , CH 2 C1 2 , or CH 3 C1, may be employed.
  • the aluminum-containing reactant and the carbon-containing reactant are typically introduced into the processing chamber sequentially, without mixing in the bulk of the processing chamber.
  • the order of introduction can vary depending on the embodiment.
  • the aluminum-containing reactant is introduced first, followed by the introduction of the carbon-containing reactant.
  • the carbon-containing reactant is introduced first, followed by introduction of the aluminum containing reactant.
  • At least one of the reactants e.g., an aluminum-containing reactant, a carbon-containing reactant or both
  • the first-introduced reactant forms an adsorption-limited layer on the substrate, and the second-introduced reactant reacts with the adsorption-limited layer of the first reactant after the second-introduced reactant comes in contact with the adsorption-limited layer.
  • the first-introduced reactant forms an adsorption-limited layer
  • the second-introduced reactant also forms an adsorption limited layer, and both reactants then react on the surface of the substrate, e.g., after thermal activation or plasma activation.
  • the reaction is activated by contacting the substrate with a plasma formed in gas such as helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N 2 ), or any mixture thereof.
  • a plasma formed in gas such as helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N 2 ), or any mixture thereof.
  • Activation of the reaction with a plasma may be used in order to allow formation of carbon at a relatively low temperature.
  • carbon films are formed using a plasma-activated reaction at a temperature of less than 300 °C, such as less than 200 °C.
  • the processing chamber is purged and/or evacuated after introduction of the first reactant and before introduction of the second reactant, in order to remove an unadsorbed first-introduced reactant from the processing chamber.
  • the aluminum-containing reactant is reacted with the carbon-containing reactant to form a layer of carbon on the surface of the substrate, where the amount of formed carbon is limited by an adsorption-limited layer of a reactant (e.g., of an aluminum-containing reactant and/or carbon-containing reactant).
  • a reactant e.g., of an aluminum-containing reactant and/or carbon-containing reactant.
  • aluminum-carbon bond of the aluminum-containing reactant and the carbon-halogen bond of the carbon-containing reactant are broken to form carbon (which may include C-H bonds), and a byproduct containing aluminum- halogen bond.
  • the reaction in some embodiments occurs spontaneously after the reactants are brought into contact. In other embodiments, the reaction is activated (e.g., thermally) after the reactants have been brought into contact.
  • aluminum halide byproducts are removed from the surface of the substrate concurrently with the formation of carbon.
  • the aluminum-containing byproduct is removed from the substrate in a separate step.
  • the substrate may be heated to remove volatile aluminum halide (e.g., aluminum fluoride) byproduct.
  • One cycle of deposition which includes operations 101 - 105, in some embodiments deposits between 0.5 - 3 A of carbon film on average.
  • the cycles can be repeated as many times as necessary to deposit a carbon film of a desired thickness. For example, carbon films with thicknesses of between 5 - 1,000 A are deposited in some embodiments.
  • One embodiment of the carbon deposition method is illustrated by the process flow diagram shown in Figure 2 A.
  • the process starts in 201 by adsorbing the aluminum-containing reactant on a semiconductor substrate.
  • the aluminum-containing precursor, such as trialkylaluminum can be flowed to the process chamber with a carrier gas and can be allowed to adsorb to the surface of the substrate.
  • the process conditions for this step are selected such that an adsorption-limited layer of aluminum-containing reactant is formed.
  • the unadsorbed aluminum-containing reactant is removed from the processing chamber by purging and/or evacuating the processing chamber.
  • the processing chamber may be purged with an inert gas, such as N 2 , He, Ar, Ne, etc.
  • an inert gas such as N 2 , He, Ar, Ne, etc.
  • the carbon-containing reactant is introduced into the processing chamber, and it is reacted with the adsorbed aluminum-containing reactant to form a layer of carbon on the surface of the semiconductor substrate. In some embodiments the reaction occurs spontaneously after the carbon-containing reactant is introduced.
  • the aluminum-containing byproduct is removed from the processing chamber.
  • This step is optional because in some embodiments the byproduct is removed concurrently with carbon formation.
  • the byproduct can be removed in a separate step, e.g., by heating.
  • deposition of carbon is repeated as many times as necessary to form a carbon layer of pre-determined thickness. For example, in some embodiments at least 5 or at least 10 cycles, where each cycle includes operations 201-205 are performed.
  • the temperature and pressure during processing is controlled to allow formation of adsorption-limited layers of one or both reactants on the substrate. In some embodiments the temperature during the entire deposition sequence is maintained at less than about 400 °C, and the pressure is maintained at subatmospheric levels.
  • the deposition of carbon films using described reactants can be carried out in an absence of plasma. In some embodiments, plasma treatment may be used, e.g., to improve the quality of the deposited carbon layer after deposition and/or to activate one or more reactants on the surface of the substrate.
  • Figure 2B provides a process flow diagram for a method of forming a carbon layer using plasma-activated reaction.
  • the process starts in 211 by forming a layer of an aluminum-containing reactant on the substrate.
  • an adsorption-limited layer of the aluminum-containing reactant can be formed on the substrate.
  • the processing chamber is purged and/or evacuated to remove the aluminum-containing reactant from the processing chamber.
  • an inert gas can be used as purging gas to remove the unadsorbed aluminum-containing reactant.
  • a layer of a carbon- containing material is formed on the substrate.
  • the carbon-containing material may be introduced to the processing chamber and may be allowed to form an adsorption limited layer on the substrate.
  • the processing chamber is purged and/or evacuated to remove the carbon-containing material from the processing chamber. After this operation, there is a layer of an aluminum-containing material and a layer of a carbon-containing material on the surface of the substrate.
  • the substrate is treated with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant on the substrate and to form a carbon layer.
  • the plasma is formed in helium (He), argon (Ar), hydrogen (H 2 ), nitrogen (N 2 ), or any mixture of these gases.
  • the reaction by-product may be removed concurrently with plasma treatment, or in a subsequent step.
  • the processing chamber can be purged and/or evacuated, and the processing sequence of steps 211-219 may be repeated in operation 221 as many times as necessary until a carbon layer having a pre-determined thickness is deposited.
  • the aluminum- containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF 4 .
  • Carbon films deposited by methods provided herein can be used in a variety of applications in semiconductor device fabrication. They are particularly useful when conformal deposition of films on substrates having three-dimensional features (e.g., protruding features or recessed features) is desired. In some embodiments carbon films are used as spacers in patterning applications.
  • An example of carbon spacer formation is provided in Figures 3A - 3F, where schematic cross-sectional views of a semiconductor substrate during different stages of processing are illustrated.
  • Figure 4 provides an exemplary process flow diagram for a method of semiconductor processing involving formation of carbon mandrels.
  • the depicted process starts in 401 by providing a substrate having a plurality of protruding features, also referred to as mandrels.
  • An illustrative substrate is shown in Figure 3A, which shows two mandrels 301 residing on an etch stop layer (ESL) 303.
  • ESL etch stop layer
  • the distance dl between the neighboring mandrels is, in some embodiments, between about 10 - 100 nm. In some embodiments relatively larger distances of about 40 - 100 nm are used. In other applications, the distance between closest mandrels is between about 10 - 30 nm.
  • the distance between the centers of closest mandrels, d2, which is also referred to as pitch, is, in some embodiments, between about 30 - 130 nm. In some embodiments, the pitch is between about 80 - 130 nm. In other embodiments, the pitch is between about 30 - 40 nm.
  • the height of the mandrels d3 is typically between about 20-200 nm, such as between about 50-100 nm.
  • the materials of the mandrel and of the ESL are selected such as to allow subsequent selective etching of the mandrel material in the presence of exposed carbon, and selective etching of the ESL material in the presence of exposed carbon.
  • the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for a first etch chemistry.
  • the ESL material is a silicon-containing material (e.g., a silicon-containing compound such as silicon nitride), and the first etch chemistry is a fluorine-based plasma etch (e g., a plasma formed in a gas comprising a fluorocarbon).
  • the ESL material is a metal oxide or metal nitride
  • the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a process gas comprising a halogen).
  • the ratio of the etch rate of the mandrel material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for a second etch chemistry.
  • the mandrel material is a silicon-containing material (e.g., a silicon-containing compound)
  • the first etch chemistry is a fluorine-based plasma etch (e.g., a plasma formed in a gas comprising a fluorocarbon).
  • the mandrel material is a metal oxide or metal nitride
  • the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a process gas comprising a halogen).
  • the ESL material is a silicon-containing compound (e.g., Si0 2 ), or a metal oxide (e.g., titanium oxide, zirconium oxide, tungsten oxide).
  • the mandrel material may include silicon-containing compound (e.g., Si0 2 , SiN, or SiC), amorphous silicon (doped or undoped) or a metal oxide (TaO, TiO, WO, ZrO, HfO).
  • the outer material of the mandrel may be different from the mandrel core.
  • the mandrel is made of amorphous silicon which is covered with silicon oxide (e.g., with a spontaneously formed layer of thermal oxide).
  • the ESL layer and the mandrels can be formed by one or more of physical vapor deposition (PVD), chemical vapor deposition (CVD), ALD (without plasma or by PEALD) or plasma enhanced chemical vapor deposition (PECVD) and the pattern of the mandrels can be defined using photolithographic techniques.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD without plasma or by PEALD
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • suitable ESL/mandrel combination include: (i) a silicon oxide ESL and a silicon oxide covered silicon mandrel; (ii) a silicon oxide ESL and a metal oxide mandrel; and (iii) a metal oxide ESL and a silicon oxide covered silicon mandrel.
  • the ESL layer 303 resides over and in contact with the target layer 305.
  • the target layer 305 is the layer that needs to be patterned.
  • the target layer 305 may be a semiconductor, dielectric or other layer and may be made of silicon (Si), silicon oxide (Si0 2 ), silicon nitride (SiN), or titanium nitride (TiN), for example.
  • the target layer is referred to as a hardmask layer and includes metal nitride, such as titanium nitride.
  • the target layer 305 may be deposited by ALD (without plasma or by PEALD), CVD, or other suitable deposition technique.
  • the target layer 305 resides over and in contact with layer 307, which is in some embodiments a BEOL layer, that includes a plurality of metal lines embedded into a layer of dielectric material.
  • the processing of the substrate follows in 403 by depositing a carbon layer over both the horizontal surfaces and sidewalls of the protruding features.
  • the carbon layer preferably is deposited conformally using ALD methods provided herein.
  • the carbon layer 309 is deposited over the ESL 303, and over the mandrels 301, including the sidewalls of the mandrels.
  • the carbon layer is deposited by ALD methods developed hererin.
  • the carbon layer is deposited conformally to a thickness of between about 5- 30 nm, such as between about 10 - 20 nm.
  • the process follows in 405 by completely removing the carbon layer from the horizontal surfaces, without completely removing the carbon layer from the sidewalls of the protruding features.
  • This etching can be performing using an oxygen-based plasma etch (e.g., using a plasma formed in a gas comprising oxygen).
  • a hydrogen-based etch may be used (e.g., using a plasma formed in a process gas comprising hydrogen). If the mandrels have silicon-containing compounds or metal oxides as the outer layer a hydrogen-based or oxygen-based etch can be used.
  • the etch chemistry utilized in this step should be preferably selective to both the ESL material and to the material of the outer layer of the mandrel, i.e., the etch rate of carbon for this etch chemistry should be greater than the etch rate of the outer mandrel material and greater than the etch rate of the ESL material.
  • Removal of the carbon layer from horizontal surfaces is illustrated by Figure 3C.
  • the carbon layer 309 is etched from the horizontal surfaces over ESL 303 and over mandrels 301, without being fully etched from positions that adhere to the sidewalls of mandrels 301. This etch exposes the layer 303 everywhere with the exception of locations near the sidewalls of the mandrels 301.
  • this etch exposes the top portions of the mandrels.
  • the resulting structure is shown in Figure 3C.
  • at least 50% such as at least 80% or at least 90% of the initial height of the carbon layer at the sidewall is preserved.
  • carbon is selectively etched from a silicon oxide covered mandrel by a hydrogen-based etch (e.g., H 2 plasma etch) such that the outer material of the mandrel ( S i O 2) is exposed.
  • Hydrogen-based etch is selective to Si0 2 .
  • carbon is selectively etched from a metal oxide (e.g., titanium oxide) mandrel by a hydrogen-based etch (e.g., H 2 plasma etch) or an oxygen-based etch (e.g., 0 2 plasma etch) such that mandrel material (metal oxide) is exposed.
  • a hydrogen-based etch e.g., H 2 plasma etch
  • an oxygen-based etch e.g., 0 2 plasma etch
  • the next step 407 involves completely removing the protruding features without completely removing the carbon layer that resided at the sidewalls of the protruding features, thereby forming carbon spacers.
  • mandrels 301 are removed from the substrate leaving exposed carbon spacers 301 and an exposed layer ESL 303. Removal of the mandrels is performed by exposing the substrate to an etch chemistry that selectively etches the mandrel material.
  • the ratio of the etch rate of the mandrel material to the etch rate of carbon in this step is greater than 1, and is more preferably greater than 1.5.
  • the etch chemistry used in this step should, in some embodiments, selectively etch the mandrel material relative to ESL material.
  • etching methods can be used, and specific choice of chemistry depends on the material of the mandrel and on the material of the ESL layer.
  • a fluorine-based chemistry e.g., NF 3
  • This chemistry is selective to carbon.
  • the substrate may be treated with a chlorine-based etch chemistry (e.g., BCI3/CI2 in a plasma) to selectively remove the mandrel relative to carbon.
  • a chlorine-based etch chemistry e.g., BCI3/CI2 in a plasma
  • This chemistry can be used in a presence of an ESL containing silicon-containing compounds (e.g., Si0 2 , SiN, SiC).
  • the exposed ESL film 303 is etched to expose the underlying target layer 305 at all positions that are not protected by the carbon spacers 309.
  • the resulting structure is shown in Figure 3E.
  • the etch chemistry that is used in this step selectively etches the ESL material in the presence of carbon.
  • the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, and is more preferably greater than 1.5.
  • the specific type of chemistry used in this step will depend on the type of the ESL material.
  • silicon-containing compounds e.g., silicon oxide and silicon oxide based materials
  • selective etching can be accomplished by exposing the substrate to a plasma formed in a process gas comprising a fluorocarbon.
  • the ESL film can be etched by a plasma formed in a process gas comprising one or more of CF 4 , C 2 F6, and C3F8.
  • a plasma formed in a process gas comprising one or more of CF 4 , C 2 F6, and C3F8.
  • the ESL is a metal oxide layer (e.g., titanium oxide, tungsten oxide, or zirconium oxide), it can be etched selectively in a presence of carbon using a chlorine-based etch chemistry (e.g., BCI3/CI2 in a plasma).
  • the target layer 305 is etched at all positions that are not protected by the ESL film 303, to expose the underlying layer 307.
  • the carbon spacers 309 are also removed in this etching step providing a patterned structure shown in Figure 3F.
  • the etch chemistry used in this step is selected to remove both the target material and the carbon spacer material.
  • two different etching steps with different chemistries can be used to pattern the target layer 305 and to remove carbon spacers 309 respectively. A number of etching chemistries can be used depending on the chemistry of the target layer.
  • the target layer 305 is a metal nitride layer (e g., a TiN) layer.
  • the metal nitride layer may be etched by exposing the substrate to a plasma formed in a process gas comprising Cl 2 and a hydrocarbon (e g., CH 4 ), which is followed by carbon spacer removal using an oxygen-based plasma etch chemistry or a hydrogen-based plasma etch chemistry.
  • a plasma formed in a process gas comprising Cl 2 and a hydrocarbon (e g., CH 4 ), which is followed by carbon spacer removal using an oxygen-based plasma etch chemistry or a hydrogen-based plasma etch chemistry.
  • provided carbon deposition methods are used in gapfill applications.
  • gapfill a substrate containing one or more recessed features is provided to a processing chamber, and carbon is deposited using provided methods to cover both the bottom portions and sidewalls of the recessed features.
  • the deposition cycles are performed as many times as necessary to fill the recessed feature with carbon. Due to highly conformal nature of the deposition, in some embodiments seamless gapfill can be achieved.
  • Provided methods are particularly useful for depositing carbon in high aspect ratio features.
  • the aspect ratio of recessed features is at least 5: 1, such as at least 10: 1.
  • carbon is used for gapfill in 3D NAND fabrication processes.
  • a partially fabricated 3D NAND structure having at least one recessed feature is provided to the process chamber, and carbon is deposited into the at least one recessed feature to fill the recessed feature using the methods provided herein.
  • An illustration of this application is provided by Figures 5 and 6, which illustrate schematic cross-sectional views of a partially fabricated 3D NAND structure.
  • Figure 5 shows an example substrate 1100 having a plurality of alternating layers 1111 and 1140 deposited in a staircase pattern over the substrate 1100.
  • layers 1111 are dielectric layers (e.g., silicon oxide) and layers 1140 are conducting layers (e.g., tungsten layers).
  • layers 1111 and 1140 may be different types of dielectrics, such as silicon oxide layers 1111 and silicon nitride layers 1140.
  • a hardmask layer 1110 resides of the top layer 1140, and an encapsulation layer 1139 laterally encapsulates the staircase pattern of the alternating layers 1111 and 1140.
  • a plurality of vias 1137 are etched in a dielectric 1122 (e.g., in silicon oxide), such as material of layer 1140 is exposed at the bottoms 1139 of the vias 1137.
  • Vias 1137 have different depths, because material 1140 as shown in Figure 5.
  • carbon is deposited in a gapfill operation into vias 1137 using deposition methods provided herein. The resulting structure is shown in Figure 6, where carbon layer 1173 fills all of the vias (channels) and where carbon is in contact with the material 1140 at the bottoms of the filled vias.
  • Carbon can be used as a sacrificial material in the channels, and during subsequent fabrication, carbon can be removed from the channels, e.g., using oxygen-based plasma etching or hydrogen- based plasma etching, and the vias can be filled with a conductive material.
  • a suitable apparatus includes a processing chamber having one or more inlets for introduction of reactants, a substrate holder in the process chamber configured to hold the substrate in place during deposition, and, optionally, a plasma generating mechanism configured for generating a plasma in a process gas.
  • the apparatus may include a controller having program instructions for causing any of the method steps described herein.
  • An example of a suitable apparatus is Striker ® deposition apparatus available from Lam Research Corporation.
  • the apparatus includes a controller having program instructions include instructions for: (i) causing an introduction of an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond; (ii) causing an introduction of a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing an adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing a reaction between the aluminum-containing reactant and the carbon- containing reactant after at least one of the aluminum-containing reactant and the carbon- containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate
  • FIG. 7 schematically shows an embodiment of a process station 700 that may be used to deposit material using atomic layer deposition (ALD) and/or chemical vapor deposition (CVD), either of which may be plasma enhanced.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the process station 700 is depicted as a standalone process station having a process chamber body 702 for maintaining a low-pressure environment.
  • a plurality of process stations 700 may be included in a common process tool environment.
  • one or more hardware parameters of process station 700 including those discussed in detail below, may be adjusted programmatically by one or more computer controllers.
  • Process station 700 fluidly communicates with reactant delivery system 701 for delivering process gases to a distribution showerhead 706.
  • Reactant delivery system 701 includes a mixing vessel 704 for blending and/or conditioning process gases for delivery to showerhead 706.
  • One or more mixing vessel inlet valves 720 may control introduction of process gases to mixing vessel 704.
  • a showerhead inlet valve 705 may control introduction of process gasses to the showerhead 706.
  • Some reactants may be stored in liquid form prior to vaporization at and subsequent delivery to the process station.
  • the embodiment of Figure 7 includes a vaporization point 703 for vaporizing liquid reactant to be supplied to mixing vessel 704.
  • vaporization point 703 may be a heated vaporizer.
  • the reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc.
  • delivery piping downstream of vaporization point 703 may be heat traced.
  • mixing vessel 704 may also be heat traced.
  • piping downstream of vaporization point 703 has an increasing temperature profile extending from approximately l00°C to approximately l50°C at mixing vessel 704.
  • reactant liquid may be vaporized at a liquid injector.
  • a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel.
  • a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure.
  • a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 703.
  • a liquid injector may be mounted directly to mixing vessel 704.
  • a liquid injector may be mounted directly to showerhead 706.
  • a liquid flow controller upstream of vaporization point 703 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 700.
  • the liquid flow controller may include a thermal mass flow meter (MFM) located downstream of the LFC.
  • a plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM.
  • PID proportional-integral-derivative
  • the LFC may be dynamically switched between a feedback control mode and a direct control mode.
  • the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
  • showerhead 706 distributes process gases toward substrate 712.
  • substrate 712 is located beneath showerhead 706, and is shown resting on a pedestal 708. It will be appreciated that showerhead 706 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 712.
  • a microvolume 707 is located beneath showerhead 706.
  • Performing an ALD and/or CVD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc.
  • Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters. This microvolume also impacts productivity throughput. While deposition rate per cycle drops, the cycle time also simultaneously reduces. In certain cases, the effect of the latter is dramatic enough to improve overall throughput of the module for a given target thickness of film.
  • pedestal 708 may be raised or lowered to expose substrate 712 to microvolume 707 and/or to vary a volume of microvolume 707. For example, in a substrate transfer phase, pedestal 708 may be lowered to allow substrate 712 to be loaded onto pedestal 708. During a deposition process phase, pedestal 708 may be raised to position substrate 712 within microvolume 707. In some embodiments, microvolume 707 may completely enclose substrate 712 as well as a portion of pedestal 708 to create a region of high flow impedance during a deposition process.
  • pedestal 708 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc., within microvolume 707.
  • lowering pedestal 708 may allow microvolume 707 to be evacuated.
  • Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1 :700 and 1 : 10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
  • a position of showerhead 706 may be adjusted relative to pedestal 708 to vary a volume of microvolume 707. Further, it will be appreciated that a vertical position of pedestal 708 and/or showerhead 706 may be varied by any suitable mechanism within the scope of the present disclosure.
  • pedestal 708 may include a rotational axis for rotating an orientation of substrate 712. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
  • showerhead 706 and pedestal 708 electrically communicate with RF power supply 714 and matching network 716 for powering a plasma.
  • apparatuses without a plasma generator are used for depositing carbon using provided methods.
  • the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing.
  • RF power supply 714 and matching network 716 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above.
  • RF power supply 714 may provide RF power of any suitable frequency.
  • RF power supply 714 may be configured to control high- and low-frequency RF power sources independently of one another.
  • Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 700 kHz.
  • Example high- frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions.
  • the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas.
  • the plasma may be monitored in-situ by one or more plasma monitors.
  • plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes).
  • plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES).
  • OES optical emission spectroscopy sensors
  • one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors.
  • an OES sensor may be used in a feedback loop for providing programmatic control of plasma power.
  • other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
  • IR infrared
  • the plasma may be controlled via input/output control (IOC) sequencing instructions.
  • the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe.
  • process recipe phases may be sequentially arranged, so that all instructions for a deposition process phase are executed concurrently with that process phase.
  • instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase.
  • a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase.
  • a second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase.
  • a third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
  • pedestal 708 may be temperature controlled via heater 710.
  • pressure control for deposition process station 700 may be provided by butterfly valve 718. As shown in the embodiment of Figure 7, butterfly valve 718 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 700 may also be adjusted by varying a flow rate of one or more gases introduced to process station 700.
  • FIG. 8 shows a schematic view of an embodiment of a multi-station processing tool 800 with an inbound load lock 802 and an outbound load lock 804, either or both of which may comprise a remote plasma source.
  • a robot 806 at atmospheric pressure, is configured to move wafers from a cassete loaded through a pod 808 into inbound load lock 802 via an atmospheric port 810.
  • a wafer is placed by the robot 806 on a pedestal 812 in the inbound load lock 802, the atmospheric port 810 is closed, and the load lock is pumped down.
  • the inbound load lock 802 comprises a remote plasma source
  • the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 814.
  • the wafer also may be heated in the inbound load lock 802 as well, for example, to remove moisture and adsorbed gases.
  • a chamber transport port 816 to processing chamber 814 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in Figure 8 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • the depicted processing chamber 814 comprises four process stations, numbered from 1 to 4 in the embodiment shown in Figure 8. Each station has a heated pedestal (shown at 818 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. While the depicted processing chamber 814 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • Figure 8 also depicts an embodiment of a wafer handling system 890 for transferring wafers within processing chamber 814.
  • wafer handling system 890 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non limiting examples include wafer carousels and wafer handling robots.
  • Figure 8 also depicts an embodiment of a system controller 850 employed to control process conditions and hardware states of process tool 800.
  • System controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852.
  • Processor 852 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • system controller 850 controls all of the activities of process tool 800.
  • System controller 850 executes system control software 858 stored in mass storage device 854, loaded into memory device 856, and executed on processor 852.
  • System control software 858 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 800.
  • System control software 858 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes in accordance with the disclosed methods.
  • System control software 858 may be coded in any suitable computer readable programming language.
  • system control software 858 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an ALD process may include one or more instructions for execution by system controller 850.
  • the instructions for setting process conditions for an ALD process phase may be included in a corresponding ALD recipe phase.
  • the ALD recipe phases may be sequentially arranged, so that all instructions for a ALD process phase are executed concurrently with that process phase.
  • mass storage device 854 and/or memory device 856 associated with system controller 850 may be employed in some embodiments.
  • programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 818 and to control the spacing between the substrate and other parts of process tool 800.
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
  • the process gas control program may include code for controlling gas composition and flow rates within any of the disclosed ranges.
  • a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • the pressure control program may include code for maintaining the pressure in the process station within any of the disclosed pressure ranges.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • the heater control program may include instructions to maintain the temperature of the substrate within any of the disclosed ranges.
  • a plasma control program may include code for setting RF power levels and frequencies applied to the process electrodes in one or more process stations, for example using any of the RF power levels disclosed herein.
  • the plasma control program may also include code for controlling the duration of each plasma exposure.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 850 may relate to process conditions.
  • process conditions include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF power levels, frequency, and exposure time), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 850 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of process tool 800.
  • process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • Example deposition apparatuses include, but are not limited to, apparatus from the Striker ® product family, available from Lam Research Corp., of Fremont, California, or any of a variety of other commercially available processing systems. Two or more of the stations may perform the same functions. Similarly, two or more stations may perform different functions. Each station can be designed/configured to perform a particular function/method as desired.
  • FIG. 9 is a block diagram of a processing system suitable for conducting thin film deposition processes in accordance with certain embodiments.
  • the system 900 includes a transfer module 903.
  • the transfer module 903 provides a clean, pressurized environment to minimize risk of contamination of substrates being processed as they are moved between various reactor modules.
  • Mounted on the transfer module 903 are two multi-station reactors 909 and 910, each capable of performing atomic layer deposition (ALD) and/or chemical vapor deposition (CVD) according to certain embodiments.
  • Reactors 909 and 910 may include multiple stations 911, 913, 915, and 917 that may sequentially or non-sequentially perform operations in accordance with disclosed embodiments.
  • the stations may include a heated pedestal or substrate support, one or more gas inlets or showerhead or dispersion plate.
  • the transfer module 903 may be one or more single or multi-station modules 907 capable of performing plasma or chemical (non-plasma) pre-cleans, or any other processes described in relation to the disclosed methods.
  • the module 907 may in some cases be used for various treatments to, for example, prepare a substrate for a deposition process.
  • the module 907 may also be designed/configured to perform various other processes such as etching or polishing.
  • the system 900 also includes one or more wafer source modules 901, where wafers are stored before and after processing.
  • An atmospheric robot (not shown) in the atmospheric transfer chamber 919 may first remove wafers from the source modules 901 to loadlocks 921.
  • a wafer transfer device (generally a robot arm unit) in the transfer module 903 moves the wafers from loadlocks 921 to and among the modules mounted on the transfer module 903.
  • a system controller 929 is employed to control process conditions during deposition.
  • the controller 929 will typically include one or more memory devices and one or more processors.
  • a processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • the controller 929 may control all of the activities of the deposition apparatus.
  • the system controller 929 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process.
  • RF radio frequency
  • Other computer programs stored on memory devices associated with the controller 929 may be employed in some embodiments.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • System control logic may be configured in any suitable way.
  • the logic can be designed or configured in hardware and/or software.
  • the instructions for controlling the drive circuitry may be hard coded or provided as software.
  • the instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor.
  • System control software may be coded in any suitable computer readable programming language.
  • the computer program code for controlling the germanium-containing reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
  • the controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 929. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 900.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes (and other processes, in some cases) in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
  • a controller 929 is part of a system, which may be part of the above-described examples.
  • Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems.
  • the controller 929 may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such apparatus and processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x- ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Abstract

Carbon films are deposited on semiconductor substrates by atomic layer deposition using a reaction between an aluminum-containing reactant having an aluminum-carbon bond (e.g., a trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., a fluorocarbon, such as CF4 or CH2F2). The method involves sequentially introducing the reactants to the processing chamber, forming an adsorption-limited layer of one or both reactants on a surface of the semiconductor substrate, and reacting the aluminum-containing reactant with the carbon-containing reactant to form a carbon layer in an amount that is limited by the adsorption-limited reactant layer. An aluminum-containing byproduct is removed from the processing chamber. Such carbon layers can be used in gapfill applications, for example in 3D NAND fabrication, and as spacers in self aligned double patterning processes.

Description

ATOMIC LAYER DEPOSITION OF CARBON FILMS
INCORPORATION BY REFERENCE
A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.
FIELD OF THE INVENTION
This invention pertains to methods of semiconductor device manufacturing. Specifically, embodiments of this invention pertain to methods of depositing carbon films in semiconductor processing.
BACKGROUND
In integrated circuit (IC) fabrication, deposition and etching techniques are used for forming patterns of materials, such as for forming metal lines embedded in dielectric layers. Some patterning schemes require conformal deposition of materials, where the deposited layer should follow the contour of protrusions and/or recessed features on the surface of the substrate. Atomic layer deposition (ALD) is often a preferred method of forming conformal films on a substrate, because ALD relies on adsorption of one or more reactants to the surface of the substrate, and on subsequent chemical transformation of the adsorbed layer to the desired material. Because ALD uses reactions that occur on the surface of the substrate and are typically limited by the amount of the adsorbed reactant, this method can provide thin conformal layers having excellent step coverage.
The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventor, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
SUMMARY
Methods for depositing carbon layers by ALD have not been previously developed. Therefore, deposition of carbon layers with high level of control over the deposited thickness and with good step coverage presents a challenging problem. Methods and apparatus for depositing carbon layers in a surface-controlled fashion are provided herein. Conformal carbon films having excellent step coverage can be deposited by provided methods and can be used in a variety of applications, including in gapfill (e.g., in gapfill in 3D NAND structures), and during spacer formation in self aligned double patterning (SADP).
In one aspect, a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, is provided, where the method includes: (a) introducing an aluminum-containing reactant to the processing chamber, where the aluminum-containing reactant has at least one aluminum - carbon bond (e.g., where the reactant is an alkyl-substituted aluminum, such as a trialkylaluminum); (b) introducing a carbon-containing reactant to the processing chamber, where the carbon-containing reactant has at least one carbon - halogen bond, and where the carbon-containing reactant is different from the aluminum-containing reactant (e.g., where the carbon-containing reactant is a carbon tetrahalide); (c) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption- limited layer on the surface of the semiconductor substrate; and (d) reacting the aluminum- containing reactant with the carbon-containing reactant after at least one of the aluminum- containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate. Operations (a) - (d) can be repeated as many times as necessary to deposit a carbon layer having a pre-determined thickness.
Suitable aluminum-containing reactants include trialkylaluminums. In one example the aluminum-containing reactant is trimethylaluminum. Examples of suitable carbon-containing reactants with a carbon-halide bond include CX4, CHX3, CH2X2, and CH3X, where X is a halogen. In some embodiments the carbon-containing reactant is carbon tetrahalide. In some embodiments the carbon-containing reactant includes a carbon-fluorine bond.
In one implementation the aluminum-containing reactant is a trialkylaluminum, and the carbon-containing reactant is one or more of CX4, CHX3, CH2X2, and CH3X, where X is a halogen. In some embodiments the halogen is fluorine. In other embodiments the halogen is chlorine and/or bromine. In a specific example the aluminum-containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum), and the carbon-containing reactant is CF4, CC14, or CBr4.
The reaction between the aluminum-containing reactant and the carbon-containing reactant results in a formation of an aluminum-containing byproduct, which can be removed after the reaction is completed. The byproduct typically contains an aluminum-halogen bond. For example, if the carbon-containing reactant contains a carbon-fluorine bond, the byproduct will include an aluminum fluoride. The introduction of the reactants into the processing chamber is sequential, and the processing chamber is purged and/or evacuated after introduction of a first reactant and before introduction of a second reactant. The reactants can be introduced in any order. In one embodiment, the aluminum-containing reactant having the aluminum-carbon bond is first introduced into the processing chamber and is allowed to form an adsorption-limited layer on the surface of the substrate. Next the unadsorbed aluminum-containing reactant is removed from the processing chamber (e.g., by purging and/or evacuation), and then a carbon-containing reactant is introduced into the processing chamber and is allowed to react with the adsorbed layer of the aluminum-containing reactant to form a carbon layer. Next, an aluminum-containing byproduct can be removed, and the process may be repeated as many times as necessary to deposit a carbon layer of pre-determined thickness.
In another embodiment, the process starts by first introducing the carbon-containing reactant into the processing chamber and forming an adsorption-limited layer of the carbon- containing reactant on the substrate. The unadsorbed carbon-containing reactant is removed from the processing chamber by purging and/or evacuation. Next, the aluminum-containing reactant is introduced into the processing chamber and is reacted with the adsorbed layer of the carbon- containing reactant to form the carbon layer on the surface of the substrate.
In some embodiments the reaction between the aluminum-containing reactant and the carbon-containing reactant occurs thermally without activation. In other embodiments, the reaction is activated, for example, by plasma treatment of a substrate having a layer of an aluminum-containing reactant and a layer of a carbon-containing reactant formed thereon. In one implementation, the method carbon deposition method includes adsorbing both the aluminum-containing reactant and the carbon-containing reactant onto the surface of the substrate, and activating the reaction between the adsorbed reactants on the substrate by exposing the substrate to a plasma formed in a process gas comprising a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (¾) and nitrogen (N2).
In one exemplary implementation a plasma-activated method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, includes: (a) introducing an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond and forming a layer of the aluminum- containing reactant on the surface of the semiconductor substrate; (b) removing the aluminum- containing reactant from the processing chamber after (a); (c) introducing a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant, and forming a layer of the carbon-containing reactant on the surface of the semiconductor substrate; (d) removing the carbon-containing reactant from the processing chamber after (c); and (d) contacting the semiconductor substrate having the layer of the carbon-containing reactant and the layer of the aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant, and thereby form a layer of carbon on a surface of the semiconductor substrate. Operations (a) - (d) can be repeated as many times as necessary to deposit a carbon layer of desired thickness. In one example, the aluminum containing reactant is a trialkylaluminum, the carbon-containing reactant is CF4, and the reaction between the trialkylaluminum and CF4 is activated by contacting the semiconductor substrate with a plasma formed in a process gas comprising a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (H2) and nitrogen (N2).
In some embodiments, the surface of the semiconductor substrate upon which the carbon layer is formed has patterned three-dimensional features. In some implementations, the carbon layer is deposited in a gapfill operation. For example
the carbon layer can be deposited in a gapfill operation in a partially fabricated 3D NAND structure.
In some implementations the carbon layer is deposited conformally over a semiconductor substrate having a plurality of protruding features. In one embodiment, the method further involves: completely removing the carbon layer from horizontal surfaces of the protruding features without completely removing the carbon layer at the sidewalls of the protruding features; and then removing the protruding features without completely removing the carbon layer that resided at the sidewalls of the protruding features, thereby forming carbon spacers on the semiconductor substrate.
In some embodiments, provided methods further include: applying photoresist to the substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the substrate; and selectively removing the photoresist from the substrate.
In another aspect, a partially fabricated semiconductor substrate is provided, wherein the semiconductor substrate includes a plurality of carbon spacers.
In another aspect, a system for processing a semiconductor substrate is provided. The system includes a processing chamber, having a substrate holder and one or more inlets for introduction of reactants to the processing chamber; and a system controller comprising program instructions for performing any of the methods described herein. In one implementation, the program instructions include instructions for: (i) causing an introduction of an aluminum- containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond; (ii) causing an introduction of a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing an adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing a reaction between the aluminum-containing reactant and the carbon- containing reactant after at least one of the aluminum-containing reactant and the carbon- containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate.
These and other aspects of implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 is a process flow diagram for a carbon deposition method according to an embodiment provided herein.
Figure 2A is a process flow diagram for a carbon deposition method according to an embodiment provided herein.
Figure 2B is a process flow diagram for a carbon deposition method according to another embodiment provided herein.
Figures 3A-3F show schematic cross-sectional views of a semiconductor substrate undergoing processing according to an embodiment provided herein.
Figure 4 is a process flow diagram for a method of forming carbon spacers according to an embodiment provided herein.
Figures 5-6 show schematic cross-sectional views of a semiconductor substrate undergoing processing according to an embodiment provided herein.
Figure 7 is a schematic presentation of an apparatus that is suitable for depositing carbon films, according to an embodiment provided herein.
Figure 8 shows a schematic view of a multi-station processing system according to an embodiment provided herein.
Figure 9 shows a schematic view of a multi-station processing system according to an embodiment provided herein. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Methods for depositing carbon films using ALD are provided. These methods can be used, for example, for depositing conformal carbon films on semiconductor substrates having three-dimensional structures on the surface, such as on substrates having one or more recessed features or one or more protrusions. In some embodiments, the methods involve a reaction between an aluminum-containing reactant having an Al-C bond (e.g., trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., CF4).
The term“ALD” as used herein generally refer to deposition methods that rely on reactions limited by the amount of a reactant adsorbed on a surface of a substrate (adsorption- limited reactant layer). Adsorption-limited layers of reactants may include adsorption-limited layer of an aluminum-containing reactant, an adsorption-limited layer of a carbon-containing reactant or adsorption-limited layers of both reactants. In some embodiments ALD methods involve sequential introduction of reactants into the processing chamber, such that the reactants are not allowed to mix in the bulk of the processing chamber.
In some embodiments, carbon films are deposited in gapfill applications. For example, in one implementation, carbon films can be deposited in gapfill during 3D NAND fabrication. In some implementations carbon films are used as spacers in self aligned double patterning (SADP). Provided methods, however, are not limited to deposition of carbon films on surfaces with recessed features, and can also be used for depositing blanket carbon films on planar surfaces. The methods rely on surface-controlled reactions and can be used to deposit films with a high degree of control over film thickness. The films can be deposited in a wide variety of apparatuses that allow for sequential introduction of reactants into a process chamber. For example, carbon films can be deposited in the Striker® deposition systems available from Lam Research Corporation.
Carbon, as used herein, refers to material that consists essentially of carbon (C), and, optionally, hydrogen (H). In some embodiments, carbon films, as used herein, may contain C-H bonds. Materials that include hydrocarbons are within the scope of carbon films. Other elements can be present in carbon films as dopants in small quantities of less than about 10 atomic % for the total amount of dopants, where hydrogen is not included in the calculation.
The term“semiconductor substrate” as used herein refers to a substrate at any stage of semiconductor device fabrication containing a semiconductor material anywhere within its structure. It is understood that the semiconductor material in the semiconductor substrate does not need to be exposed. Semiconductor wafers having a plurality of layers of other materials (e.g., dielectrics) covering the semiconductor material, are examples of semiconductor substrates. The following detailed description assumes the disclosed implementations are implemented on a wafer. However, the disclosed implementations are not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed implementations include various articles such as printed circuit boards and the like.
The process for depositing carbon films is illustrated by the process flow diagram shown in Figure 1. In operation 101, an aluminum-containing reactant is introduced to the processing chamber housing the semiconductor substrate. The aluminum-containing reactant includes at least one aluminum-carbon bond. In some embodiments, the carbon of the aluminum-carbon bond is a part of an alkyl substituent, such as methyl, ethyl, a propyl (e.g., «-propyl or isopropyl), a butyl, a pentyl, etc. In some embodiments, the aluminum-containing reactant is a trialkylaluminum. Examples of suitable reactants include trimethylaluminum, triethylaluminum, and the like. In some embodiments, the aluminum-containing reactant is volatile, and is introduced into the processing chamber in gaseous phase. The reactant may be introduced in a mixture with a carrier gas, where the carrier gas is typically an inert gas, such as N2, He, Ar, Ne, or Kr. When the aluminum-containing reactant is not volatile, it can be vaporized using a direct liquid injection (DLI) vaporizer, such as a Vapbox DLI vaporizer available from Kemstream.
In operation 103, a carbon-containing reactant is introduced into the processing chamber housing the substrate. The carbon-containing reactant has a carbon-halogen bond, such as at least one of carbon-fluorine, carbon-chlorine, and carbon-bromine bonds. Examples of suitable reactants include CX4, CHX3, CH2X2, and CH3X, where X is a halogen. For example, fluorine- containing reactants, such as CF4, CHF3, CH2F2, or CH3F, are used in some embodiments. In other embodiments, chlorine-containing reactants, such as CCE, CHC13, CH2C12, or CH3C1, may be employed.
The aluminum-containing reactant and the carbon-containing reactant are typically introduced into the processing chamber sequentially, without mixing in the bulk of the processing chamber. The order of introduction can vary depending on the embodiment. In some embodiments, the aluminum-containing reactant is introduced first, followed by the introduction of the carbon-containing reactant. In other embodiments, the carbon-containing reactant is introduced first, followed by introduction of the aluminum containing reactant. At least one of the reactants (e.g., an aluminum-containing reactant, a carbon-containing reactant or both) forms an adsorption-limited layer on the substrate. In some embodiments, the first-introduced reactant forms an adsorption-limited layer on the substrate, and the second-introduced reactant reacts with the adsorption-limited layer of the first reactant after the second-introduced reactant comes in contact with the adsorption-limited layer. In other embodiments, the first-introduced reactant forms an adsorption-limited layer, the second-introduced reactant also forms an adsorption limited layer, and both reactants then react on the surface of the substrate, e.g., after thermal activation or plasma activation. In some embodiments the reaction is activated by contacting the substrate with a plasma formed in gas such as helium (He), argon (Ar), hydrogen (H2) and nitrogen (N2), or any mixture thereof. Activation of the reaction with a plasma may be used in order to allow formation of carbon at a relatively low temperature. In some embodiments carbon films are formed using a plasma-activated reaction at a temperature of less than 300 °C, such as less than 200 °C.
Regardless of the order of introduction, in some embodiments, the processing chamber is purged and/or evacuated after introduction of the first reactant and before introduction of the second reactant, in order to remove an unadsorbed first-introduced reactant from the processing chamber.
In operation 105 the aluminum-containing reactant is reacted with the carbon-containing reactant to form a layer of carbon on the surface of the substrate, where the amount of formed carbon is limited by an adsorption-limited layer of a reactant (e.g., of an aluminum-containing reactant and/or carbon-containing reactant). In the reaction, aluminum-carbon bond of the aluminum-containing reactant and the carbon-halogen bond of the carbon-containing reactant are broken to form carbon (which may include C-H bonds), and a byproduct containing aluminum- halogen bond. For example, when the halogen in the carbon-containing reactant is fluorine, a byproduct containing aluminum-fluorine bond will be formed. The reaction in some embodiments occurs spontaneously after the reactants are brought into contact. In other embodiments, the reaction is activated (e.g., thermally) after the reactants have been brought into contact.
In some embodiments aluminum halide byproducts are removed from the surface of the substrate concurrently with the formation of carbon. In other embodiments, as shown by operation 107, the aluminum-containing byproduct is removed from the substrate in a separate step. For example, the substrate may be heated to remove volatile aluminum halide (e.g., aluminum fluoride) byproduct.
One cycle of deposition which includes operations 101 - 105, in some embodiments deposits between 0.5 - 3 A of carbon film on average. The cycles can be repeated as many times as necessary to deposit a carbon film of a desired thickness. For example, carbon films with thicknesses of between 5 - 1,000 A are deposited in some embodiments. One embodiment of the carbon deposition method is illustrated by the process flow diagram shown in Figure 2 A. The process starts in 201 by adsorbing the aluminum-containing reactant on a semiconductor substrate. The aluminum-containing precursor, such as trialkylaluminum can be flowed to the process chamber with a carrier gas and can be allowed to adsorb to the surface of the substrate. The process conditions for this step are selected such that an adsorption-limited layer of aluminum-containing reactant is formed. Next, in operation 203, the unadsorbed aluminum-containing reactant is removed from the processing chamber by purging and/or evacuating the processing chamber. For example the processing chamber may be purged with an inert gas, such as N2, He, Ar, Ne, etc. After this step there is no aluminum- containing precursor in the bulk of the processing chamber, and all subsequent reactions are limited by the amount of adsorbed aluminum-containing reactant on the substrate. Next, in operation 205, the carbon-containing reactant is introduced into the processing chamber, and it is reacted with the adsorbed aluminum-containing reactant to form a layer of carbon on the surface of the semiconductor substrate. In some embodiments the reaction occurs spontaneously after the carbon-containing reactant is introduced.
Next, in operation 207, the aluminum-containing byproduct is removed from the processing chamber. This step is optional because in some embodiments the byproduct is removed concurrently with carbon formation. When the byproduct is not removed concurrently with the carbon formation reaction, it can be removed in a separate step, e.g., by heating.
Next, in operation 209, deposition of carbon (steps 201-207) is repeated as many times as necessary to form a carbon layer of pre-determined thickness. For example, in some embodiments at least 5 or at least 10 cycles, where each cycle includes operations 201-205 are performed. The temperature and pressure during processing is controlled to allow formation of adsorption-limited layers of one or both reactants on the substrate. In some embodiments the temperature during the entire deposition sequence is maintained at less than about 400 °C, and the pressure is maintained at subatmospheric levels. The deposition of carbon films using described reactants can be carried out in an absence of plasma. In some embodiments, plasma treatment may be used, e.g., to improve the quality of the deposited carbon layer after deposition and/or to activate one or more reactants on the surface of the substrate.
Figure 2B provides a process flow diagram for a method of forming a carbon layer using plasma-activated reaction. Referring to Figure 2B, the process starts in 211 by forming a layer of an aluminum-containing reactant on the substrate. For example, an adsorption-limited layer of the aluminum-containing reactant can be formed on the substrate. Next, in operation 213 the processing chamber is purged and/or evacuated to remove the aluminum-containing reactant from the processing chamber. For example, an inert gas can be used as purging gas to remove the unadsorbed aluminum-containing reactant. Next, in operation 215, a layer of a carbon- containing material is formed on the substrate. For example, the carbon-containing material may be introduced to the processing chamber and may be allowed to form an adsorption limited layer on the substrate. In operation 217, the processing chamber is purged and/or evacuated to remove the carbon-containing material from the processing chamber. After this operation, there is a layer of an aluminum-containing material and a layer of a carbon-containing material on the surface of the substrate. Next, in operation 219, the substrate is treated with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant on the substrate and to form a carbon layer. In some embodiments the plasma is formed in helium (He), argon (Ar), hydrogen (H2), nitrogen (N2), or any mixture of these gases. The reaction by-product may be removed concurrently with plasma treatment, or in a subsequent step. After plasma treatment, the processing chamber can be purged and/or evacuated, and the processing sequence of steps 211-219 may be repeated in operation 221 as many times as necessary until a carbon layer having a pre-determined thickness is deposited. In one exemplary embodiment, the aluminum- containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF4.
Carbon films deposited by methods provided herein can be used in a variety of applications in semiconductor device fabrication. They are particularly useful when conformal deposition of films on substrates having three-dimensional features (e.g., protruding features or recessed features) is desired. In some embodiments carbon films are used as spacers in patterning applications. An example of carbon spacer formation is provided in Figures 3A - 3F, where schematic cross-sectional views of a semiconductor substrate during different stages of processing are illustrated. Figure 4 provides an exemplary process flow diagram for a method of semiconductor processing involving formation of carbon mandrels.
Referring to Figure 4, the depicted process starts in 401 by providing a substrate having a plurality of protruding features, also referred to as mandrels. An illustrative substrate is shown in Figure 3A, which shows two mandrels 301 residing on an etch stop layer (ESL) 303. The distance dl between the neighboring mandrels is, in some embodiments, between about 10 - 100 nm. In some embodiments relatively larger distances of about 40 - 100 nm are used. In other applications, the distance between closest mandrels is between about 10 - 30 nm. The distance between the centers of closest mandrels, d2, which is also referred to as pitch, is, in some embodiments, between about 30 - 130 nm. In some embodiments, the pitch is between about 80 - 130 nm. In other embodiments, the pitch is between about 30 - 40 nm. The height of the mandrels d3 is typically between about 20-200 nm, such as between about 50-100 nm.
The materials of the mandrel and of the ESL are selected such as to allow subsequent selective etching of the mandrel material in the presence of exposed carbon, and selective etching of the ESL material in the presence of exposed carbon. Thus, the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for a first etch chemistry. In some embodiments, the ESL material is a silicon-containing material (e.g., a silicon-containing compound such as silicon nitride), and the first etch chemistry is a fluorine-based plasma etch (e g., a plasma formed in a gas comprising a fluorocarbon). In some embodiments, the ESL material is a metal oxide or metal nitride, and the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a process gas comprising a halogen). Similarly, the ratio of the etch rate of the mandrel material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for a second etch chemistry. In some embodiments, the mandrel material is a silicon-containing material (e.g., a silicon-containing compound), and the first etch chemistry is a fluorine-based plasma etch (e.g., a plasma formed in a gas comprising a fluorocarbon). In some embodiments, the mandrel material is a metal oxide or metal nitride, and the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a process gas comprising a halogen).
In some embodiments the ESL material is a silicon-containing compound (e.g., Si02), or a metal oxide (e.g., titanium oxide, zirconium oxide, tungsten oxide). The mandrel material may include silicon-containing compound (e.g., Si02, SiN, or SiC), amorphous silicon (doped or undoped) or a metal oxide (TaO, TiO, WO, ZrO, HfO). In some embodiments the outer material of the mandrel may be different from the mandrel core. For example, in some embodiments the mandrel is made of amorphous silicon which is covered with silicon oxide (e.g., with a spontaneously formed layer of thermal oxide). The ESL layer and the mandrels can be formed by one or more of physical vapor deposition (PVD), chemical vapor deposition (CVD), ALD (without plasma or by PEALD) or plasma enhanced chemical vapor deposition (PECVD) and the pattern of the mandrels can be defined using photolithographic techniques. Examples of suitable ESL/mandrel combination include: (i) a silicon oxide ESL and a silicon oxide covered silicon mandrel; (ii) a silicon oxide ESL and a metal oxide mandrel; and (iii) a metal oxide ESL and a silicon oxide covered silicon mandrel.
Referring again to the substrate shown in Figure 3A, the ESL layer 303 resides over and in contact with the target layer 305. The target layer 305 is the layer that needs to be patterned. The target layer 305 may be a semiconductor, dielectric or other layer and may be made of silicon (Si), silicon oxide (Si02), silicon nitride (SiN), or titanium nitride (TiN), for example. In some embodiments the target layer is referred to as a hardmask layer and includes metal nitride, such as titanium nitride. The target layer 305 may be deposited by ALD (without plasma or by PEALD), CVD, or other suitable deposition technique.
The target layer 305 resides over and in contact with layer 307, which is in some embodiments a BEOL layer, that includes a plurality of metal lines embedded into a layer of dielectric material.
Referring to Figure 4, the processing of the substrate follows in 403 by depositing a carbon layer over both the horizontal surfaces and sidewalls of the protruding features. The carbon layer, preferably is deposited conformally using ALD methods provided herein. Referring to the structure shown in Figure 3B, the carbon layer 309 is deposited over the ESL 303, and over the mandrels 301, including the sidewalls of the mandrels. In the illustrated embodiment, the carbon layer is deposited by ALD methods developed hererin. In some embodiments the carbon layer is deposited conformally to a thickness of between about 5- 30 nm, such as between about 10 - 20 nm.
After the carbon layer has been conformally deposited, the process follows in 405 by completely removing the carbon layer from the horizontal surfaces, without completely removing the carbon layer from the sidewalls of the protruding features. This etching can be performing using an oxygen-based plasma etch (e.g., using a plasma formed in a gas comprising oxygen). In other embodiments, a hydrogen-based etch may be used (e.g., using a plasma formed in a process gas comprising hydrogen). If the mandrels have silicon-containing compounds or metal oxides as the outer layer a hydrogen-based or oxygen-based etch can be used. The etch chemistry utilized in this step should be preferably selective to both the ESL material and to the material of the outer layer of the mandrel, i.e., the etch rate of carbon for this etch chemistry should be greater than the etch rate of the outer mandrel material and greater than the etch rate of the ESL material. Removal of the carbon layer from horizontal surfaces is illustrated by Figure 3C. The carbon layer 309 is etched from the horizontal surfaces over ESL 303 and over mandrels 301, without being fully etched from positions that adhere to the sidewalls of mandrels 301. This etch exposes the layer 303 everywhere with the exception of locations near the sidewalls of the mandrels 301. Further, this etch exposes the top portions of the mandrels. The resulting structure is shown in Figure 3C. Preferably after this etch at least 50% , such as at least 80% or at least 90% of the initial height of the carbon layer at the sidewall is preserved. In one example carbon is selectively etched from a silicon oxide covered mandrel by a hydrogen-based etch (e.g., H2 plasma etch) such that the outer material of the mandrel ( S i O 2) is exposed. Hydrogen-based etch is selective to Si02. In another example carbon is selectively etched from a metal oxide (e.g., titanium oxide) mandrel by a hydrogen-based etch (e.g., H2 plasma etch) or an oxygen-based etch (e.g., 02 plasma etch) such that mandrel material (metal oxide) is exposed. These etch chemistries are selective to oxides of metals that do not form volatile hydrides, such as titanium oxide.
The next step 407 involves completely removing the protruding features without completely removing the carbon layer that resided at the sidewalls of the protruding features, thereby forming carbon spacers. As shown in Figure 3D, mandrels 301 are removed from the substrate leaving exposed carbon spacers 301 and an exposed layer ESL 303. Removal of the mandrels is performed by exposing the substrate to an etch chemistry that selectively etches the mandrel material. Thus, the ratio of the etch rate of the mandrel material to the etch rate of carbon in this step is greater than 1, and is more preferably greater than 1.5. Further, the etch chemistry used in this step should, in some embodiments, selectively etch the mandrel material relative to ESL material. A variety of etching methods can be used, and specific choice of chemistry depends on the material of the mandrel and on the material of the ESL layer. When the mandrel is made of amorphous silicon covered with silicon oxide, a fluorine-based chemistry (e.g., NF3) may be used to remove the silicon mandrels 301 together with the S1O2 layer covering them. This chemistry is selective to carbon.
When the mandrel is a metal oxide (e.g., titanium oxide, tungsten oxide, zirconium oxide, hafnium oxide, tantalum oxide) the substrate may be treated with a chlorine-based etch chemistry (e.g., BCI3/CI2 in a plasma) to selectively remove the mandrel relative to carbon. This chemistry can be used in a presence of an ESL containing silicon-containing compounds (e.g., Si02, SiN, SiC).
Next, the exposed ESL film 303 is etched to expose the underlying target layer 305 at all positions that are not protected by the carbon spacers 309. The resulting structure is shown in Figure 3E. The etch chemistry that is used in this step selectively etches the ESL material in the presence of carbon. In other words, the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, and is more preferably greater than 1.5. The specific type of chemistry used in this step will depend on the type of the ESL material. When silicon-containing compounds (e.g., silicon oxide and silicon oxide based materials) are used, selective etching can be accomplished by exposing the substrate to a plasma formed in a process gas comprising a fluorocarbon. For example, the ESL film can be etched by a plasma formed in a process gas comprising one or more of CF4, C2F6, and C3F8. When the ESL is a metal oxide layer (e.g., titanium oxide, tungsten oxide, or zirconium oxide), it can be etched selectively in a presence of carbon using a chlorine-based etch chemistry (e.g., BCI3/CI2 in a plasma).
In the next step, the target layer 305 is etched at all positions that are not protected by the ESL film 303, to expose the underlying layer 307. The carbon spacers 309 are also removed in this etching step providing a patterned structure shown in Figure 3F. In some embodiments, the etch chemistry used in this step is selected to remove both the target material and the carbon spacer material. In other embodiments, two different etching steps with different chemistries can be used to pattern the target layer 305 and to remove carbon spacers 309 respectively. A number of etching chemistries can be used depending on the chemistry of the target layer. In one embodiment the target layer 305 is a metal nitride layer (e g., a TiN) layer. In this embodiment the metal nitride layer may be etched by exposing the substrate to a plasma formed in a process gas comprising Cl2 and a hydrocarbon (e g., CH4), which is followed by carbon spacer removal using an oxygen-based plasma etch chemistry or a hydrogen-based plasma etch chemistry.
In some embodiments provided carbon deposition methods are used in gapfill applications. In gapfill a substrate containing one or more recessed features is provided to a processing chamber, and carbon is deposited using provided methods to cover both the bottom portions and sidewalls of the recessed features. The deposition cycles are performed as many times as necessary to fill the recessed feature with carbon. Due to highly conformal nature of the deposition, in some embodiments seamless gapfill can be achieved. Provided methods are particularly useful for depositing carbon in high aspect ratio features. In some embodiments the aspect ratio of recessed features is at least 5: 1, such as at least 10: 1.
In one example, carbon is used for gapfill in 3D NAND fabrication processes. In one implementation, a partially fabricated 3D NAND structure having at least one recessed feature is provided to the process chamber, and carbon is deposited into the at least one recessed feature to fill the recessed feature using the methods provided herein. An illustration of this application is provided by Figures 5 and 6, which illustrate schematic cross-sectional views of a partially fabricated 3D NAND structure.
Figure 5 shows an example substrate 1100 having a plurality of alternating layers 1111 and 1140 deposited in a staircase pattern over the substrate 1100. In some embodiments layers 1111 are dielectric layers (e.g., silicon oxide) and layers 1140 are conducting layers (e.g., tungsten layers). Alternatively layers 1111 and 1140 may be different types of dielectrics, such as silicon oxide layers 1111 and silicon nitride layers 1140. A hardmask layer 1110 resides of the top layer 1140, and an encapsulation layer 1139 laterally encapsulates the staircase pattern of the alternating layers 1111 and 1140. A plurality of vias 1137 are etched in a dielectric 1122 (e.g., in silicon oxide), such as material of layer 1140 is exposed at the bottoms 1139 of the vias 1137. Vias 1137 have different depths, because material 1140 as shown in Figure 5. In the next step, carbon is deposited in a gapfill operation into vias 1137 using deposition methods provided herein. The resulting structure is shown in Figure 6, where carbon layer 1173 fills all of the vias (channels) and where carbon is in contact with the material 1140 at the bottoms of the filled vias. Carbon can be used as a sacrificial material in the channels, and during subsequent fabrication, carbon can be removed from the channels, e.g., using oxygen-based plasma etching or hydrogen- based plasma etching, and the vias can be filled with a conductive material.
APPARATUS
The carbon deposition methods described herein can be carried out in a variety of apparatuses. A suitable apparatus includes a processing chamber having one or more inlets for introduction of reactants, a substrate holder in the process chamber configured to hold the substrate in place during deposition, and, optionally, a plasma generating mechanism configured for generating a plasma in a process gas. The apparatus may include a controller having program instructions for causing any of the method steps described herein. An example of a suitable apparatus is Striker® deposition apparatus available from Lam Research Corporation.
For example, in some embodiments the apparatus includes a controller having program instructions include instructions for: (i) causing an introduction of an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond; (ii) causing an introduction of a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing an adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing a reaction between the aluminum-containing reactant and the carbon- containing reactant after at least one of the aluminum-containing reactant and the carbon- containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate.
An example of a deposition apparatus suitable for depositing carbon using provided methods is shown in Figure 7. Figure 7 schematically shows an embodiment of a process station 700 that may be used to deposit material using atomic layer deposition (ALD) and/or chemical vapor deposition (CVD), either of which may be plasma enhanced. For simplicity, the process station 700 is depicted as a standalone process station having a process chamber body 702 for maintaining a low-pressure environment. However, it will be appreciated that a plurality of process stations 700 may be included in a common process tool environment. Further, it will be appreciated that, in some embodiments, one or more hardware parameters of process station 700, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers.
Process station 700 fluidly communicates with reactant delivery system 701 for delivering process gases to a distribution showerhead 706. Reactant delivery system 701 includes a mixing vessel 704 for blending and/or conditioning process gases for delivery to showerhead 706. One or more mixing vessel inlet valves 720 may control introduction of process gases to mixing vessel 704. Similarly, a showerhead inlet valve 705 may control introduction of process gasses to the showerhead 706.
Some reactants, like trimethylaluminum, may be stored in liquid form prior to vaporization at and subsequent delivery to the process station. For example, the embodiment of Figure 7 includes a vaporization point 703 for vaporizing liquid reactant to be supplied to mixing vessel 704. In some embodiments, vaporization point 703 may be a heated vaporizer. The reactant vapor produced from such vaporizers may condense in downstream delivery piping. Exposure of incompatible gases to the condensed reactant may create small particles. These small particles may clog piping, impede valve operation, contaminate substrates, etc. Some approaches to addressing these issues involve sweeping and/or evacuating the delivery piping to remove residual reactant. However, sweeping the delivery piping may increase process station cycle time, degrading process station throughput. Thus, in some embodiments, delivery piping downstream of vaporization point 703 may be heat traced. In some examples, mixing vessel 704 may also be heat traced. In one non-limiting example, piping downstream of vaporization point 703 has an increasing temperature profile extending from approximately l00°C to approximately l50°C at mixing vessel 704.
In some embodiments, reactant liquid may be vaporized at a liquid injector. For example, a liquid injector may inject pulses of a liquid reactant into a carrier gas stream upstream of the mixing vessel. In one scenario, a liquid injector may vaporize reactant by flashing the liquid from a higher pressure to a lower pressure. In another scenario, a liquid injector may atomize the liquid into dispersed microdroplets that are subsequently vaporized in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing a delay between liquid injection and complete vaporization. Faster vaporization may reduce a length of piping downstream from vaporization point 703. In one scenario, a liquid injector may be mounted directly to mixing vessel 704. In another scenario, a liquid injector may be mounted directly to showerhead 706.
In some embodiments, a liquid flow controller upstream of vaporization point 703 may be provided for controlling a mass flow of liquid for vaporization and delivery to process station 700. For example, the liquid flow controller (LFC) may include a thermal mass flow meter (MFM) located downstream of the LFC. A plunger valve of the LFC may then be adjusted responsive to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to stabilize liquid flow using feedback control. This may extend a time for dosing a liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between a feedback control mode and a direct control mode. In some embodiments, the LFC may be dynamically switched from a feedback control mode to a direct control mode by disabling a sense tube of the LFC and the PID controller.
Showerhead 706 distributes process gases toward substrate 712. In the embodiment shown in Figure. 7, substrate 712 is located beneath showerhead 706, and is shown resting on a pedestal 708. It will be appreciated that showerhead 706 may have any suitable shape, and may have any suitable number and arrangement of ports for distributing processes gases to substrate 712.
In some embodiments, a microvolume 707 is located beneath showerhead 706. Performing an ALD and/or CVD process in a microvolume rather than in the entire volume of a process station may reduce reactant exposure and sweep times, may reduce times for altering process conditions (e g., pressure, temperature, etc.), may limit an exposure of process station robotics to process gases, etc. Example microvolume sizes include, but are not limited to, volumes between 0.1 liter and 2 liters. This microvolume also impacts productivity throughput. While deposition rate per cycle drops, the cycle time also simultaneously reduces. In certain cases, the effect of the latter is dramatic enough to improve overall throughput of the module for a given target thickness of film.
In some embodiments, pedestal 708 may be raised or lowered to expose substrate 712 to microvolume 707 and/or to vary a volume of microvolume 707. For example, in a substrate transfer phase, pedestal 708 may be lowered to allow substrate 712 to be loaded onto pedestal 708. During a deposition process phase, pedestal 708 may be raised to position substrate 712 within microvolume 707. In some embodiments, microvolume 707 may completely enclose substrate 712 as well as a portion of pedestal 708 to create a region of high flow impedance during a deposition process.
Optionally, pedestal 708 may be lowered and/or raised during portions the deposition process to modulate process pressure, reactant concentration, etc., within microvolume 707. In one scenario where process chamber body 702 remains at a base pressure during the deposition process, lowering pedestal 708 may allow microvolume 707 to be evacuated. Example ratios of microvolume to process chamber volume include, but are not limited to, volume ratios between 1 :700 and 1 : 10. It will be appreciated that, in some embodiments, pedestal height may be adjusted programmatically by a suitable computer controller.
While the example microvolume variations described herein refer to a height- adjustable pedestal, it will be appreciated that, in some embodiments, a position of showerhead 706 may be adjusted relative to pedestal 708 to vary a volume of microvolume 707. Further, it will be appreciated that a vertical position of pedestal 708 and/or showerhead 706 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, pedestal 708 may include a rotational axis for rotating an orientation of substrate 712. It will be appreciated that, in some embodiments, one or more of these example adjustments may be performed programmatically by one or more suitable computer controllers.
Returning to the embodiment shown in Figure 7, showerhead 706 and pedestal 708 electrically communicate with RF power supply 714 and matching network 716 for powering a plasma. In other embodiments apparatuses without a plasma generator are used for depositing carbon using provided methods. In some embodiments, the plasma energy may be controlled by controlling one or more of a process station pressure, a gas concentration, an RF source power, an RF source frequency, and a plasma power pulse timing. For example, RF power supply 714 and matching network 716 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Likewise, RF power supply 714 may provide RF power of any suitable frequency. In some embodiments, RF power supply 714 may be configured to control high- and low-frequency RF power sources independently of one another. Example low-frequency RF frequencies may include, but are not limited to, frequencies between 50 kHz and 700 kHz. Example high- frequency RF frequencies may include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will be appreciated that any suitable parameters may be modulated discretely or continuously to provide plasma energy for the surface reactions. In one non-limiting example, the plasma power may be intermittently pulsed to reduce ion bombardment with the substrate surface relative to continuously powered plasmas. In some embodiments, the plasma may be monitored in-situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for providing programmatic control of plasma power. It will be appreciated that, in some embodiments, other monitors may be used to monitor the plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.
In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, the instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe. In some cases, process recipe phases may be sequentially arranged, so that all instructions for a deposition process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding a plasma process phase. For example, a first recipe phase may include instructions for setting a flow rate of an inert and/or a reactant gas, instructions for setting a plasma generator to a power set point, and time delay instructions for the first recipe phase. A second, subsequent recipe phase may include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. A third recipe phase may include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or iterated in any suitable way within the scope of the present disclosure.
In some embodiments, pedestal 708 may be temperature controlled via heater 710. Further, in some embodiments, pressure control for deposition process station 700 may be provided by butterfly valve 718. As shown in the embodiment of Figure 7, butterfly valve 718 throttles a vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 700 may also be adjusted by varying a flow rate of one or more gases introduced to process station 700.
Figure 8 shows a schematic view of an embodiment of a multi-station processing tool 800 with an inbound load lock 802 and an outbound load lock 804, either or both of which may comprise a remote plasma source. Such tool may be used for processing the substrates using the methods provided herein. A robot 806, at atmospheric pressure, is configured to move wafers from a cassete loaded through a pod 808 into inbound load lock 802 via an atmospheric port 810. A wafer is placed by the robot 806 on a pedestal 812 in the inbound load lock 802, the atmospheric port 810 is closed, and the load lock is pumped down. Where the inbound load lock 802 comprises a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 814. Further, the wafer also may be heated in the inbound load lock 802 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 816 to processing chamber 814 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in Figure 8 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
The depicted processing chamber 814 comprises four process stations, numbered from 1 to 4 in the embodiment shown in Figure 8. Each station has a heated pedestal (shown at 818 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. While the depicted processing chamber 814 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
Figure 8 also depicts an embodiment of a wafer handling system 890 for transferring wafers within processing chamber 814. In some embodiments, wafer handling system 890 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non limiting examples include wafer carousels and wafer handling robots. Figure 8 also depicts an embodiment of a system controller 850 employed to control process conditions and hardware states of process tool 800. System controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852. Processor 852 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
In some embodiments, system controller 850 controls all of the activities of process tool 800. System controller 850 executes system control software 858 stored in mass storage device 854, loaded into memory device 856, and executed on processor 852. System control software 858 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 800. System control software 858 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes in accordance with the disclosed methods. System control software 858 may be coded in any suitable computer readable programming language.
In some embodiments, system control software 858 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an ALD process may include one or more instructions for execution by system controller 850. The instructions for setting process conditions for an ALD process phase may be included in a corresponding ALD recipe phase. In some embodiments, the ALD recipe phases may be sequentially arranged, so that all instructions for a ALD process phase are executed concurrently with that process phase.
Other computer software and/or programs stored on mass storage device 854 and/or memory device 856 associated with system controller 850 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 818 and to control the spacing between the substrate and other parts of process tool 800.
A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. The process gas control program may include code for controlling gas composition and flow rates within any of the disclosed ranges. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc. The pressure control program may include code for maintaining the pressure in the process station within any of the disclosed pressure ranges.
A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate. The heater control program may include instructions to maintain the temperature of the substrate within any of the disclosed ranges. A plasma control program may include code for setting RF power levels and frequencies applied to the process electrodes in one or more process stations, for example using any of the RF power levels disclosed herein. The plasma control program may also include code for controlling the duration of each plasma exposure.
In some embodiments, there may be a user interface associated with system controller 850. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
In some embodiments, parameters adjusted by system controller 850 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF power levels, frequency, and exposure time), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 850 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 800. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
Any suitable chamber may be used to implement the disclosed embodiments. Example deposition apparatuses include, but are not limited to, apparatus from the Striker® product family, available from Lam Research Corp., of Fremont, California, or any of a variety of other commercially available processing systems. Two or more of the stations may perform the same functions. Similarly, two or more stations may perform different functions. Each station can be designed/configured to perform a particular function/method as desired.
Figure 9 is a block diagram of a processing system suitable for conducting thin film deposition processes in accordance with certain embodiments. The system 900 includes a transfer module 903. The transfer module 903 provides a clean, pressurized environment to minimize risk of contamination of substrates being processed as they are moved between various reactor modules. Mounted on the transfer module 903 are two multi-station reactors 909 and 910, each capable of performing atomic layer deposition (ALD) and/or chemical vapor deposition (CVD) according to certain embodiments. Reactors 909 and 910 may include multiple stations 911, 913, 915, and 917 that may sequentially or non-sequentially perform operations in accordance with disclosed embodiments. The stations may include a heated pedestal or substrate support, one or more gas inlets or showerhead or dispersion plate.
Also mounted on the transfer module 903 may be one or more single or multi-station modules 907 capable of performing plasma or chemical (non-plasma) pre-cleans, or any other processes described in relation to the disclosed methods. The module 907 may in some cases be used for various treatments to, for example, prepare a substrate for a deposition process. The module 907 may also be designed/configured to perform various other processes such as etching or polishing. The system 900 also includes one or more wafer source modules 901, where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 919 may first remove wafers from the source modules 901 to loadlocks 921. A wafer transfer device (generally a robot arm unit) in the transfer module 903 moves the wafers from loadlocks 921 to and among the modules mounted on the transfer module 903.
In various embodiments, a system controller 929 is employed to control process conditions during deposition. The controller 929 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
The controller 929 may control all of the activities of the deposition apparatus. The system controller 929 executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller 929 may be employed in some embodiments.
Typically there will be a user interface associated with the controller 929. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language.
The computer program code for controlling the germanium-containing reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
The controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 929. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus 900.
The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes (and other processes, in some cases) in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
In some implementations, a controller 929 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller 929, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
FURTHER IMPLEMENTATIONS
The apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such apparatus and processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x- ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Claims

CLAIMS What is claimed is:
1. A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond;
(b) introducing a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon- containing reactant is different from the aluminum-containing reactant;
(c) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and
(d) reacting the aluminum-containing reactant with the carbon-containing reactant after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate.
2. The method of claim 1, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is a tri alkyl aluminum.
3. The method of claim 1, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trimethylaluminum.
3. The method of claim 1, wherein the carbon-containing reactant having at least one carbon-halogen bond is a carbon tetrahalide.
4. The method of claim 1, wherein the at least one carbon-halogen bond is a carbon-fluorine bond.
5. The method of claim 1, wherein the aluminum-containing reactant is a trialkylaluminum, and the carbon-containing reactant is selected from a group consisting of CX4, CHX3, CH2X2, and CH3X, where X is a halogen.
6 The method of claim 5, wherein X is fluorine.
7. The method of claim 5, wherein X is chlorine and/or bromine.
8. The method of claim 1, wherein reacting the aluminum-containing reactant with the carbon-containing reactant comprises forming an aluminum-containing byproduct, wherein the method further comprises removing the aluminum-containing byproduct after (d).
9. The method of claim 8, wherein the aluminum-containing byproduct comprises an aluminum-halogen bond.
10. The method of claim 1, wherein the surface of the semiconductor substrate upon which the carbon layer is formed has patterned three-dimensional features.
11. The method of claim 1, wherein the aluminum-containing reactant forms an adsorption- limited layer prior to introducing the carbon-containing reactant.
12. The method of claim 1, wherein the carbon-containing reactant forms an adsorption- limited layer prior to introducing the aluminum-containing reactant.
13. The method of claim 1, further comprising purging and/or evacuating the processing chamber to remove the aluminum-containing reactant or the carbon-containing reactant from the processing chamber between steps (a) and (b).
14. The method of claim 1, further comprising repeating operations (a) - (d) to deposit the carbon layer to a pre-determined thickness.
15. The method of claim 1, wherein the carbon layer is deposited in a gapfill operation.
16. The method of claim 1, wherein the carbon layer is deposited in a gapfill operation in a partially fabricated 3D NAND structure.
17. A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising: (a) introducing an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond and forming a layer of the aluminum-containing reactant on the surface of the semiconductor substrate;
(b) removing the aluminum-containing reactant from the processing chamber after (a);
(c) introducing a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon- containing reactant is different from the aluminum-containing reactant, and forming a layer of the carbon-containing reactant on the surface of the semiconductor substrate;
(d) removing the carbon-containing reactant from the processing chamber after (c); and
(e) contacting the semiconductor substrate having the layer of the carbon-containing reactant and the layer of the aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant, and thereby form a layer of carbon on a surface of the semiconductor substrate.
18. The method of claim 17, wherein the aluminum containing reactant is a
tri alkyl aluminum, the carbon-containing reactant is CF4, and wherein the reaction between the tri alkyl aluminum and CF4 is activated by contacting the semiconductor substrate with a plasma formed in a process gas comprising a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (H2) and nitrogen (N2).
19. A partially fabricated semiconductor substrate, wherein the semiconductor substrate comprises a plurality of carbon spacers.
20. A system for processing a semiconductor substrate, the system comprising:
(a) a processing chamber, having a substrate holder and one or more inlets for introduction of reactants to the processing chamber; and
(b) a system controller comprising program instructions for:
(i) causing an introduction of an aluminum-containing reactant to the processing chamber, wherein the aluminum-containing reactant has at least one aluminum - carbon bond;
(ii) causing an introduction of a carbon-containing reactant to the processing chamber, wherein the carbon-containing reactant has at least one carbon - halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant;
(iii) causing an adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where either or both reactants form an adsorption-limited layer on the surface of the semiconductor substrate; and
(iv) causing a reaction between the aluminum-containing reactant and the carbon- containing reactant after at least one of the aluminum-containing reactant and the carbon- containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate, to form a layer of carbon on the surface of the semiconductor substrate.
PCT/US2019/022568 2018-03-26 2019-03-15 Atomic layer deposition of carbon films WO2019190783A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2020551853A JP7396998B2 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films
CN201980022653.0A CN112005339A (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films
KR1020207030667A KR20200127261A (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862647993P 2018-03-26 2018-03-26
US62/647,993 2018-03-26

Publications (1)

Publication Number Publication Date
WO2019190783A1 true WO2019190783A1 (en) 2019-10-03

Family

ID=68060319

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/022568 WO2019190783A1 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Country Status (4)

Country Link
JP (1) JP7396998B2 (en)
KR (1) KR20200127261A (en)
CN (1) CN112005339A (en)
WO (1) WO2019190783A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743475B2 (en) * 2000-10-23 2004-06-01 Asm International N.V. Process for producing aluminum oxide films at low temperatures
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20130213301A1 (en) * 2008-09-29 2013-08-22 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US20150132212A1 (en) * 2013-11-13 2015-05-14 Asm Ip Holding B.V. Method for forming conformal carbon films, structures and devices including a conformal carbon film, and system of forming same
US20160163816A1 (en) * 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143921A (en) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp Magnetic disk and its production
WO2008108754A1 (en) * 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP2010041038A (en) * 2008-06-27 2010-02-18 Asm America Inc Ald of silicon dioxide at low temperature for important applications
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743475B2 (en) * 2000-10-23 2004-06-01 Asm International N.V. Process for producing aluminum oxide films at low temperatures
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20130213301A1 (en) * 2008-09-29 2013-08-22 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US20150132212A1 (en) * 2013-11-13 2015-05-14 Asm Ip Holding B.V. Method for forming conformal carbon films, structures and devices including a conformal carbon film, and system of forming same
US20160163816A1 (en) * 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Also Published As

Publication number Publication date
JP2021519514A (en) 2021-08-10
KR20200127261A (en) 2020-11-10
CN112005339A (en) 2020-11-27
JP7396998B2 (en) 2023-12-12

Similar Documents

Publication Publication Date Title
JP7414891B2 (en) Apparatus and method for processing semiconductor substrates
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
US10490413B2 (en) Selective growth of silicon nitride
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
WO2020243342A1 (en) High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
JP7396998B2 (en) Atomic layer deposition of carbon films
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19778228

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020551853

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207030667

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19778228

Country of ref document: EP

Kind code of ref document: A1