KR20200127261A - Atomic layer deposition of carbon films - Google Patents

Atomic layer deposition of carbon films Download PDF

Info

Publication number
KR20200127261A
KR20200127261A KR1020207030667A KR20207030667A KR20200127261A KR 20200127261 A KR20200127261 A KR 20200127261A KR 1020207030667 A KR1020207030667 A KR 1020207030667A KR 20207030667 A KR20207030667 A KR 20207030667A KR 20200127261 A KR20200127261 A KR 20200127261A
Authority
KR
South Korea
Prior art keywords
carbon
containing reactant
aluminum
layer
semiconductor substrate
Prior art date
Application number
KR1020207030667A
Other languages
Korean (ko)
Inventor
아드리언 라보이에
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200127261A publication Critical patent/KR20200127261A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Abstract

탄소 막들은 알루미늄-탄소 결합을 갖는 알루미늄-함유 반응물질 (예를 들어, 트리알킬알루미늄) 과 탄소-할로겐 결합을 갖는 탄소-함유 반응물질 (예를 들어, 플루오로카본, 예컨대 CF4 또는 CH2F2) 간 반응을 사용하여 원자 층 증착에 의해 반도체 기판들 상에 증착된다. 방법은 프로세싱 챔버로 반응물질들을 순차적으로 도입하는 단계, 반도체 기판의 표면 상에 반응물질들 중 하나 또는 모두의 흡착-제한된 층을 형성하는 단계, 및 흡착-제한된 반응 층에 의해 제한되는 양으로 탄소 층을 형성하도록 탄소-함유 반응물질과 알루미늄-함유 반응물질을 반응시키는 단계를 수반한다. 알루미늄-함유 부산물이 프로세싱 챔버로부터 제거된다. 이러한 탄소 층들은 갭충진 적용예들, 예를 들어 3D NAND 제조에서 자기-정렬 더블 패터닝 프로세스들에서 스페이서들로서 사용될 수 있다.Carbon films include an aluminum-containing reactant having an aluminum-carbon bond (e.g., trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., a fluorocarbon such as CF 4 or CH 2 F 2 ) It is deposited on semiconductor substrates by atomic layer deposition using inter-reaction. The method comprises sequentially introducing reactants into a processing chamber, forming an adsorption-limited layer of one or both of the reactants on the surface of the semiconductor substrate, and carbon in an amount limited by the adsorption-limited reaction layer. It involves reacting the carbon-containing reactant and the aluminum-containing reactant to form a layer. Aluminum-containing by-products are removed from the processing chamber. These carbon layers can be used as spacers in gapfill applications, for example self-aligned double patterning processes in 3D NAND manufacturing.

Description

탄소 막들의 원자 층 증착Atomic layer deposition of carbon films

참조로서 인용Quoted by reference

PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.A PCT application form was filed concurrently with this specification as part of this application. Each application claiming priority or benefit as identified in the PCT application form to which this application was filed at the same time is incorporated by reference in its entirety for all purposes.

본 발명은 반도체 디바이스 제작 방법들에 관한 것이다. 구체적으로, 본 발명의 실시예들은 반도체 프로세싱시 탄소 막들을 증착하는 방법들에 관한 것이다.The present invention relates to methods of fabricating semiconductor devices. Specifically, embodiments of the present invention relate to methods of depositing carbon films in semiconductor processing.

IC (integrated circuit) 제조시, 증착 및 에칭 기법들이 재료들의 패턴들을 형성하기 위해, 예컨대 유전체 층들에 임베딩된 금속 라인들을 형성하기 위해 사용된다. 일부 패터닝 스킴들 (schemes) 은 재료들의 컨포멀한 (conformal) 증착을 필요로 하고, 증착된 층은 기판의 표면 상에 돌출부들 및/또는 리세스된 피처들의 윤곽을 따라야 한다. 원자 층 증착 (ALD) 이 기판의 표면으로 하나 이상의 반응물질들의 흡착, 및 흡착된 층의 목표된 재료로 후속하는 화학적 변환에 의존하기 때문에, ALD는 종종 기판 상의 컨포멀한 막들의 바람직한 형성 방법이다. ALD는 기판의 표면 상에서 발생하고 통상적으로 흡착된 반응물질의 양에 의해 제한되는 반응들을 사용하기 때문에, 이 방법은 우수한 단차 커버리지를 갖는 박형의 컨포멀한 층들을 제공할 수 있다. In integrated circuit (IC) manufacturing, deposition and etching techniques are used to form patterns of materials, such as to form metal lines embedded in dielectric layers. Some patterning schemes require conformal deposition of materials, and the deposited layer must follow the contours of protrusions and/or recessed features on the surface of the substrate. ALD is often the preferred method of forming conformal films on a substrate because atomic layer deposition (ALD) relies on the adsorption of one or more reactants to the surface of the substrate, and subsequent chemical conversion of the adsorbed layer to the target material. . Since ALD uses reactions that occur on the surface of the substrate and are typically limited by the amount of adsorbed reactant, this method can provide thin conformal layers with good step coverage.

본 명세서에 제공된 본 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제공할 목적이다. 본 배경기술 섹션에 기술된 정도로 현재 명명된 발명자의 업적뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래기술로서 명시적으로나 암시적으로 인정되지 않는다.The present background description provided herein is generally intended to provide the context of the present disclosure. The achievements of the inventors currently named to the extent described in this background section, as well as aspects of technology that may not otherwise be certified as prior art at the time of filing, are not explicitly or implicitly admitted as prior art to the present disclosure.

ALD에 의해 탄소 층들을 증착하는 방법들은 이전에 개발되지 않았다. 따라서, 증착된 두께에 대한 높은 수준의 제어 및 우수한 단차 커버리지를 갖는 탄소 층들의 증착은 어려운 문제를 제시한다. 표면-제어된 방식으로 탄소 층들을 증착하기 위한 방법들 및 장치가 본 명세서에 제공된다. 우수한 단차 커버리지를 갖는 컨포멀한 (conformal) 탄소 막들은 제공된 방법들에 의해 증착될 수 있고 갭충진 (예를 들어, 3D NAND 구조체들의 갭충진시) 을 포함하여, 그리고 자기-정렬 더블 패터닝 (self aligned double patterning; SADP) 에서 스페이서 형성 동안 다양한 적용예들에 사용될 수 있다.Methods of depositing carbon layers by ALD have not been developed before. Thus, the deposition of carbon layers with a high level of control over the deposited thickness and excellent step coverage presents a difficult problem. Methods and apparatus are provided herein for depositing carbon layers in a surface-controlled manner. Conformal carbon films with good step coverage can be deposited by the methods provided and including gapfilling (e.g., when gapfilling 3D NAND structures), and self-aligning double patterning (self It can be used for various applications during spacer formation in aligned double patterning (SADP).

일 양태에서, 프로세싱 챔버에서 반도체 기판의 표면 상에 탄소 층을 형성하는 방법이 제공되고, 방법은 (a) 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하는 단계로서, 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합 (예를 들어, 반응물질은 알킬-치환된 알루미늄, 예컨대 트리알킬알루미늄임) 을 갖는, 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하는 단계; (b) 탄소-함유 반응물질을 프로세싱 챔버로 도입하는 단계로서, 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 탄소-함유 반응물질은 알루미늄-함유 반응물질과 상이한 (예를 들어, 탄소-함유 반응물질은 탄소 테트라할라이드임), 탄소-함유 반응물질을 프로세싱 챔버로 도입하는 단계; (c) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 하나 또는 모두가 반도체 기판의 표면 상에 흡착-제한된 층을 형성하는 조건들 하에서 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나를 반도체 기판의 표면에 흡착시키는 단계; 및 (d) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나가 반도체 기판의 표면 상에 흡착-제한된 층을 형성한 후, 반도체 기판의 표면 상에 탄소 층을 형성하도록, 알루미늄-함유 반응물질과 탄소-함유 반응물질을 반응시키는 단계를 포함한다. 단계 (a) 내지 단계 (d) 는 미리 결정된 두께를 갖는 탄소 층을 증착하기 위해 필요한만큼 다수 회 반복될 수 있다.In one aspect, a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber is provided, the method comprising the steps of: (a) introducing an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant is at least one Introducing an aluminum-containing reactant, which has an aluminum-carbon bond of (eg, the reactant is an alkyl-substituted aluminum, such as trialkylaluminum), into the processing chamber; (b) introducing a carbon-containing reactant into the processing chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and the carbon-containing reactant is different from the aluminum-containing reactant (e.g. For example, the carbon-containing reactant is carbon tetrahalide), introducing the carbon-containing reactant into the processing chamber; (c) at least one of an aluminum-containing reactant and a carbon-containing reactant under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limited layer on the surface of the semiconductor substrate. Adsorbing to the surface of the semiconductor substrate; And (d) at least one of an aluminum-containing reactant and a carbon-containing reactant to form an adsorption-limited layer on the surface of the semiconductor substrate, and then to form a carbon layer on the surface of the semiconductor substrate. And reacting the material and the carbon-containing reactant. Steps (a) to (d) may be repeated as many times as necessary to deposit a carbon layer having a predetermined thickness.

적합한 알루미늄-함유 반응물질들은 트리알킬알루미늄들을 포함한다. 일 예에서 알루미늄-함유 반응물질은 트리메틸알루미늄이다. 탄소-할라이드 결합을 갖는 적합한 탄소-함유 반응물질들의 예들은 CX4, CHX3, CH2X2, 및 CH3X를 포함하고, X는 할로겐이다. 일부 실시예들에서 탄소-함유 반응물질은 탄소 테트라할라이드이다. 일부 실시예들에서 탄소-함유 반응물질은 탄소-불소 결합을 포함한다.Suitable aluminum-containing reactants include trialkylaluminiums. In one example, the aluminum-containing reactant is trimethylaluminum. Examples of suitable carbon-containing reactants having a carbon-halide bond include CX 4 , CHX 3 , CH 2 X 2 , and CH 3 X, where X is a halogen. In some embodiments the carbon-containing reactant is a carbon tetrahalide. In some embodiments the carbon-containing reactant comprises a carbon-fluorine bond.

일부 구현예에서 알루미늄-함유 반응물질은 트리알킬알루미늄이고, 그리고 탄소-함유 반응물질은 CX4, CHX3, CH2X2, 및 CH3X 중 하나 이상이고, 여기서 X는 할로겐이다. 일부 실시예들에서 할로겐은 불소이다. 다른 실시예들에서 할로겐은 염소 및/또는 브롬이다. 특정한 예에서 알루미늄-함유 반응물질은 트리알킬알루미늄 (예를 들어, 트리메틸알루미늄 또는 트리에틸알루미늄) 이고, 그리고 탄소-함유 반응물질은 CF4, CCl4, 또는 CBr4이다.In some embodiments, the aluminum-containing reactant is trialkylaluminum, and the carbon-containing reactant is one or more of CX 4 , CHX 3 , CH 2 X 2 , and CH 3 X, where X is a halogen. In some embodiments the halogen is fluorine. In other embodiments the halogen is chlorine and/or bromine. In a specific example, the aluminum-containing reactant is trialkylaluminum (eg, trimethylaluminum or triethylaluminum), and the carbon-containing reactant is CF 4 , CCl 4 , or CBr 4 .

알루미늄-함유 반응물질과 탄소-함유 반응물질 사이의 반응은, 반응이 완료된 후 제거될 수 있는 알루미늄-함유 부산물의 형성을 발생시킨다. 부산물은 통상적으로 알루미늄-할로겐 결합을 함유한다. 예를 들어, 탄소-함유 반응물질이 탄소-불소 결합을 함유하면, 부산물은 알루미늄 플루오라이드를 포함할 것이다.The reaction between the aluminum-containing reactant and the carbon-containing reactant results in the formation of aluminum-containing by-products that can be removed after the reaction is complete. By-products typically contain aluminum-halogen bonds. For example, if the carbon-containing reactant contains a carbon-fluorine bond, the by-product will include aluminum fluoride.

프로세싱 챔버 내로 반응물질들의 도입은 순차적이고, 프로세싱 챔버는 제 1 반응물질의 도입 후 그리고 제 2 반응물질의 도입 전 퍼지 및/또는 배기된다. 반응물질들은 임의의 순서로 도입될 수 있다. 일 실시예에서, 알루미늄-탄소 결합을 갖는 알루미늄-함유 반응물질이 먼저 프로세싱 챔버 내로 도입되고 기판의 표면 상에 흡착-제한된 층을 형성하게 된다. 다음에 흡착되지 않은 알루미늄-함유 반응물질이 (예를 들어, 퍼지 및/또는 배기에 의해) 프로세싱 챔버로부터 제거되고, 이어서 탄소-함유 반응물질은 프로세싱 챔버 내로 도입되고 탄소 층을 형성하기 위해 알루미늄-함유 반응물질의 흡착된 층과 반응하게 된다. 다음에, 알루미늄-함유 부산물이 제거될 수 있고, 프로세스는 미리 결정된 두께의 탄소 층을 증착하도록 필요한만큼 다수 회 반복될 수도 있다.The introduction of reactants into the processing chamber is sequential, and the processing chamber is purged and/or evacuated after introduction of the first reactant and before introduction of the second reactant. The reactants can be introduced in any order. In one embodiment, an aluminum-containing reactant with aluminum-carbon bonds is first introduced into the processing chamber and forms an adsorption-limited layer on the surface of the substrate. The non-adsorbed aluminum-containing reactant is then removed from the processing chamber (e.g., by purge and/or evacuation), and the carbon-containing reactant is then introduced into the processing chamber and aluminum-containing reactant to form a carbon layer. It reacts with the adsorbed layer of the containing reactant. Next, the aluminum-containing by-products can be removed, and the process may be repeated as many times as necessary to deposit a carbon layer of a predetermined thickness.

또 다른 실시예에서, 프로세스는 먼저 탄소-함유 반응물질을 프로세싱 챔버 내로 도입하고 기판 상에 탄소-함유 반응물질의 흡착-제한된 층을 형성함으로써 시작된다. 흡착되지 않은 탄소-함유 반응물질은 퍼지 및/또는 배기에 의해 프로세싱 챔버로부터 제거된다. 다음에, 알루미늄-함유 반응물질이 프로세싱 챔버 내로 도입되고 기판의 표면 상에 탄소 층을 형성하기 위해 탄소-함유 반응물질의 흡착된 층과 반응한다.In another embodiment, the process begins by first introducing a carbon-containing reactant into a processing chamber and forming an adsorption-limited layer of the carbon-containing reactant on the substrate. Unadsorbed carbon-containing reactants are removed from the processing chamber by purge and/or evacuation. Next, the aluminum-containing reactant is introduced into the processing chamber and reacts with the adsorbed layer of carbon-containing reactant to form a carbon layer on the surface of the substrate.

일부 실시예들에서 알루미늄-함유 반응물질과 탄소-함유 반응물질 사이의 반응은 활성화 없이 열적으로 발생한다. 다른 실시예들에서, 반응은 예를 들어, 알루미늄-함유 반응물질 층 및 탄소-함유 반응물질 층이 그 위에 형성된 기판의 플라즈마 처리에 의해 활성화된다. 일 구현예에서, 탄소 증착 방법은 알루미늄-함유 반응물질 및 탄소-함유 반응물질 모두를 기판의 표면 상에 흡착하는 단계, 및 헬륨 (He), 아르곤 (Ar), 수소 (H2) 및 질소 (N2) 로 구성된 그룹으로부터 선택된 가스를 포함하는 프로세스 가스로 형성된 플라즈마에 기판을 노출함으로써 기판 상의 흡착된 반응물질들 사이의 반응을 활성화하는 단계를 포함한다.In some embodiments, the reaction between the aluminum-containing reactant and the carbon-containing reactant occurs thermally without activation. In other embodiments, the reaction is activated, for example, by plasma treatment of a substrate having an aluminum-containing reactant layer and a carbon-containing reactant layer formed thereon. In one embodiment, the carbon deposition method comprises the steps of adsorbing both an aluminum-containing reactant and a carbon-containing reactant on the surface of the substrate, and helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen ( And activating a reaction between adsorbed reactants on the substrate by exposing the substrate to a plasma formed of a process gas comprising a gas selected from the group consisting of N 2 ).

일 예시적인 구현예에서 프로세싱 챔버에서 반도체 기판의 표면 상에 탄소 층을 형성하는 플라즈마-활성화된 방법은 (a) 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하고 반도체 기판의 표면 상에 알루미늄-함유 반응물질의 층을 형성하는 단계로서, 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하고 층을 형성하는 단계; (b) 단계 (a) 후 프로세싱 챔버로부터 알루미늄-함유 반응물질을 제거하는 단계; (c) 탄소-함유 반응물질을 프로세싱 챔버로 도입하고 반도체 기판의 표면 상에 탄소-함유 반응물질의 층을 형성하는 단계로서, 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 탄소-함유 반응물질은 알루미늄-함유 반응물질과 상이한, 탄소-함유 반응물질을 프로세싱 챔버로 도입하고 층을 형성하는 단계; (d) 단계 (c) 후 프로세싱 챔버로부터 탄소-함유 반응물질을 제거하는 단계; 및 (e) 알루미늄-함유 반응물질과 탄소-함유 반응물질 사이의 반응을 활성화하여 반도체 기판의 표면 상에 탄소 층을 형성하도록, 알루미늄-함유 반응물질 층 및 탄소-함유 반응물질 층을 갖는 반도체 기판을 플라즈마와 콘택트시키는 단계를 포함한다. 단계 (a) 내지 단계 (d) 는 목표된 두께의 탄소 층을 증착하기 위해 필요한만큼 다수 회 반복될 수 있다. 일 예에서, 알루미늄-함유 반응물질은 트리알킬알루미늄이고, 탄소-함유 반응물질은 CF4이고, 트리알킬알루미늄과 CF4 사이의 반응은 헬륨 (He), 아르곤 (Ar), 수소 (H2) 및 질소 (N2) 로 구성된 그룹으로부터 선택된 가스를 포함하는 프로세스 가스로 형성된 플라즈마와 반도체 기판을 콘택트시킴으로써 활성화된다.In one exemplary embodiment, the plasma-activated method of forming a carbon layer on the surface of a semiconductor substrate in a processing chamber comprises: (a) introducing an aluminum-containing reactant into the processing chamber and an aluminum-containing reaction on the surface of the semiconductor substrate. Forming a layer of material, wherein the aluminum-containing reactant has at least one aluminum-carbon bond, introducing an aluminum-containing reactant into a processing chamber and forming a layer; (b) removing the aluminum-containing reactant from the processing chamber after step (a); (c) introducing a carbon-containing reactant into a processing chamber and forming a layer of a carbon-containing reactant on the surface of the semiconductor substrate, wherein the carbon-containing reactant has at least one carbon-halogen bond, and Introducing a carbon-containing reactant into a processing chamber and forming a layer, wherein the carbon-containing reactant is different from the aluminum-containing reactant; (d) removing the carbon-containing reactant from the processing chamber after step (c); And (e) a semiconductor substrate having an aluminum-containing reactant layer and a carbon-containing reactant layer to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant to form a carbon layer on the surface of the semiconductor substrate. And contacting the plasma with the plasma. Steps (a) to (d) can be repeated as many times as necessary to deposit a carbon layer of a desired thickness. In one example, the aluminum-containing reactant is trialkylaluminum, the carbon-containing reactant is CF 4 , and the reaction between trialkylaluminum and CF 4 is helium (He), argon (Ar), hydrogen (H 2 ) And a plasma formed of a process gas including a gas selected from the group consisting of nitrogen (N 2 ) and a semiconductor substrate.

일부 실시예들에서, 상부에 탄소 층이 형성되는 반도체 기판의 표면은 패터닝된 3D 피처들을 갖는다. 일부 구현예들에서, 탄소 층은 갭충진 동작에서 증착된다. 예를 들어 탄소 층은 부분적으로 제조된 3D NAND 구조체의 갭충진 동작에서 증착될 수 있다.In some embodiments, the surface of the semiconductor substrate on which the carbon layer is formed has patterned 3D features. In some implementations, the carbon layer is deposited in a gapfill operation. For example, a layer of carbon may be deposited in a gapfill operation of a partially fabricated 3D NAND structure.

일부 구현예들에서 탄소 층은 복수의 돌출하는 피처들을 갖는 반도체 기판 위에 컨포멀하게 증착된다. 일 실시예에서, 방법은 돌출하는 피처들의 측벽들에서 탄소 층을 완전히 제거하지 않고 돌출하는 피처들의 수평 표면들로부터 탄소 층을 완전히 제거하는 단계; 및 이어서 돌출하는 피처들의 측벽들에 놓이는 탄소 층을 완전히 제거하지 않고 돌출하는 피처들을 제거하여, 반도체 기판 상에 탄소 스페이서들을 형성하는 단계를 더 수반한다.In some implementations the carbon layer is conformally deposited over a semiconductor substrate having a plurality of protruding features. In one embodiment, a method includes completely removing the carbon layer from the horizontal surfaces of the protruding features without completely removing the carbon layer from the sidewalls of the protruding features; And then removing the protruding features without completely removing the carbon layer overlying the sidewalls of the protruding features, thereby forming carbon spacers on the semiconductor substrate.

일부 실시예들에서, 제공된 방법들은 포토레지스트를 기판에 도포하는 단계; 포토레지스트를 광에 노출하는 단계; 포토레지스트를 패터닝하고 패턴을 기판에 전사하는 단계; 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 더 포함한다.In some embodiments, provided methods include applying a photoresist to a substrate; Exposing the photoresist to light; Patterning the photoresist and transferring the pattern to the substrate; And selectively removing the photoresist from the substrate.

또 다른 양태에서, 부분적으로 제조된 반도체 기판이 제공되고, 반도체 기판은 복수의 탄소 스페이서들을 포함한다.In another aspect, a partially fabricated semiconductor substrate is provided, the semiconductor substrate comprising a plurality of carbon spacers.

또 다른 양태에서, 반도체 기판을 프로세싱하기 위한 시스템이 제공된다. 시스템은 프로세싱 챔버로서, 기판 홀더 및 프로세싱 챔버로 반응물질들의 도입을 위한 하나 이상의 유입구들을 갖는, 프로세싱 챔버; 및 본 명세서에 기술된 임의의 방법들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 시스템 제어기를 포함한다. 일 구현예에서, 프로그램 인스트럭션들은 (i) 프로세싱 챔버로 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들; (ii) 프로세싱 챔버로 탄소-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 탄소-함유 반응물질은 알루미늄-함유 반응물질과 상이한, 탄소-함유 반응물질을 프로세싱 챔버로 도입을 유발하기 위한 프로그램 인스트럭션들; (iii) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 하나 또는 모두가 반도체 기판의 표면 상에 흡착-제한된 층을 형성하는 조건들 하에서 반도체 기판의 표면으로 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나의 흡착을 유발하기 위한 프로그램 인스트럭션들; 및 (iv) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나가 반도체 기판의 표면 상에 흡착-제한된 층을 형성한 후, 반도체 기판의 표면 상에 탄소 층을 형성하도록, 알루미늄-함유 반응물질과 탄소-함유 반응물질 간의 반응을 유발하기 위한 프로그램 인스트럭션들을 포함한다. In yet another aspect, a system for processing a semiconductor substrate is provided. The system includes a processing chamber, comprising: a processing chamber having a substrate holder and one or more inlets for introduction of reactants into the processing chamber; And a system controller including program instructions for performing any of the methods described herein. In one embodiment, the program instructions are (i) program instructions for causing the introduction of an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond. Program instructions to trigger the introduction of; (ii) Program instructions for triggering the introduction of a carbon-containing reactant into the processing chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and the carbon-containing reactant has an aluminum-containing reactant and Program instructions to cause the introduction of a different, carbon-containing reactant into the processing chamber; (iii) an aluminum-containing reactant and a carbon-containing reaction to the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limited layer on the surface of the semiconductor substrate. Program instructions for causing adsorption of at least one of the substances; And (iv) at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate, and then forms a carbon layer on the surface of the semiconductor substrate. Contains program instructions to trigger a reaction between a substance and a carbon-containing reactant.

본 명세서에 기술된 주제의 구현예들의 이들 및 다른 양태들이 첨부된 도면들 및 이하의 기술에 언급된다.These and other aspects of implementations of the subject matter described herein are referred to in the accompanying drawings and the description below.

도 1은 본 명세서에 제공된 실시예에 따른, 탄소 증착 방법을 위한 프로세스 흐름도이다.
도 2a는 본 명세서에 제공된 실시예에 따른, 탄소 증착 방법을 위한 프로세스 흐름도이다.
도 2b는 본 명세서에 제공된 또 다른 실시예에 따른, 탄소 증착 방법을 위한 프로세스 흐름도이다.
도 3a 내지 도 3f는 본 명세서에 제공된 실시예에 따른, 프로세싱을 겪는 반도체 기판의 개략적인 단면도들을 도시한다.
도 4는 본 명세서에 제공된 실시예에 따른, 탄소 스페이서들을 형성하는 방법을 위한 프로세스 흐름도이다.
도 5 및 도 6은 본 명세서에 제공된 실시예에 따른, 프로세싱을 겪는 반도체 기판의 개략적인 단면도들을 도시한다.
도 7은 본 명세서에 제공된 실시예에 따른, 탄소 막들을 증착하기 적합한 장치의 개략적인 표현이다.
도 8은 본 명세서에 제공된 실시예에 따른, 멀티-스테이션 프로세싱 시스템의 개략도를 도시한다.
도 9는 본 명세서에 제공된 실시예에 따른, 멀티-스테이션 프로세싱 시스템의 개략도를 도시한다.
1 is a process flow diagram for a method of depositing carbon, according to an embodiment provided herein.
2A is a process flow diagram for a method of depositing carbon, according to an embodiment provided herein.
2B is a process flow diagram for a method of depositing carbon, according to another embodiment provided herein.
3A-3F show schematic cross-sectional views of a semiconductor substrate undergoing processing, in accordance with an embodiment provided herein.
4 is a process flow diagram for a method of forming carbon spacers, according to an embodiment provided herein.
5 and 6 show schematic cross-sectional views of a semiconductor substrate undergoing processing, according to an embodiment provided herein.
7 is a schematic representation of an apparatus suitable for depositing carbon films, according to an embodiment provided herein.
8 shows a schematic diagram of a multi-station processing system, according to an embodiment provided herein.
9 shows a schematic diagram of a multi-station processing system, according to an embodiment provided herein.

ALD를 사용하여 탄소 막들을 증착하는 방법들이 제공된다. 이들 방법들은 예를 들어, 하나 이상의 리세스된 피처들 또는 하나 이상의 돌출부들을 갖는 기판들과 같은, 표면 상에 3D 구조체들을 갖는 반도체 기판들 상에 컨포멀한 (conformal) 탄소 막들을 증착하기 위해 사용될 수 있다. 일부 실시예들에서, 방법들은 Al-C 결합 (예를 들어, 트리알킬알루미늄) 을 갖는 알루미늄-함유 반응물질과 탄소-할로겐 결합 (예를 들어, CF4) 을 갖는 탄소-함유 반응물질 사이의 반응을 수반한다. Methods of depositing carbon films using ALD are provided. These methods may be used to deposit conformal carbon films on semiconductor substrates with 3D structures on a surface, such as substrates with one or more recessed features or one or more protrusions. I can. In some embodiments, the methods include between an aluminum-containing reactant having an Al-C bond (eg, trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (eg, CF 4 ). It involves a reaction.

본 명세서에 사용될 때 용어 "ALD"는 일반적으로 기판의 표면 상에 흡착된 반응물질의 양으로 제한된 반응들 (흡착-제한된 반응 층) 에 의존하는 증착 방법들을 참조한다. 반응물질들의 흡착-제한된 층들은 알루미늄-함유 반응물질의 흡착-제한된 층, 탄소-함유 반응물질의 흡착-제한된 층 또는 두 반응물질들의 흡착-제한된 층들을 포함할 수도 있다. 일부 실시예들에서 ALD 방법들은 반응물질들이 프로세싱 챔버의 전반에서 혼합이 허용되지 않도록 프로세싱 챔버 내로 반응물질들의 순차적인 도입을 수반한다. The term “ALD” as used herein generally refers to deposition methods that rely on reactions (adsorption-limited reactive layer) limited by the amount of reactant adsorbed on the surface of the substrate. The adsorption-limited layers of reactants may comprise an adsorption-limited layer of an aluminum-containing reactant, an adsorption-limited layer of a carbon-containing reactant, or an adsorption-limited layer of both reactants. In some embodiments ALD methods involve sequential introduction of reactants into the processing chamber such that the reactants are not allowed to mix throughout the processing chamber.

일부 실시예들에서, 탄소 막들은 갭충진 적용예들에서 증착된다. 예를 들어, 일 구현예에서, 탄소 막들은 3D NAND 제조 동안 갭충진시 증착될 수 있다. 일부 구현예들에서 탄소 막들은 자기 정렬 더블 패터닝 (self aligned double patterning; SADP) 의 스페이서들로서 사용된다. 그러나 제공된 방법들은 리세스된 피처들을 갖는 표면들 상에 탄소 막들의 증착으로 제한되지 않고, 또한 평면형 표면들 상에 블랭킷 탄소 막들을 증착하기 위해 사용될 수 있다. 방법들은 표면-제어된 반응들에 의존하고 막 두께에 대한 높은 정도의 제어로 막들을 증착하도록 사용될 수 있다. 막들은 프로세스 챔버 내로 반응물질들의 순차적 도입을 허용하는 매우 다양한 장치들에서 증착될 수 있다. 예를 들어, 탄소 막들은 Lam Research Corporation로부터 입수가능한 Striker® 증착 시스템들에서 증착될 수 있다.In some embodiments, carbon films are deposited in gapfill applications. For example, in one implementation, carbon films may be deposited during gapfill during 3D NAND fabrication. In some implementations the carbon films are used as spacers in self aligned double patterning (SADP). However, the provided methods are not limited to the deposition of carbon films on surfaces with recessed features, but can also be used to deposit blanket carbon films on planar surfaces. Methods rely on surface-controlled reactions and can be used to deposit films with a high degree of control over the film thickness. Films can be deposited in a wide variety of devices that allow sequential introduction of reactants into the process chamber. For example, the carbon film can be deposited in a vapor deposition system available Striker ® from Lam Research Corporation.

본 명세서에 사용된 바와 같이, 탄소는 본질적으로 탄소 (C), 그리고 선택가능하게, 수소 (H) 로 구성된 재료를 지칭한다. 일부 실시예들에서, 본 명세서에 사용된 바와 같이 탄소 막들은 C-H 결합들을 포함할 수도 있다. 하이드로카본들을 포함하는 재료들은 탄소 막들의 범주 내이다. 다른 원소들이 도펀트들의 총량에 대해 약 10 atomic % 미만의 소량의 도펀트들로서 탄소 막들에 존재할 수 있고, 수소는 계산에 포함되지 않는다.As used herein, carbon refers to a material consisting essentially of carbon (C) and, optionally, hydrogen (H). In some embodiments, as used herein, carbon films may contain C-H bonds. Materials containing hydrocarbons are within the scope of carbon films. Other elements may be present in the carbon films as small amounts of dopants of less than about 10 atomic% relative to the total amount of dopants, and hydrogen is not included in the calculation.

본 명세서에 사용된 바와 같이 용어 "반도체 기판"은 구조체 내 어디에나 반도체 재료를 함유하는 반도체 디바이스 제조의 임의의 스테이지에서 기판을 참조한다. 반도체 기판의 반도체 재료는 노출될 필요는 없다는 것이 이해된다. 반도체 재료를 커버하는 다른 재료들 (예를 들어, 유전체들) 의 복수의 층들을 갖는 반도체 웨이퍼들은 반도체 기판들의 예들이다. 이하의 상세한 기술은 웨이퍼 상에서 구현되는 개시된 구현예들을 가정한다. 그러나, 개시된 구현예들은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 개시된 구현예들의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.The term “semiconductor substrate” as used herein refers to a substrate at any stage of semiconductor device manufacturing that contains semiconductor material anywhere within the structure. It is understood that the semiconductor material of the semiconductor substrate need not be exposed. Semiconductor wafers having a plurality of layers of different materials (eg, dielectrics) covering the semiconductor material are examples of semiconductor substrates. The detailed description below assumes disclosed implementations implemented on a wafer. However, the disclosed implementations are not so limited. The workpiece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may take advantage of the disclosed implementations include various articles such as printed circuit boards and the like.

탄소 막들을 증착하기 위한 프로세스가 도 1에 도시된 프로세스 흐름도에 의해 예시된다. 동작 101에서, 알루미늄-함유 반응물질이 반도체 기판을 하우징하는 프로세싱 챔버로 도입된다. 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 포함한다. 일부 실시예들에서, 알루미늄-탄소 결합의 탄소는 메틸, 에틸, 프로필 (예를 들어, n-프로필 또는 iso-프로필), 부틸, 펜틸, 등과 같은 알킬 치환제의 일부이다. 일부 실시예들에서, 알루미늄-함유 반응물질은 트리알킬알루미늄이다. 적합한 반응물질들의 예들은 트리메틸알루미늄, 트리에틸알루미늄, 등을 포함한다. 일부 실시예들에서, 알루미늄-함유 반응물질은 휘발성이고, 가스 상으로 프로세싱 챔버 내로 도입된다. 반응물질은 캐리어 가스와의 혼합물로 도입될 수도 있고, 캐리어 가스는 통상적으로 불활성 가스, 예컨대 N2, He, Ar, Ne, 또는 Kr이다. 알루미늄-함유 반응물질이 휘발성이 아닐 때, DLI (direct liquid injection) 기화기, 예컨대 Kemstream로부터 입수가능한 Vapbox DLI 기화기를 사용하여 기화될 수 있다. The process for depositing carbon films is illustrated by the process flow diagram shown in FIG. 1. In operation 101, an aluminum-containing reactant is introduced into the processing chamber housing the semiconductor substrate. The aluminum-containing reactant contains at least one aluminum-carbon bond. In some embodiments, the carbon of the aluminum-carbon bond is part of an alkyl substituent such as methyl, ethyl, propyl (eg, n -propyl or iso -propyl), butyl, pentyl, and the like. In some embodiments, the aluminum-containing reactant is trialkylaluminum. Examples of suitable reactants include trimethylaluminum, triethylaluminum, and the like. In some embodiments, the aluminum-containing reactant is volatile and is introduced into the processing chamber as a gas. The reactant may be introduced in a mixture with a carrier gas, and the carrier gas is typically an inert gas such as N 2 , He, Ar, Ne, or Kr. When the aluminum-containing reactant is not volatile, it can be vaporized using a direct liquid injection (DLI) vaporizer such as a Vapbox DLI vaporizer available from Kemstream.

동작 103에서, 탄소-함유 반응물질이 기판을 하우징하는 프로세싱 챔버 내로 도입된다. 탄소-함유 반응물질은 탄소-불소, 탄소-염소, 및 탄소-브롬 결합들 중 적어도 하나와 같은 탄소-할로겐 결합을 갖는다. 적합한 반응물질들의 예들은 CX4, CHX3, CH2X2, 및 CH3X를 포함하고, 여기서 X는 할로겐이다. 예를 들어, 일부 실시예들에서 불소-함유 반응물질들, 예컨대 CF4, CHF3, CH2F2, 또는 CH3F가 사용된다. 다른 실시예들에서, 염소-함유 반응물질들, 예컨대 CCl4, CHCl3, CH2Cl2, 또는 CH3Cl이 채용될 수도 있다.In operation 103, a carbon-containing reactant is introduced into the processing chamber housing the substrate. The carbon-containing reactant has a carbon-halogen bond such as at least one of carbon-fluorine, carbon-chlorine, and carbon-bromine bonds. Examples of suitable reactants include CX 4 , CHX 3 , CH 2 X 2 , and CH 3 X, where X is a halogen. For example, in some embodiments, fluorine-containing reactants such as CF 4 , CHF 3 , CH 2 F 2 , or CH 3 F are used. In other embodiments, chlorine-containing reactants such as CCl 4 , CHCl 3 , CH 2 Cl 2 , or CH 3 Cl may be employed.

알루미늄-함유 반응물질 및 탄소-함유 반응물질은 통상적으로 프로세싱 챔버의 대부분에서 혼합 없이, 프로세싱 챔버 내로 순차적으로 도입된다. 도입 순서는 실시예에 따라 가변할 수 있다. 일부 실시예들에서, 알루미늄-함유 반응물질이 먼저 도입되고, 탄소-함유 반응물질의 도입이 이어진다. 다른 실시예들에서, 탄소-함유 반응물질이 먼저 도입되고, 알루미늄-함유 반응물질의 도입이 이어진다. 반응물질들 (예를 들어, 알루미늄-함유 반응물질, 탄소-함유 반응물질 또는 모두) 중 적어도 하나가 기판 상에 흡착-제한된 층을 형성한다. 일부 실시예들에서, 제 1-도입된 반응물질이 기판 상에 흡착-제한된 층을 형성하고, 그리고 제 2-도입된 반응물질은 제 2-도입된 반응물질이 흡착-제한된 층과 콘택트하게 된 후 제 1 반응물질의 흡착-제한된 층과 반응한다. 다른 실시예들에서, 제 1-도입된 반응물질은 흡착-제한된 층을 형성하고, 제 2-도입된 반응물질은 또한 흡착-제한된 층을 형성하고, 이어서 두 반응물질들이 예를 들어, 열적 활성화 또는 플라즈마 활성화 후, 기판의 표면 상에서 반응한다. 일부 실시예들에서 반응은 헬륨 (He), 아르곤 (Ar), 수소 (H2) 및 질소 (N2), 또는 이들의 임의의 혼합물과 같은 가스로 형성된 플라즈마와 기판을 콘택트시킴으로써 활성화된다. 플라즈마를 사용한 반응의 활성화는 상대적으로 저온에서 탄소의 형성을 허용하도록 사용될 수도 있다. 일부 실시예들에서 탄소 막들이 300 ℃ 미만, 예컨대 200 ℃ 미만의 온도에서 플라즈마-활성화된 반응을 사용하여 형성된다 . The aluminum-containing reactant and carbon-containing reactant are typically introduced sequentially into the processing chamber without mixing in most of the processing chamber. The order of introduction may vary according to embodiments. In some embodiments, the aluminum-containing reactant is introduced first, followed by the carbon-containing reactant. In other embodiments, the carbon-containing reactant is introduced first, followed by the aluminum-containing reactant. At least one of the reactants (eg, aluminum-containing reactant, carbon-containing reactant, or both) forms an adsorption-limited layer on the substrate. In some embodiments, the first-introduced reactant forms an adsorption-limited layer on the substrate, and the second-introduced reactant is in contact with the second-introduced reactant and the adsorption-limited layer. Then react with the adsorption-limited layer of the first reactant. In other embodiments, the first-introduced reactant forms an adsorption-limited layer, the second-introduced reactant also forms an adsorption-limited layer, and the two reactants are then, for example, thermally activated. Or after plasma activation, it reacts on the surface of the substrate. In some embodiments, the reaction is activated by contacting the substrate with a plasma formed of a gas such as helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N 2 ), or any mixture thereof. Activation of the reaction using plasma may also be used to allow the formation of carbon at relatively low temperatures. In some embodiments carbon films are formed using a plasma-activated reaction at a temperature of less than 300° C., such as less than 200° C.

도입 순서와 무관하게, 일부 실시예들에서, 프로세싱 챔버는 프로세싱 챔버로부터 흡착되지 않은 제 1-도입된 반응물질을 제거하기 위해, 제 1 반응물질의 도입 후 그리고 제 2 반응물질의 도입 전 퍼지 및/또는 배기된다.Regardless of the order of introduction, in some embodiments, the processing chamber is purged after introduction of the first reactant and prior to introduction of the second reactant to remove unadsorbed first-introduced reactant from the processing chamber. /Or exhausted.

동작 105에서, 알루미늄-함유 반응물질은 기판의 표면 상에 탄소 층을 형성하기 위해 탄소-함유 반응물질과 반응하고, 형성된 탄소의 양은 반응물질의 (예를 들어, 알루미늄-함유 반응물질 및/또는 탄소-함유 반응물질의) 흡착-제한된 층에 의해 제한된다. 반응에서, 알루미늄-함유 반응물질의 알루미늄-탄소 결합 및 탄소-함유 반응물질의 탄소-할로겐 결합은 (C-H 결합들을 포함할 수도 있는) 탄소, 및 알루미늄-할로겐 결합을 함유하는 부산물을 형성하도록 깨진다 (broken). 예를 들어, 탄소-함유 반응물질의 할로겐이 불소일 때, 알루미늄-불소 결합 함유 부산물이 형성될 것이다. 일부 실시예들에서 반응은 반응물질들이 콘택트하게 된 후 자발적으로 (spontaneously) 발생한다. 다른 실시예들에서, 반응물질들이 콘택트하게 된 후 반응이 (예를 들어, 열적으로) 활성화된다.In operation 105, the aluminum-containing reactant reacts with the carbon-containing reactant to form a carbon layer on the surface of the substrate, and the amount of carbon formed is determined by the amount of the reactant (e.g., the aluminum-containing reactant and/or Is limited by the adsorption-limited layer of the carbon-containing reactant. In the reaction, the aluminum-carbon bonds of the aluminum-containing reactant and the carbon-halogen bonds of the carbon-containing reactant are broken to form carbon (which may include CH bonds) and by-products containing aluminum-halogen bonds broken). For example, when the halogen of the carbon-containing reactant is fluorine, an aluminum-fluorine bond containing by-product will be formed. In some embodiments, the reaction occurs spontaneously after the reactants come into contact. In other embodiments, the reaction is activated (eg, thermally) after the reactants are brought into contact.

일부 실시예들에서 알루미늄 할라이드 부산물들이 탄소의 형성과 동시에 기판의 표면으로부터 제거된다. 다른 실시예들에서, 동작 107에서 도시된 바와 같이, 알루미늄-함유 부산물은 별도의 단계에서 기판으로부터 제거된다. 예를 들어, 기판은 휘발성 알루미늄 할라이드 (예를 들어, 알루미늄 플루오라이드) 부산물을 제거하기 위해 가열될 수도 있다.In some embodiments, aluminum halide byproducts are removed from the surface of the substrate concurrently with the formation of carbon. In other embodiments, as shown in operation 107, the aluminum-containing by-product is removed from the substrate in a separate step. For example, the substrate may be heated to remove volatile aluminum halide (eg, aluminum fluoride) byproducts.

동작 101 내지 동작 105을 포함하는 증착의 일 사이클이, 일부 실시예들에서 평균 0.5 내지 3 Å의 탄소 막을 증착한다. 사이클들은 목표된 두께의 탄소 막을 증착하기 위해 필요한만큼 다수 회 반복될 수 있다. 예를 들어, 일부 실시예들에서 5 내지 1,000 Å의 두께들을 갖는 탄소 막들이 증착된다.One cycle of deposition, including operations 101-105, deposits an average of 0.5 to 3 Å of carbon film in some embodiments. The cycles can be repeated as many times as necessary to deposit a carbon film of the desired thickness. For example, carbon films having thicknesses of 5 to 1,000 Å are deposited in some embodiments.

탄소 증착 방법의 일 실시예는 도 2a에 도시된 프로세스 흐름도에 의해 예시된다. 프로세스는 반도체 기판 상에 알루미늄-함유 반응물질을 흡착시킴으로써 201에서 시작된다. 알루미늄-함유 전구체, 예컨대 트리알킬알루미늄은 캐리어 가스와 함께 프로세스 챔버로 흐를 수 있고 기판의 표면에 흡착하도록 허용될 수 있다. 알루미늄-함유 반응물질의 흡착-제한된 층이 형성되도록 이 단계에 대한 프로세스 조건들이 선택된다. 다음에, 동작 203에서, 흡착되지 않은 알루미늄-함유 반응물질이 프로세싱 챔버를 퍼지 및/또는 배기함으로써 프로세싱 챔버로부터 제거된다. 예를 들어 프로세싱 챔버는 불활성 가스, 예컨대 N2, He, Ar, Ne, 등을 사용하여 퍼지될 수도 있다. 이 단계 후, 프로세싱 챔버의 대부분에 알루미늄-함유 전구체가 없고, 모든 후속 반응들이 기판 상에 흡착된 알루미늄-함유 반응물질의 양에 의해 제한된다. 다음에, 동작 205에서, 탄소-함유 반응물질이 프로세싱 챔버 내로 도입되고, 반도체 기판의 표면 상에 탄소 층을 형성하기 위해 흡착된 알루미늄-함유 반응물질과 반응한다. 일부 실시예들에서 반응이 탄소-함유 반응물질이 도입된 후 반응이 자발적으로 발생한다. One embodiment of a carbon deposition method is illustrated by the process flow diagram shown in FIG. 2A. The process begins at 201 by adsorbing an aluminum-containing reactant on a semiconductor substrate. An aluminum-containing precursor, such as trialkylaluminum, may flow into the process chamber along with the carrier gas and may be allowed to adsorb to the surface of the substrate. The process conditions for this step are selected so that an adsorption-limited layer of the aluminum-containing reactant is formed. Next, in operation 203, the unadsorbed aluminum-containing reactant is removed from the processing chamber by purging and/or evacuating the processing chamber. For example, the processing chamber may be purged using an inert gas such as N 2 , He, Ar, Ne, or the like. After this step, there is no aluminum-containing precursor in most of the processing chamber and all subsequent reactions are limited by the amount of aluminum-containing reactant adsorbed on the substrate. Next, in operation 205, the carbon-containing reactant is introduced into the processing chamber and reacts with the adsorbed aluminum-containing reactant to form a carbon layer on the surface of the semiconductor substrate. In some embodiments, the reaction occurs spontaneously after the carbon-containing reactant is introduced.

다음에, 동작 207에서, 알루미늄-함유 부산물이 프로세싱 챔버로부터 제거된다. 일부 실시예들에서 부산물이 탄소 형성과 동시에 제거되기 때문에 이 단계는 선택가능하다. 부산물이 탄소 형성 반응과 동시에 제거되지 않을 때, 별도의 단계, 예를 들어, 가열에 의해 제거될 수 있다. Next, in operation 207, the aluminum-containing by-product is removed from the processing chamber. This step is optional because in some embodiments the by-product is removed simultaneously with carbon formation. When the by-product is not removed simultaneously with the carbon formation reaction, it can be removed by a separate step, for example heating.

다음에, 동작 209에서, 탄소의 증착 (단계 201 내지 단계 207) 은 미리 결정된 두께의 탄소 층을 형성하기 위해 필요한만큼 다수 회 반복된다. 예를 들어, 일부 실시예들에서, 사이클 각각이 동작 201 내지 동작 205를 포함하는, 적어도 5 또는 적어도 10 사이클들이 수행된다. 프로세싱 동안 온도 및 압력은 기판 상의 일 반응물질 또는 두 반응물질들의 흡착-제한된 층들의 형성을 허용하도록 제어된다. 일부 실시예들에서 전체 증착 시퀀스 동안 온도는 약 400 ℃ 미만으로 유지되고, 압력은 아대기압 (subatmospheric) 레벨들로 유지된다. 기술된 반응물질들을 사용한 탄소 막들의 증착은 플라즈마의 부재시 수행될 수 있다. 일부 실시예들에서, 예를 들어, 증착 후 증착된 탄소 층의 품질을 개선하기 위해 그리고/또는 기판의 표면 상에서 하나 이상의 반응물질들을 활성화하기 위해 플라즈마 처리가 사용될 수도 있다.Next, in operation 209, the deposition of carbon (steps 201 to 207) is repeated as many times as necessary to form a carbon layer of a predetermined thickness. For example, in some embodiments, at least 5 or at least 10 cycles are performed, each of which includes operations 201 through 205. During processing the temperature and pressure are controlled to allow the formation of adsorption-limited layers of one or two reactants on the substrate. In some embodiments the temperature is maintained below about 400° C. during the entire deposition sequence, and the pressure is maintained at subatmospheric levels. The deposition of carbon films using the described reactants can be carried out in the absence of plasma. In some embodiments, plasma treatment may be used, for example, to improve the quality of the deposited carbon layer after deposition and/or to activate one or more reactants on the surface of the substrate.

도 2b는 플라즈마-활성화된 반응을 사용하여 탄소 층을 형성하는 방법을 위한 프로세스 흐름도를 제공한다. 도 2b를 참조하면, 프로세스는 기판 상에 알루미늄-함유 반응물질 층을 형성함으로써 211에서 시작된다. 예를 들어, 알루미늄-함유 반응물질의 흡착-제한된 층은 기판 상에 형성될 수 있다. 다음에, 동작 213에서, 프로세싱 챔버는 프로세싱 챔버로부터 알루미늄-함유 반응물질을 제거하기 위해 퍼지 및/또는 배기된다. 예를 들어, 불활성 가스가 흡착되지 않은 알루미늄-함유 반응물질을 제거하기 위한 퍼지 가스로서 사용될 수 있다. 다음에, 동작 215에서, 탄소-함유 재료 층이 기판 상에 형성된다. 예를 들어, 탄소-함유 재료는 프로세싱 챔버로 도입될 수도 있고 기판 상에 흡착 제한된 층을 형성하도록 허용될 수도 있다. 동작 217에서, 프로세싱 챔버는 프로세싱 챔버로부터 탄소-함유 재료를 제거하기 위해 퍼지 및/또는 배기된다. 이 동작 후, 기판의 표면 상에 알루미늄-함유 재료 층 및 탄소-함유 재료 층이 있다. 다음에, 동작 219에서, 기판은 기판 상에서 알루미늄-함유 반응물질과 탄소-함유 반응물질 간 반응을 활성화하고 탄소 층을 형성하도록 플라즈마로 처리된다. 일부 실시예들에서 플라즈마는 헬륨 (He), 아르곤 (Ar), 수소 (H2), 질소 (N2), 또는 이들 가스들의 임의의 혼합물로 형성된다. 반응 부산물이 플라즈마 처리와 동시에, 또는 후속 단계에서 제거될 수도 있다. 플라즈마 처리 후, 프로세싱 챔버는 퍼지 및/또는 배기될 수 있고, 단계 211 내지 단계 219의 프로세싱 시퀀스는 동작 221에서 미리 결정된 두께를 갖는 탄소 층이 증착될 때까지 필요한만큼 다수 회 반복될 수도 있다. 일 예시적인 실시예에서, 알루미늄-함유 반응물질은 트리알킬알루미늄 (예를 들어, 트리메틸알루미늄 또는 트리에틸알루미늄) 이고 탄소-함유 반응물질은 CF4이다.2B provides a process flow diagram for a method of forming a carbon layer using a plasma-activated reaction. Referring to FIG. 2B, the process begins at 211 by forming a layer of aluminum-containing reactant on the substrate. For example, an adsorption-limited layer of aluminum-containing reactants can be formed on the substrate. Next, in operation 213, the processing chamber is purged and/or evacuated to remove the aluminum-containing reactant from the processing chamber. For example, an inert gas can be used as a purge gas for removing unadsorbed aluminum-containing reactants. Next, in operation 215, a layer of carbon-containing material is formed on the substrate. For example, a carbon-containing material may be introduced into the processing chamber and may be allowed to form an adsorption restricted layer on the substrate. In operation 217, the processing chamber is purged and/or evacuated to remove the carbon-containing material from the processing chamber. After this operation, there are a layer of an aluminum-containing material and a layer of a carbon-containing material on the surface of the substrate. Next, in operation 219, the substrate is treated with plasma to activate the reaction between the aluminum-containing reactant and the carbon-containing reactant on the substrate and form a carbon layer. In some embodiments the plasma is formed of helium (He), argon (Ar), hydrogen (H 2 ), nitrogen (N 2 ), or any mixture of these gases. The reaction by-products may be removed simultaneously with the plasma treatment or in a subsequent step. After plasma treatment, the processing chamber may be purged and/or evacuated, and the processing sequence of steps 211 to 219 may be repeated as many times as necessary until a carbon layer having a predetermined thickness is deposited in operation 221. In an exemplary embodiment, the aluminum-containing reactant is trialkylaluminum (eg, trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF 4 .

본 명세서에 제공된 방법들에 의해 증착된 탄소 막들은 반도체 디바이스 제조의 다양한 적용예들에서 사용될 수 있다. 이들은 3D 피처들 (예를 들어, 돌출하는 피처들 또는 리세스된 피처들) 을 갖는 기판들 상에 막들의 컨포멀한 증착이 목표되면 특히 유리하다. 일부 실시예들에서 탄소 막들은 패터닝 적용예들에서 스페이서들로서 사용된다. 탄소 스페이서 형성의 예가 프로세싱의 상이한 스테이지들 동안 반도체 기판의 개략적인 단면도들이 예시되는, 도 3a 내지 도 3f에 제공된다. 도 4는 탄소 맨드럴들 (mandrels) 의 형성을 수반하는 반도체 프로세싱 방법을 위한 예시적인 프로세스 흐름도를 제공한다.Carbon films deposited by the methods provided herein can be used in various applications in semiconductor device manufacturing. These are particularly advantageous if conformal deposition of films on substrates with 3D features (eg, protruding features or recessed features) is desired. In some embodiments carbon films are used as spacers in patterning applications. An example of carbon spacer formation is provided in FIGS. 3A-3F, in which schematic cross-sectional views of a semiconductor substrate are illustrated during different stages of processing. 4 provides an exemplary process flow diagram for a semiconductor processing method involving the formation of carbon mandrels.

도 4를 참조하면, 도시된 프로세스는 또한 맨드럴들로 지칭되는, 복수의 돌출하는 피처들을 갖는 기판을 제공함으로써 401에서 시작된다. 예시적인 기판이 ESL (etch stop 층) (303) 상에 놓이는 (reside) 2 개의 맨드럴들 (301) 을 도시하는, 도 3a에 도시된다. 이웃하는 맨드럴들 사이의 거리 d1은 일부 실시예들에서, 약 10 내지 100 ㎚이다. 일부 실시예들에서 약 40 내지 100 ㎚의 상대적으로 보다 큰 거리들이 사용된다. 다른 적용예들에서, 가장 가까운 맨드럴들 사이의 거리는 약 10 내지 30 ㎚이다. 또한 피치로 지칭되는, 가장 가까운 맨드럴들 사이의 거리 d2는 일부 실시예들에서, 약 30 내지 130 ㎚이다. 일부 실시예들에서, 피치는 약 80 내지 130 ㎚이다. 다른 실시예들에서, 피치는 약 30 내지 40 ㎚이다. 맨드럴들의 높이 d3은 통상적으로 약 20 내지 200 ㎚, 예컨대 약 50 내지 100 ㎚이다.Referring to Figure 4, the illustrated process begins at 401 by providing a substrate with a plurality of protruding features, also referred to as mandrels. An exemplary substrate is shown in FIG. 3A, showing two mandrels 301 residing on an etch stop layer (ESL) 303. The distance d1 between neighboring mandrels is about 10-100 nm in some embodiments. Relatively larger distances of about 40-100 nm are used in some embodiments. In other applications, the distance between the closest mandrels is about 10 to 30 nm. The distance d2 between the closest mandrels, also referred to as the pitch, is in some embodiments about 30-130 nm. In some embodiments, the pitch is about 80-130 nm. In other embodiments, the pitch is about 30-40 nm. The height d3 of the mandrels is typically about 20 to 200 nm, such as about 50 to 100 nm.

맨드럴들의 재료들 및 ESL의 재료들은 후속하는 노출된 탄소의 존재시 맨드럴 재료의 선택적인 에칭, 및 노출된 탄소의 존재시 ESL 재료의 선택적인 에칭을 허용하도록 선택된다. 따라서, 탄소의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 약 1.5보다 크고, 예컨대 제 1 에칭 화학물질에 대해 약 2보다 크다. 일부 실시예들에서, ESL 재료는 실리콘-함유 재료 (예를 들어, 실리콘 나이트라이드와 같은 실리콘-함유 화합물) 이고, 제 1 에칭 화학물질은 불소-기반 플라즈마 에칭 (예를 들어, 플루오로카본을 포함하는 가스로 형성된 플라즈마) 이다. 일부 실시예들에서, ESL 재료는 금속 옥사이드 또는 금속 나이트라이드이고, 제 1 에칭 화학물질은 할로겐-기반 플라즈마 에칭 (예를 들어, 할로겐을 포함하는 프로세스 가스로 형성된 플라즈마) 이다. 유사하게, 탄소의 에칭 레이트에 대한 맨드럴 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 약 1.5보다 크고, 예컨대 제 2 에칭 화학물질에 대해 약 2보다 크다. 일부 실시예들에서, 맨드럴 재료는 실리콘-함유 재료 (예를 들어, 실리콘-함유 화합물) 이고, 제 1 에칭 화학물질은 불소-기반 플라즈마 에칭 (예를 들어, 플루오로카본을 포함하는 가스로 형성된 플라즈마) 이다. 일부 실시예들에서, 맨드럴 재료는 금속 옥사이드 또는 금속 나이트라이드이고, 제 1 에칭 화학물질은 할로겐-기반 플라즈마 에칭 (예를 들어, 할로겐을 포함하는 프로세스 가스로 형성된 플라즈마) 이다.The materials of the mandrel and the materials of the ESL are selected to allow for the subsequent selective etching of the mandrel material in the presence of exposed carbon, and the selective etching of the ESL material in the presence of exposed carbon. Thus, the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for the first etch chemistry. In some embodiments, the ESL material is a silicon-containing material (e.g., a silicon-containing compound such as silicon nitride) and the first etching chemistry is a fluorine-based plasma etching (e.g., fluorocarbon). It is a plasma formed of a gas containing). In some embodiments, the ESL material is a metal oxide or metal nitride, and the first etch chemistry is a halogen-based plasma etch (eg, a plasma formed from a process gas comprising halogen). Similarly, the ratio of the etch rate of the mandrel material to the etch rate of carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for the second etch chemistry. In some embodiments, the mandrel material is a silicon-containing material (e.g., a silicon-containing compound), and the first etch chemistry is a fluorine-based plasma etching (e.g., with a gas comprising fluorocarbon). Formed plasma). In some embodiments, the mandrel material is a metal oxide or metal nitride, and the first etch chemistry is a halogen-based plasma etch (eg, a plasma formed with a process gas comprising halogen).

일부 실시예들에서 ESL 재료는 실리콘-함유 화합물 (예를 들어, SiO2), 또는 금속 옥사이드 (예를 들어, 티타늄 옥사이드, 지르코늄 옥사이드, 텅스텐 옥사이드) 이다. 맨드럴 재료는 실리콘-함유 화합물 (예를 들어, SiO2, SiN, 또는 SiC), (도핑되거나 도핑되지 않은) 비정질 실리콘 또는 금속 옥사이드 (TaO, TiO, WO, ZrO, HfO) 를 포함할 수도 있다. 일부 실시예들에서 맨드럴의 외측 재료는 맨드럴 코어와 상이할 수도 있다. 예를 들어, 일부 실시예들에서 맨드럴은 비정질 실리콘으로 이루어지고 실리콘 옥사이드로 (예를 들어, 자발적으로 형성된 열적 옥사이드 층으로) 커버된다. ESL 층 및 맨드럴들은 PVD (physical vapor deposition), CVD (chemical vapor deposition), ALD (플라즈마를 사용하지 않고 또는 PEALD에 의해) 또는 PECVD (plasma enhanced chemical vapor deposition) 중 하나 이상에 의해 형성될 수 있고, 맨드럴들의 패턴은 포토리소그래픽 기법들을 사용하여 규정될 수 있다. 적합한 ESL/맨드럴 조합의 예들은: (i) 실리콘 옥사이드 ESL 및 실리콘 옥사이드 커버된 실리콘 맨드럴; (ii) 실리콘 옥사이드 ESL 및 금속 옥사이드 맨드럴; 및 (iii) 금속 옥사이드 ESL 및 실리콘 옥사이드 커버된 실리콘 맨드럴을 포함한다.In some embodiments the ESL material is a silicon-containing compound (eg, SiO 2 ), or a metal oxide (eg, titanium oxide, zirconium oxide, tungsten oxide). The mandrel material may include a silicon-containing compound (e.g., SiO 2 , SiN, or SiC), amorphous silicon (doped or undoped) or a metal oxide (TaO, TiO, WO, ZrO, HfO). . In some embodiments, the outer material of the mandrel may be different from the mandrel core. For example, in some embodiments the mandrel is made of amorphous silicon and covered with silicon oxide (eg, with a spontaneously formed thermal oxide layer). The ESL layer and mandrel may be formed by one or more of physical vapor deposition (PVD), chemical vapor deposition (CVD), ALD (without using plasma or by PEALD), or plasma enhanced chemical vapor deposition (PECVD), and , The pattern of mandrels can be defined using photolithographic techniques. Examples of suitable ESL/mandrel combinations include: (i) silicon oxide ESL and silicon oxide covered silicon mandrel; (ii) silicon oxide ESL and metal oxide mandrel; And (iii) a metal oxide ESL and a silicon oxide covered silicon mandrel.

도 3a에 도시된 기판을 다시 참조하면, ESL 층 (303) 은 타깃 층 (305) 과 콘택트하여 위에 놓인다. 타깃 층 (305) 은 패터닝되어야 하는 층이다. 타깃 층 (305) 은 반도체, 유전체 또는 다른 층일 수도 있고 예를 들어 실리콘 (Si), 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (SiN), 또는 티타늄 나이트라이드 (TiN) 로 이루어질 수도 있다. 일부 실시예들에서 타깃 층은 하드마스크 층으로 지칭되고 금속 나이트라이드, 예컨대 티타늄 나이트라이드를 포함한다. 타깃 층 (305) 은 ALD (플라즈마를 사용하지 않고 또는 PEALD에 의해), CVD, 또는 다른 적합한 증착 기법에 의해 증착될 수도 있다.Referring back to the substrate shown in FIG. 3A, the ESL layer 303 is overlying in contact with the target layer 305. The target layer 305 is a layer to be patterned. The target layer 305 may be a semiconductor, dielectric or other layer and may be made of, for example, silicon (Si), silicon oxide (SiO 2 ), silicon nitride (SiN), or titanium nitride (TiN). In some embodiments the target layer is referred to as a hardmask layer and includes a metal nitride, such as titanium nitride. The target layer 305 may be deposited by ALD (without using plasma or by PEALD), CVD, or other suitable deposition technique.

타깃 층 (305) 은 유전체 재료의 층 내에 임베딩된 복수의 금속 라인들을 포함하는, 일부 실시예들에서 BEOL 층인, 층 (307) 과 콘택트하고 위에 놓인다. Target layer 305 is in contact with and overlies layer 307, which in some embodiments is a BEOL layer, comprising a plurality of metal lines embedded within a layer of dielectric material.

도 4를 참조하면, 기판의 프로세싱은 돌출하는 피처들의 수평 표면들 및 측벽들 모두 위에 탄소 층을 증착함으로써 403으로 이어진다. 탄소 층은, 바람직하게, 본 명세서에 제공된 ALD 방법들을 사용하여 컨포멀하게 증착된다. 도 3b에 도시된 구조체를 참조하면, 탄소 층 (309) 이 ESL (303) 위에, 그리고 맨드럴들의 측벽들을 포함하는 맨드럴들 (301) 위에 증착된다. 예시된 실시예에서, 탄소 층은 본 명세서에서 개발된 ALD 방법들에 의해 증착된다. 일부 실시예들에서 탄소 층은 약 5 내지 30 ㎚, 예컨대 약 10 내지 20 ㎚의 두께로 컨포멀하게 증착된다. Referring to Figure 4, processing of the substrate continues at 403 by depositing a carbon layer over both the horizontal surfaces and sidewalls of the protruding features. The carbon layer is preferably conformally deposited using the ALD methods provided herein. Referring to the structure shown in FIG. 3B, a carbon layer 309 is deposited over the ESL 303 and over the mandrels 301 including the sidewalls of the mandrels. In the illustrated embodiment, the carbon layer is deposited by the ALD methods developed herein. In some embodiments the carbon layer is conformally deposited to a thickness of about 5 to 30 nm, such as about 10 to 20 nm.

탄소 층이 컨포멀하게 증착된 후, 프로세스는 돌출하는 피처들의 측벽들로부터 탄소 층을 완전히 제거하지 않고, 수평 표면들로부터 탄소 층을 완전히 제거함으로써 405로 이어진다. 이 에칭은 산소-기반 플라즈마 에칭을 사용하여 (예를 들어, 산소를 포함하는 가스로 형성된 플라즈마를 사용하여) 수행될 수 있다. 다른 실시예들에서, (예를 들어, 수소를 포함하는 프로세스 가스로 형성된 플라즈마를 사용하는) 수소-기반 에칭이 사용될 수도 있다. 맨드럴들이 외측 층으로서 실리콘-함유 화합물들 또는 금속 옥사이드들을 가지면, 수소-기반 또는 산소-기반 에칭이 사용될 수 있다. 이 단계에서 활용된 에칭 화학물질은 바람직하게 두 ESL 재료에 그리고 맨드럴의 외측 층의 재료에 선택적이어야 하고, 즉, 이 에칭 화학물질에 대한 탄소의 에칭 레이트는 외측 맨드럴 재료의 에칭 레이트보다 크고 ESL 재료의 에칭 레이트보다 커야 한다. 수평 표면들로부터 탄소 층의 제거는 도 3c에 의해 예시된다. 탄소 층 (309) 은 맨드럴들 (301) 의 측벽들에 접착하는 위치들로부터 완전히 에칭되지 않고, ESL (303) 위 그리고 맨드럴들 (301) 위에 수평 표면들로부터 에칭된다. 이 에칭은 맨드럴들 (301) 의 측벽들 근방의 위치들을 제외하고 어디서나 층 (303) 을 노출한다. 또한, 이 에칭은 맨드럴들의 상단 부분들을 노출한다. 발생되는 구조체가 도 3c에 도시된다. 바람직하게 이 에칭 후 측벽에서 탄소 층의 최초 높이의 적어도 50%, 예컨대 적어도 80% 또는 적어도 90%가 보존된다. 일 예에서 탄소는 맨드럴 (SiO2) 의 외측 재료가 노출되도록, 수소-기반 에칭 (예를 들어, H2 플라즈마 에칭) 에 의해 실리콘 옥사이드 커버된 맨드럴로부터 선택적으로 에칭된다. 수소-기반 에칭은 SiO2에 선택적이다. 또 다른 예에서 탄소는 맨드럴 재료 (금속 옥사이드) 가 노출되도록, 수소-기반 에칭 (예를 들어, H2 플라즈마 에칭) 또는 산소-기반 에칭 (예를 들어, O2 플라즈마 에칭) 에 의해 금속 옥사이드 (예를 들어, 티타늄 옥사이드) 맨드럴로부터 선택적으로 에칭된다. 이들 에칭 화학물질들은 티타늄 옥사이드와 같은, 휘발성 하이드라이드들을 형성하지 않는, 금속들의 옥사이드들에 선택적이다.After the carbon layer has been conformally deposited, the process continues to 405 by completely removing the carbon layer from the horizontal surfaces without completely removing the carbon layer from the sidewalls of the protruding features. This etching can be performed using oxygen-based plasma etching (eg, using a plasma formed from a gas comprising oxygen). In other embodiments, a hydrogen-based etching (eg, using a plasma formed with a process gas comprising hydrogen) may be used. If the mandrels have silicon-containing compounds or metal oxides as the outer layer, then hydrogen-based or oxygen-based etching can be used. The etch chemistry utilized in this step should preferably be selective for both ESL materials and for the material of the outer layer of the mandrel, i.e. the etch rate of carbon for this etch chemistry is greater than the etch rate of the outer mandrel material. Should be greater than the etch rate of the ESL material. The removal of the carbon layer from horizontal surfaces is illustrated by FIG. 3C. The carbon layer 309 is not completely etched from the locations adhering to the sidewalls of the mandrels 301, but from horizontal surfaces over the ESL 303 and over the mandrels 301. This etch exposes layer 303 anywhere except locations near the sidewalls of mandrels 301. Also, this etch exposes the upper portions of the mandrels. The resulting structure is shown in Fig. 3C. Preferably at least 50%, such as at least 80% or at least 90% of the initial height of the carbon layer in the sidewall is preserved after this etching. In one example carbon is selectively etched from the silicon oxide covered mandrel by hydrogen-based etching (eg, H 2 plasma etching) such that the outer material of the mandrel (SiO 2 ) is exposed. Hydrogen-based etching is selective for SiO 2 . In another example carbon is a metal oxide by hydrogen-based etching (e.g., H 2 plasma etching) or oxygen-based etching (e.g., O 2 plasma etching) so that the mandrel material (metal oxide) is exposed. It is selectively etched from the mandrel (eg titanium oxide). These etch chemistries are selective for oxides of metals that do not form volatile hydrides, such as titanium oxide.

다음 단계 407은 돌출하는 피처들의 측벽들에 놓이는 탄소 층을 완전히 제거하지 않고 돌출하는 피처들을 완전히 제거하여, 탄소 스페이서들을 형성하는 것을 수반한다. 도 3d에 도시된 바와 같이, 맨드럴들 (301) 은 노출된 탄소 스페이서들 (301) 및 노출된 층 ESL (303) 을 남기면서 기판으로부터 제거된다. 맨드럴들의 제거는 맨드럴 재료를 선택적으로 에칭하는 에칭 화학물질에 기판을 노출함으로써 수행된다. 따라서, 이 단계에서 탄소의 에칭 레이트에 대해 맨드럴 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 1.5보다 크다. 또한, 이 단계에서 사용된 에칭 화학물질은, 일부 실시예들에서, ESL 재료에 대해 맨드럴 재료를 선택적으로 에칭해야 한다. 다양한 에칭 방법들이 사용될 수 있고, 화학물질의 특정한 선택은 맨드럴의 재료 및 ESL 층의 재료에 종속된다. 맨드럴이 실리콘 옥사이드로 커버된 비정질 실리콘으로 이루어지면, 불소-기반 화학물질 (예를 들어, NF3) 은 실리콘 맨드럴들 (301) 을 이를 커버하는 SiO2 층과 함께 제거하도록 사용될 수도 있다. 이 화학물질은 탄소에 선택적이다. A next step 407 entails completely removing the protruding features without completely removing the carbon layer overlying the sidewalls of the protruding features, thereby forming carbon spacers. As shown in FIG. 3D, mandrels 301 are removed from the substrate leaving exposed carbon spacers 301 and exposed layer ESL 303. Removal of mandrels is accomplished by exposing the substrate to an etching chemical that selectively etchs the mandrel material. Therefore, the ratio of the etching rate of the mandrel material to the etching rate of carbon in this step is greater than 1, and more preferably greater than 1.5. Also, the etch chemistry used in this step must, in some embodiments, selectively etch the mandrel material relative to the ESL material. Various etching methods can be used, and the particular choice of chemistry depends on the material of the mandrel and the material of the ESL layer. If the mandrel is made of amorphous silicon covered with silicon oxide, a fluorine-based chemical (eg, NF 3 ) may be used to remove the silicon mandrels 301 along with the SiO 2 layer covering it. This chemical is selective for carbon.

맨드럴이 금속 옥사이드 (예를 들어, 티타늄 옥사이드, 텅스텐 옥사이드, 지르코늄 옥사이드, 하프늄 옥사이드, 탄탈룸 옥사이드) 일 때, 기판은 탄소에 대해 맨드럴을 선택적으로 제거하기 위해 염소-기반 에칭 화학물질 (예를 들어, 플라즈마의 BCl3/Cl2) 로 처리될 수도 있다. 이 화학물질은 실리콘-함유 화합물들 (예를 들어, SiO2, SiN, SiC) 을 함유하는 ESL의 존재시 사용될 수 있다.When the mandrel is a metal oxide (e.g., titanium oxide, tungsten oxide, zirconium oxide, hafnium oxide, tantalum oxide), the substrate is chlorine-based etching chemicals (e.g. For example, the plasma may be treated with BCl 3 /Cl 2 ). This chemical can be used in the presence of an ESL containing silicon-containing compounds (eg SiO 2 , SiN, SiC).

다음에, 노출된 ESL 막 (303) 은 탄소 스페이서들 (309) 에 의해 보호되지 않는 모든 위치들에서 아래에 놓인 타깃 층 (305) 을 노출하도록 에칭된다. 발생된 구조체는 도 3e에 도시된다. 이 단계에서 사용되는 에칭 화학물질은 탄소의 존재시 ESL 재료를 선택적으로 에칭한다. 달리 말하면, 탄소의 에칭 레이트에 대한 ESL 재료의 에칭 레이트의 비는 1보다 크고, 보다 바람직하게 1.5보다 크다. 이 단계에서 사용된 화학물질의 특정한 타입은 ESL 재료의 타입에 종속될 것이다. 실리콘-함유 화합물들 (예를 들어, 실리콘 옥사이드 및 실리콘 옥사이드 기반 재료들) 이 사용될 때, 선택적인 에칭이 플루오로카본을 포함하는 프로세스 가스로 형성된 플라즈마에 기판을 노출함으로써 달성될 수 있다. 예를 들어, ESL 막은 CF4, C2F6, 및 C3F8 중 하나 이상을 포함하는 프로세스 가스로 형성된 플라즈마에 의해 에칭될 수 있다. ESL이 금속 옥사이드 층 (예를 들어, 티타늄 옥사이드, 텅스텐 옥사이드, 또는 지르코늄 옥사이드) 일 때, 염소-기반 에칭 화학물질 (예를 들어, 플라즈마의 BCl3/Cl2) 을 사용하여 탄소의 존재시 선택적으로 에칭될 수 있다.Next, The exposed ESL film 303 is etched to expose the underlying target layer 305 at all locations not protected by the carbon spacers 309. The resulting structure is shown in Fig. 3E. The etching chemistry used in this step selectively etch the ESL material in the presence of carbon. In other words, the ratio of the etch rate of the ESL material to the etch rate of carbon is greater than 1, and more preferably greater than 1.5. The specific type of chemical used in this step will depend on the type of ESL material. When silicon-containing compounds (eg, silicon oxide and silicon oxide based materials) are used, selective etching can be achieved by exposing the substrate to a plasma formed with a process gas comprising fluorocarbon. For example, the ESL film may be etched by a plasma formed from a process gas containing at least one of CF 4 , C 2 F 6 , and C 3 F 8 . When the ESL is a metal oxide layer (e.g., titanium oxide, tungsten oxide, or zirconium oxide), a chlorine-based etching chemistry (e.g., BCl 3 /Cl 2 in plasma) is used to selectively in the presence of carbon. Can be etched.

다음 단계에서, 타깃 층 (305) 은 하부 층 (307) 을 노출하기 위해, ESL 막 (303) 에 의해 보호되지 않는 모든 위치들에서 에칭된다. 탄소 스페이서들 (309) 은 또한 도 3f에 도시된 패터닝된 구조체를 제공하는 이 에칭 단계에서 제거된다. 일부 실시예들에서, 이 단계에서 사용된 에칭 화학물질은 타깃 재료 및 탄소 스페이서 재료 모두를 제거하도록 선택된다. 다른 실시예들에서, 상이한 화학물질들을 사용하는 두 상이한 에칭 단계들은 각각 타깃 층 (305) 을 패터닝하고 탄소 스페이서들 (309) 을 제거하도록 사용될 수 있다. 타깃 층의 화학물질에 따라 다수의 에칭 화학물질들이 사용될 수 있다. 일 실시예에서 타깃 층 (305) 은 금속 나이트라이드 층 (예를 들어, TiN) 층이다. 이 실시예에서 금속 나이트라이드 층은 Cl2 및 하이드로카본 (예를 들어, CH4) 을 포함하는 프로세스 가스로 형성된 플라즈마에 기판을 노출함으로써 에칭될 수도 있고, 산소-기반 플라즈마 에칭 화학물질 또는 수소-기반 플라즈마 에칭 화학물질을 사용한 탄소 스페이서 제거가 이어진다. In the next step, The target layer 305 is etched at all locations not protected by the ESL film 303 to expose the lower layer 307. Carbon spacers 309 are also removed in this etch step to provide the patterned structure shown in FIG. 3F. In some embodiments, the etch chemistry used in this step is selected to remove both the target material and the carbon spacer material. In other embodiments, two different etching steps using different chemistries may be used to pattern the target layer 305 and remove the carbon spacers 309 respectively. Depending on the chemistry of the target layer, multiple etch chemistries can be used. In one embodiment the target layer 305 is a metal nitride layer (eg, TiN) layer. In this embodiment, the metal nitride layer may be etched by exposing the substrate to a plasma formed with a process gas comprising Cl 2 and hydrocarbon (e.g., CH 4 ), or by oxygen-based plasma etching chemicals or hydrogen- Carbon spacer removal is followed by using a plasma-based etch chemistry.

일부 실시예들에서 제공된 탄소 증착 방법들은 갭충진 적용예들에서 사용된다. 갭충진시 하나 이상의 리세스된 피처들을 포함하는 기판이 프로세싱 챔버에 제공되고, 탄소는 리세스된 피처들의 하단 부분들 및 측벽들 모두를 커버하도록 제공된 방법들을 사용하여 증착된다. 증착 사이클들은 탄소로 리세스된 피처를 충진하기 위해 필요한만큼 다수 회 수행된다. 증착의 매우 컨포멀한 본질로 인해, 일부 실시예들에서 심리스 (seamless) 갭충진이 달성될 수 있다. 제공된 방법들은 고 종횡비 피처들에 탄소를 증착하는데 특히 유용하다. 일부 실시예들에서 리세스된 피처들의 종횡비는 적어도 5:1, 예컨대 적어도 10:1이다. The carbon deposition methods provided in some embodiments are used in gapfill applications. Upon gapfilling, a substrate including one or more recessed features is provided to the processing chamber, and carbon is deposited using methods provided to cover both the bottom portions and sidewalls of the recessed features. Deposition cycles are performed as many times as necessary to fill the recessed feature with carbon. Due to the very conformal nature of the deposition, seamless gap fill may be achieved in some embodiments. The provided methods are particularly useful for depositing carbon on high aspect ratio features. In some embodiments the aspect ratio of the recessed features is at least 5:1, such as at least 10:1.

일 예에서, 3D NAND 제조 프로세스들에서 갭충진을 위해 탄소가 사용된다. 일 구현예에서, 적어도 하나의 리세스된 피처를 갖는 부분적으로 제조된 3D NAND 구조체가 프로세스 챔버로 제공되고, 본 명세서에 제공된 방법들을 사용하여 리세스된 피처를 충진하기 위해 탄소가 적어도 하나의 리세스된 피처 내로 증착된다. 이 적용예의 예시가 부분적으로 제조된 3D NAND 구조체의 개략적인 단면도를 예시하는, 도 5 및 도 6에 제공된다. In one example, carbon is used for gap filling in 3D NAND manufacturing processes. In one embodiment, a partially fabricated 3D NAND structure with at least one recessed feature is provided to the process chamber and carbon is at least one recessed to fill the recessed feature using the methods provided herein. It is deposited into the recessed feature. An example of this application is provided in FIGS. 5 and 6, illustrating schematic cross-sectional views of a partially fabricated 3D NAND structure.

도 5는 기판 (1100) 위에 계단형 패턴으로 증착된 복수의 교번하는 층들 (1111 및 1140) 을 갖는 예시적인 기판 (1100) 을 도시한다. 일부 실시예들에서 층들 (1111) 은 유전체 층들 (예를 들어, 실리콘 옥사이드) 이고, 층들 (1140) 은 도전 층들 (예를 들어, 텅스텐 층들) 이다. 대안적으로, 층들 (1111 및 1140) 은 실리콘 옥사이드 층들 (1111) 및 실리콘 나이트라이드 층들 (1140) 과 같은 상이한 타입들의 유전체들일 수도 있다. 하드마스크 층 (1110) 이 상단 층 (1140) 상에 놓이고, 봉지 (encapsulation) 층 (1139) 은 교번하는 층들 (1111 및 1140) 의 계단형 패턴을 측방향으로 봉지한다. 복수의 비아들 (1137) 이 유전체 (1122) 에서 (예를 들어, 실리콘 옥사이드에서) 에칭되고, 예컨대 층 (1140) 의 재료가 비아들 (1137) 의 하단부들 (1139) 에서 노출된다. 비아들 (1137) 은 재료 (1140) 가 도 5에 도시되기 때문에 상이한 깊이들을 갖는다. 다음 단계에서, 탄소가 본 명세서에 제공된 증착 방법들을 사용하여 갭충진 동작에서 비아들 (1137) 내로 증착된다. 발생되는 구조체가 도 6에 도시되고, 탄소 층 (1173) 은 모든 비아들 (채널들) 을 충진하고, 탄소는 충진된 비아들이 하단부들에서 재료 (1140) 와 콘택트한다. 탄소가 채널들의 희생 재료로서 사용될 수 있고, 후속 제조 동안, 탄소는 예를 들어, 산소-기반 플라즈마 에칭 또는 수소-기반 플라즈마 에칭을 사용하여, 채널들로부터 제거될 수 있고 비아들은 도전성 재료로 충진될 수 있다.5 shows an exemplary substrate 1100 having a plurality of alternating layers 1111 and 1140 deposited in a stepped pattern over the substrate 1100. In some embodiments layers 1111 are dielectric layers (eg, silicon oxide) and layers 1140 are conductive layers (eg, tungsten layers). Alternatively, layers 1111 and 1140 may be different types of dielectrics, such as silicon oxide layers 1111 and silicon nitride layers 1140. A hardmask layer 1110 is placed on top layer 1140, and an encapsulation layer 1139 laterally encapsulates a stepped pattern of alternating layers 1111 and 1140. A plurality of vias 1137 are etched in the dielectric 1122 (eg, in silicon oxide), for example the material of the layer 1140 is exposed at the lower ends 1139 of the vias 1137. Vias 1137 have different depths since material 1140 is shown in FIG. 5. In the next step, carbon is deposited into vias 1137 in a gapfill operation using the deposition methods provided herein. The resulting structure is shown in FIG. 6, the carbon layer 1173 fills all vias (channels), and the carbon filled vias contact the material 1140 at the lower ends. Carbon can be used as the sacrificial material of the channels, and during subsequent fabrication, the carbon can be removed from the channels using, for example, oxygen-based plasma etching or hydrogen-based plasma etching and vias will be filled with a conductive material. I can.

장치Device

본 명세서에 기술된 탄소 증착 방법들이 다양한 장치들에서 수행될 수 있다. 적합한 장치는 반응물질들의 도입을 위한 하나 이상의 유입구들을 갖는 프로세싱 챔버; 증착 동안 제자리에 기판을 홀딩하도록 구성된 프로세스 챔버 내의 기판 홀더, 및 선택가능하게, 프로세스 가스로 플라즈마를 생성하기 위해 구성된 플라즈마 생성 메커니즘을 포함한다. 장치는 본 명세서에 기술된 임의의 방법 동작들을 유발하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함할 수도 있다. 적합한 장치의 예는 Lam Research Corporation로부터 입수가능한 Striker® 증착 장치이다.The carbon deposition methods described herein can be performed in a variety of devices. A suitable apparatus includes a processing chamber having one or more inlets for introduction of reactants; A substrate holder in the process chamber configured to hold the substrate in place during deposition, and optionally, a plasma generation mechanism configured to generate a plasma with the process gas. The apparatus may include a controller with program instructions for causing any of the method operations described herein. An example of a suitable apparatus is a deposition apparatus Striker ®, available from Lam Research Corporation.

예를 들어, 일부 실시예들에서, 장치는 (i) 프로세싱 챔버로 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들; (ii) 프로세싱 챔버로 탄소-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 탄소-함유 반응물질은 알루미늄-함유 반응물질과 상이한, 탄소-함유 반응물질을 프로세싱 챔버로 도입을 유발하기 위한 프로그램 인스트럭션들; (iii) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 하나 또는 모두가 반도체 기판의 표면 상에 흡착-제한된 층을 형성하는 조건들 하에서 반도체 기판의 표면으로 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나의 흡착을 유발하기 위한 프로그램 인스트럭션들; 및 (iv) 알루미늄-함유 반응물질 및 탄소-함유 반응물질 중 적어도 하나가 반도체 기판의 표면 상에 흡착-제한된 층을 형성한 후, 반도체 기판의 표면 상에 탄소 층을 형성하도록, 알루미늄-함유 반응물질과 탄소-함유 반응물질 간의 반응을 유발하기 위한 프로그램 인스트럭션들을 포함하는, 제어기를 포함한다. For example, in some embodiments, the apparatus includes (i) program instructions for causing the introduction of an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond. -Program instructions to trigger the introduction of the containing reactant; (ii) Program instructions for triggering the introduction of a carbon-containing reactant into the processing chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and the carbon-containing reactant has an aluminum-containing reactant and Program instructions to cause the introduction of a different, carbon-containing reactant into the processing chamber; (iii) an aluminum-containing reactant and a carbon-containing reaction to the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limited layer on the surface of the semiconductor substrate. Program instructions for causing adsorption of at least one of the substances; And (iv) at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate, and then forms a carbon layer on the surface of the semiconductor substrate. And a controller, including program instructions for causing a reaction between the substance and the carbon-containing reactant.

제공된 방법들을 사용하여 탄소를 증착하기 적합한 증착 장치의 일 예가 도 7에 도시된다. 도 7은 각각 플라즈마 강화될 수도 있는, 원자 층 증착 (ALD) 및/또는 화학적 기상 증착 (CVD) 을 사용하여 재료를 증착하도록 사용될 수도 있는, 프로세스 스테이션 (700) 의 일 실시예를 개략적으로 도시한다. 간결성을 위해, 프로세스 스테이션 (700) 은 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (702) 를 갖는 독립형 프로세스 스테이션으로 도시된다. 그러나, 복수의 프로세스 스테이션들 (700) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 인식될 것이다. 또한, 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다. An example of a deposition apparatus suitable for depositing carbon using the methods provided is shown in FIG. 7. 7 schematically depicts one embodiment of a process station 700, which may be used to deposit material using atomic layer deposition (ALD) and/or chemical vapor deposition (CVD), each of which may be plasma enhanced. . For the sake of brevity, the process station 700 is shown as a standalone process station with a process chamber body 702 to maintain a low pressure atmosphere. However, it will be appreciated that multiple process stations 700 may be included in a common process tool environment. Further, it will be appreciated that in some embodiments, one or more hardware parameters of process station 700, including those discussed in detail below, may be adjusted programmatically by one or more computer controllers.

프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (701) 과 유체로 연통한다. 반응물질 전달 시스템 (701) 은 샤워헤드 (706) 로 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (704) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (720) 이 혼합 용기 (704) 로 프로세스 가스들의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (705) 가 샤워헤드 (706) 로 프로세스 가스들의 도입을 제어할 수도 있다.Process station 700 is in fluid communication with reactant delivery system 701 to deliver process gases to dispensing showerhead 706. The reactant delivery system 701 includes a mixing vessel 704 for blending and/or conditioning process gases for delivery to the showerhead 706. One or more mixing vessel inlet valves 720 may control the introduction of process gases to mixing vessel 704. Similarly, showerhead inlet valve 705 may control the introduction of process gases to showerhead 706.

트리메틸알루미늄과 같은 일부 반응물질들은 프로세스 스테이션에서 기화 및 프로세스 스테이션으로 후속 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 7 의 실시예는 혼합 용기 (704) 로 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (703) 을 포함한다. 일부 실시예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응물질 증기는 다운스트림 전달 파이프에서 응축될 수도 있다. 응축된 반응물질로의 양립가능하지 않은 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근방법들은 잔류 반응물질을 제거하기 위해 전달 파이프를 스윕핑 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 상승시킬 수도 있어서, 프로세스 스테이션 쓰루풋을 열화시킨다. 따라서, 일부 실시예들에서, 기화 지점 (703) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 가 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (703) 의 파이프 다운스트림은 혼합 용기 (704) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다. Some reactants, such as trimethylaluminum, may also be stored in liquid form prior to vaporization at the process station and subsequent delivery to the process station. For example, the embodiment of FIG. 7 includes a vaporization point 703 for vaporizing a liquid reactant to be fed to the mixing vessel 704. In some embodiments, vaporization point 703 may be a heated vaporizer. The reactant vapors produced from these vaporizers may be condensed in the downstream delivery pipe. Exposure of incompatible gases to the condensed reactant may produce small particles. These small particles can clog pipes, interfere with valve operation, contaminate substrates, and so on. Some approaches to addressing these problems involve sweeping and/or evacuating the delivery pipe to remove residual reactants. However, sweeping the transfer pipe may increase the process station cycle time, deteriorating the process station throughput. Thus, in some embodiments, the transfer pipe downstream of the vaporization point 703 may be heat traced. In some examples, mixing vessel 704 may also be heat traced. In one non-limiting example, the pipe downstream of vaporization point 703 has a rising temperature profile extending from approximately 100° C. to approximately 150° C. in mixing vessel 704.

일부 실시예들에서, 반응물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 반응물질의 펄스들을 혼합 용기의 캐리어 가스 스트림 업스트림 내로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응물질을 기화할 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 바로 장착될 수도 있다.In some embodiments, the reactant liquid may be vaporized in a liquid injector. For example, pulses of liquid reactant may be injected into the carrier gas stream upstream of the mixing vessel. In one scenario, the liquid injector may vaporize the reactants by flashing the liquid from a higher pressure to a lower pressure. In another scenario, the liquid injector may atomize the liquid into dispersed microdroplets that later vaporize in a heated delivery pipe. It will be appreciated that smaller droplets may vaporize faster than larger droplets, reducing the delay between liquid injection and complete vaporization. Faster vaporization may reduce the pipe length downstream from vaporization point 703. In one scenario, the liquid injector may be mounted directly to the mixing vessel 704. In another scenario, the liquid injector may be mounted directly to the showerhead 706.

일부 실시예들에서, 기화 지점 (703) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 스테이션 (700) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다. In some embodiments, a liquid flow controller (LFC) upstream of the vaporization point 703 may be provided to control the bulk flow of liquid for vaporization and delivery to the process station 700. For example, the LFC may include a thermal mass flow meter (MFM) located downstream of the LFC. The LFC's plunger valve may then be adjusted in response to feedback control signals provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, it may take more than 1 second to stabilize the liquid flow using the feedback control. This may extend the time for dosing the liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between the feedback control mode and the direct control mode. In some embodiments, the LFC may be dynamically switched from the feedback control mode to the direct control mode by disabling the sense tube of the LFC and PID controller.

샤워헤드 (706) 는 기판 (712) 을 향해 프로세스 가스들을 분배한다. 도 7에 도시된 실시예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스 가스들을 기판 (712) 으로 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다. The showerhead 706 distributes process gases towards the substrate 712. In the embodiment shown in FIG. 7, the substrate 712 is shown positioned below the showerhead 706 and overlying the pedestal 708. It will be appreciated that the showerhead 706 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to the substrate 712.

일부 실시예들에서, 마이크로볼륨 (707) 이 샤워헤드 (706) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로보틱스들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등 한다. 예시적인 마이크로볼륨 사이즈들은, 이로 제한되는 것은 아니지만, 0.1 ℓ 내지 2 ℓ의 체적들을 포함한다. 이는 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 떨어지는 한편, 사이클 시간이 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 막의 미리 결정된 타깃 두께에 대해 모듈의 전체 쓰루풋을 개선하도록 충분히 극적이다. In some embodiments, a microvolume 707 is located under the showerhead 706. Performing the ALD and/or CVD process in a microvolume rather than the entire volume of the process station may reduce reactant exposure and sweeping times and change process conditions (e.g., pressure, temperature, etc.) May reduce the times for, limit the exposure of process station robotics to process gases, etc. Exemplary microvolume sizes include, but are not limited to, volumes of 0.1 L to 2 L. This microvolume also affects productivity throughput. While the deposition rate per cycle drops, the cycle time also decreases simultaneously. In certain cases, the latter effect is dramatic enough to improve the overall throughput of the module for a predetermined target thickness of the film.

일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 을 마이크로볼륨 (707) 에 노출하도록 그리고/또는 마이크로볼륨 (707) 의 체적을 가변하도록 상승 또는 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (708) 은 기판 (712) 으로 하여금 페데스탈 (708) 상으로 로딩되도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (708) 은 마이크로볼륨 (707) 내에 기판 (712) 을 포지셔닝하도록 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (707) 은 증착 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 기판 (712) 뿐만 아니라 페데스탈 (708) 의 일부를 완전히 봉지할 수도 있다. In some embodiments, pedestal 708 may be raised or lowered to expose substrate 712 to microvolume 707 and/or to vary the volume of microvolume 707. For example, in the substrate transfer phase, the pedestal 708 may be lowered to cause the substrate 712 to be loaded onto the pedestal 708. During the deposition process phase, the pedestal 708 may be raised to position the substrate 712 within the microvolume 707. In some embodiments, the microvolume 707 may completely encapsulate a portion of the pedestal 708 as well as the substrate 712 to create a high flow impedance region during the deposition process.

선택가능하게, 페데스탈 (708) 은 마이크로볼륨 (707) 내 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 증착 프로세스 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (702) 가 증착 프로세스 동안 기준 (base) 압력으로 남아 있는 일 시나리오에서, 페데스탈 (708) 을 하강시키는 것은 마이크로볼륨 (707) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은, 이로 제한되는 것은 아니지만, 1:700 내지 1:10의 체적 비들을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다. Optionally, pedestal 708 may be lowered and/or raised during portions of the deposition process to adjust the process pressure, reactant concentration, etc. in microvolume 707. In one scenario where the process chamber body 702 remains at the base pressure during the deposition process, lowering the pedestal 708 may cause the microvolume 707 to be evacuated. Exemplary ratios of microvolume to process chamber volume include, but are not limited to, volume ratios of 1:700 to 1:10. It will be appreciated that in some embodiments, the pedestal height may be adjusted programmatically by a suitable computer controller.

본 명세서에서 기술된 예시적인 마이크로볼륨 변동들이 높이 조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 샤워헤드 (706) 의 위치는 사이의 마이크로볼륨의 체적을 가변하도록 페데스탈 (708) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다. Although the exemplary microvolume fluctuations described herein refer to a height adjustable pedestal, in some embodiments, the position of the showerhead 706 may be adjusted relative to the pedestal 708 to vary the volume of microvolumes therebetween. It will be understood that there is. Further, it will be appreciated that the vertical position of pedestal 708 and/or showerhead 706 may be varied by any suitable mechanism within the scope of this disclosure. In some embodiments, pedestal 708 may include an axis of rotation to rotate the orientation of substrate 712. It will be appreciated that in some embodiments, one or more of these exemplary adjustments may be performed programmatically by one or more suitable computer controllers.

도 7에 도시된 실시예를 다시 참조하면, 샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 다른 실시예들에서 플라즈마 생성기를 사용하지 않은 장치들이 제공된 방법들을 사용하여 탄소를 증착하기 위해 사용된다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (714) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 700 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력공급된 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키도록 간헐적으로 펄싱될 수도 있다. Referring back to the embodiment shown in FIG. 7, the showerhead 706 and the pedestal 708 electrically communicate with the radio frequency (RF) power supply 714 and the matching network 716 to supply power to the plasma. do. In other embodiments devices that do not use a plasma generator are used to deposit carbon using the methods provided. In some embodiments, plasma energy may be controlled by controlling one or more of process station pressure, gas concentration, RF source power, RF source frequency, and plasma power pulse timing. For example, RF power supply 714 and matching network 716 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable power have been included above. Similarly, the RF power supply 714 may provide RF power of any suitable frequency. In some embodiments, the RF power supply 714 may be configured to control the high frequency RF power source and the low frequency RF power source independently of each other. Exemplary low frequency RF frequencies may include, but are not limited to, frequencies of 50 kHz to 700 kHz. Exemplary high frequency RF frequencies may include, but are not limited to, frequencies of 1.8 MHz to 2.45 GHz. It will be appreciated that any suitable parameters may be adjusted discretely or continuously to provide plasma energy for surface reactions. In one non-limiting example, plasma power may be pulsed intermittently to reduce ion bombardment with the substrate surface for continuously powered plasmas.

일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 전압 센서, 전류 센서 (예를 들어, VI 프로브들) 중 하나 이상에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인시츄 플라즈마 모니터들로부터의 측정값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 위한 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, IR (infrared) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more of a voltage sensor, a current sensor (eg, VI probes). In another scenario, plasma density and/or process gas concentration may be measured by one or more optical emission spectroscopy (OES) sensors. In some embodiments, one or more plasma parameters may be adjusted programmatically based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop for programmatic control of plasma power. It will be appreciated that in some embodiments, other monitors may be used to monitor plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.

일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다. In some embodiments, the plasma may be controlled through input/output control (IOC) sequencing instructions. In one example, instructions for setting plasma conditions for a plasma process phase may be included in a corresponding plasma activation recipe phase of the deposition process recipe. In some cases, the process recipe phases may be arranged sequentially such that all instructions for the deposition process phase are executed concurrently with the process phase. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe phase preceding the plasma process phase. For example, the first recipe phase includes instructions for setting the flow rate of the inert gas and/or reactant gas, instructions for setting the plasma generator as a power set point, and a time delay instruction for the first recipe phase. Can also include. The second, subsequent recipe phase may include instructions to enable the plasma generator and time delay instructions for the second recipe phase. The third recipe phase may include instructions for disabling the plasma generator, and time delay instructions for the third recipe phase. It will be appreciated that these recipe phases may be further subdivided and/or repeated in any suitable manner within the scope of this disclosure.

일부 실시예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 증착 프로세스 스테이션 (700) 에 대한 압력 제어는 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (700) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.In some embodiments, pedestal 708 may be temperature controlled through heater 710. Further, in some embodiments, pressure control for the deposition process station 700 may be provided by the butterfly valve 718. As shown in the embodiment of Figure 7, butterfly valve 718 throttles the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, the pressure control of the process station 700 may also be adjusted by varying the flow rate of one or more gases introduced into the process station 700.

도 8은 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 을 갖는 멀티-스테이션 프로세싱 툴 (800) 의 실시예의 개략도를 도시하고, 인바운드 로드록 (802) 및 아웃바운드 로드록 (804) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 이러한 툴은 본 명세서에 제공된 방법들을 사용하여 기판들을 프로세싱하기 위해 사용될 수도 있다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (808) 를 통해 인바운드 로드록 (802) 으로 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (802) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.8 shows a schematic diagram of an embodiment of a multi-station processing tool 800 with an inbound loadlock 802 and an outbound loadlock 804, among the inbound loadlock 802 and outbound loadlock 804 One or all may comprise a remote plasma source. Such a tool may be used to process substrates using the methods provided herein. At atmospheric pressure, the robot 806 is configured to move wafers loaded from the cassette through the pod 808 to the inbound loadlock 802 through the standby port 810. The wafer is placed by the robot 806 on the pedestal 812 in the inbound loadlock 802, the standby port 810 is closed, and the loadlock is pumped down. If the inbound loadlock 802 includes a remote plasma source, the wafer may be exposed to remote plasma processing within the loadlock before being introduced into the processing chamber 814. In addition, the wafer may also be heated in the inbound loadlock 802 to remove moisture and adsorbed gases, for example. Next, the chamber transfer port 816 to the processing chamber 814 is opened, and another robot (not shown) places the wafer into the reactor on the pedestal of the first station shown in the reactor for processing. Although the embodiment shown in FIG. 8 includes loadlocks, it will be appreciated that in some embodiments, direct entry of the wafer into the process station may be provided.

도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 818로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다. The illustrated processing chamber 814 includes four process stations, numbered 1 to 4 in the embodiment shown in FIG. 8. Each of the stations has a heated pedestal (shown as 818 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each of the process stations may have a different purpose or multiple purposes. Although the illustrated processing chamber 814 includes four stations, it will be appreciated that the processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, the processing chamber may have 5 or more stations, while in other embodiments the processing chamber may have 3 or fewer stations.

도 8은 또한 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다. FIG. 8 also shows an embodiment of a wafer handling system 890 for transferring wafers within the processing chamber 814. In some embodiments, the wafer handling system 890 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. 8 also shows an embodiment of a system controller 850 employed to control the process conditions and hardware states of the process tool 800. The system controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852. The processor 852 may include a CPU or computer, analog input/output connections and/or digital input/output connections, stepper motor control boards, and the like.

일부 실시예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (800) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 개시된 방법들에 따라 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.In some embodiments, system controller 850 controls all the activities of process tool 800. System controller 850 executes system control software 858 stored in mass storage device 854 and loaded into memory device 856 and executed on processor 852. System control software 858 includes timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck. And/or susceptor location, and other parameters of a particular process performed by process tool 800. System control software 858 may be configured in any suitable manner. For example, various process tool component subroutines or control objects may be created to control the operation of process tool components required to execute various process tool processes according to the disclosed methods. System control software 858 may be coded in any suitable computer readable programming language.

일부 실시예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 페이즈 각각은 시스템 제어기 (850) 에 의한 실행을 위해 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD 프로세스 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 ALD 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, ALD 레시피 페이즈들은 순차적으로 배열될 수도 있어서, ALD 프로세스 페이즈를 위한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행된다. In some embodiments, system control software 858 may include input/output control (IOC) sequencing instructions to control the various parameters described above. For example, each phase of an ALD process may include one or more instructions for execution by system controller 850. Instructions for setting process conditions for an ALD process phase may be included in the corresponding ALD recipe phase. In some embodiments, the ALD recipe phases may be arranged sequentially, such that all instructions for an ALD process phase are executed concurrently with the process phase.

시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.Other computer software and/or programs stored in the mass storage device 854 and/or memory device 856 associated with the system controller 850 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.

기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.The substrate positioning program may include program code for process tool components used to load a substrate on the pedestal 818 and control the spacing between the substrate and other portions of the process tool 800.

프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택가능하게 프로세스 스테이션 내 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 임의의 개시된 범위들 내로 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내로 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.The process gas control program may include code for controlling gas composition and flow rates and optionally code for flowing gas into one or more process stations prior to deposition to stabilize pressure in the process station. The process gas control program may include code for controlling the gas composition and flow rates within any disclosed ranges. The pressure control program may include code for controlling the pressure in the process station, gas flow into the process station, etc., for example by regulating the throttle valve of the exhaust system of the process station. The pressure control program may include code to maintain the pressure of the process station within any disclosed pressure ranges.

히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내로 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.The heater control program may include code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas (such as helium) to the substrate. The heater control program may include instructions for maintaining the temperature of the substrate within any disclosed ranges.

플라즈마 제어 프로그램은 예를 들어, 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속기간을 제어하기 위한 코드를 포함할 수도 있다. The plasma control program may, for example, include code for setting RF power levels and frequencies applied to the process electrodes of one or more process stations using any of the RF power levels disclosed herein. The plasma control program may also include code for controlling the duration of each plasma exposure.

일부 실시예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.In some embodiments, there may be a user interface associated with the system controller 850. The user interface may include user input devices such as a display screen, graphical software displays of apparatus and/or process conditions, pointing devices, keyboards, touch screens, microphones, and the like.

일부 실시예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.In some embodiments, parameters adjusted by system controller 850 may be related to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF power levels, frequency, and exposure time), and the like. These parameters may be provided to the user in the form of a recipe, which may be input using a user interface.

프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 접속부들 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 접속부들 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (마노미터들과 같은) 압력 센서들, 써모커플들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.Signals for monitoring the process may be provided by analog input connections and/or digital input connections of the system controller 850 from various process tool sensors. Signals for controlling the process may be output to analog output connections and digital output connections of the process tool 800. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, and the like. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.

임의의 적합한 챔버가 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은, 이로 제한되는 것은 아니지만, California, Fremont 소재의 Lam Research Corp.로부터 입수가능한 Striker® 제품군으로부터의 장치 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 2 이상의 스테이션들이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 이상의 스테이션들이 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 설계/구성될 수 있다. Any suitable chamber may be used to implement the disclosed embodiments. An exemplary deposition apparatus are, but are not limited to, but include equipment or any of a variety of commercially available processing systems other commercially from California, Fremont Striker ® family, available from Lam Research Corp. of material. Two or more stations may perform the same functions. Similarly, two or more stations may perform different functions. Each of the stations can be designed/configured to perform a specific function/method as desired.

도 9는 특정한 실시예들에 따른 박막 증착 프로세스들을 수행하기 적합한 프로세싱 시스템의 블록도이다. 시스템 (900) 은 이송 모듈 (903) 을 포함한다. 이송 모듈 (903) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염의 위험을 최소화하도록 클린 (clean), 가압된 분위기를 제공한다. 각각 특정한 실시예들에 따라 원자 층 증착 (ALD) 및/또는 화학적 기상 증착 (CVD) 을 수행할 수 있는, 2 개의 멀티-스테이션 반응기들 (909 및 910) 이 이송 모듈 (903) 상에 장착된다. 반응기들 (909 및 910) 은 개시된 실시예들에 따른 동작들을 순차적으로 또는 비순차적으로 수행할 수도 있는 복수의 스테이션들 (911, 913, 915, 및 917) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 플레이트를 포함할 수도 있다.9 is a block diagram of a processing system suitable for performing thin film deposition processes in accordance with certain embodiments. System 900 includes a transfer module 903. The transfer module 903 provides a clean, pressurized atmosphere to minimize the risk of contamination of the substrates to be processed when moved between the various reactor modules. Two multi-station reactors 909 and 910 are mounted on the transfer module 903, each capable of performing atomic layer deposition (ALD) and/or chemical vapor deposition (CVD) according to specific embodiments. . Reactors 909 and 910 may include a plurality of stations 911, 913, 915, and 917 that may sequentially or out of sequence perform operations according to the disclosed embodiments. The stations may include a heated pedestal or substrate support, one or more gas inlets or a showerhead or dispersion plate.

또한 플라즈마 또는 화학적 (비-플라즈마) 사전-세정들 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 스테이션 또는 멀티-스테이션 모듈들 (907) 이 이송 모듈 (903) 상에 장착될 수도 있다. 모듈 (907) 은 일부 경우들에서 예를 들어, 증착 프로세스를 위해 기판을 준비하기 위해 다양한 처리들에 사용될 수도 있다. 모듈 (907) 은 또한 에칭 또는 폴리싱 (polishing) 과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (900) 은 또한 프로세싱 전 및 프로세싱 후 웨이퍼들이 저장되는 하나 이상의 웨이퍼 소스 모듈들 (901) 을 포함한다. 대기 (atmospheric) 이송 챔버 (919) 의 대기 로봇 (미도시) 이 먼저 소스 모듈들 (901) 로부터 로드록들 (921) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (903) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드록들 (921) 로부터 이송 모듈 (903) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.In addition, one or more single station or multi-station modules 907 capable of performing plasma or chemical (non-plasma) pre-cleans or any other processes described in connection with the disclosed methods include transfer module 903. It can also be mounted on top. Module 907 may be used in various processes in some cases, eg, to prepare a substrate for a deposition process. Module 907 may also be designed/configured to perform various other processes such as etching or polishing. System 900 also includes one or more wafer source modules 901 in which wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 919 may first remove wafers from the source modules 901 to the loadlocks 921. A wafer transfer device (generally a robot arm unit) of the transfer module 903 moves wafers from the loadlocks 921 to the modules mounted on the transfer module 903 and between modules.

다양한 실시예들에서, 시스템 제어기 (929) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (929) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부들 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.In various embodiments, a system controller 929 is employed to control process conditions during deposition. Controller 929 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog input/output connections and/or digital input/output connections, stepper motor controller boards, and the like.

제어기 (929) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (929) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF (radio frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 제어기 (929) 와 연관된 메모리 디바이스들에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.Controller 929 may control all activities of the deposition apparatus. System controller 929 provides sets of instructions for controlling timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Run the included system control software. Other computer programs stored in memory devices associated with controller 929 may be employed in some embodiments.

통상적으로 제어기 (929) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.Typically there will be a user interface associated with the controller 929. The user interface may include a display screen, graphical software displays of apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and the like.

시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 이 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드코딩될 수도 있고 또는 소프트웨어로 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 DSP들 (digital signal processors), ASIC들 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드코딩된 로직들을 포함하는 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능한 프로그래밍 언어로 코딩될 수도 있다.System control logic may be configured in any suitable manner. In general, this logic can be designed or configured in hardware and/or software. Instructions for controlling the driving circuit may be hardcoded or may be provided in software. Instructions may be provided by "programming". Such programming includes any type of logic, including digital signal processors (DSPs), application-specific integrated circuits (ASICs), and logic hardcoded in other devices with specific algorithms implemented as hardware. I understand. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. The system control software may be coded in any suitable computer readable programming language.

프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들이 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드코딩될 수도 있다.Computer programs for controlling germanium-containing reducing agent pulses, hydrogen flow, and tungsten-containing precursor pulses, and other processes of a process sequence are available in any conventional computer readable programming language: e.g. assembly language, C, C++. , Pascal, Fortran, etc. The compiled object code or script is executed by the processor to perform the tasks identified in the program. As also indicated, the program code may be hardcoded.

제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (929) 의 아날로그 입력 접속부들 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (900) 의 아날로그 출력 접속부들 및 디지털 출력 접속부들 상에 출력된다.The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and may be input using a user interface. Signals for monitoring the process may be provided by analog input connections and/or digital input connections of system controller 929. Signals for controlling the process are output on the analog output connections and digital output connections of the deposition apparatus 900.

시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시예들에 따라 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다. System software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control the operation of the chamber components necessary to perform the deposition processes (and in some cases, other processes) in accordance with the disclosed embodiments. . Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.

일부 구현예들에서, 제어기 (929) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 프로세싱 요건들 및/또는 시스템의 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.In some implementations, controller 929 is part of a system, which may be part of the examples described above. Such systems may include semiconductor processing equipment, including processing tool or tools, chamber or chambers, platform or platforms for processing, and/or specific processing components (wafer pedestal, gas flow system, etc.) . These systems may be integrated into electronics for controlling their operation prior to, during and after processing of a semiconductor wafer or substrate. Electronics may be referred to as a “controller” that may control the system or various components or sub-parts of the systems. The controller can, depending on the processing requirements and/or the type of system, the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings. , Radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and motion settings, tools and other transport in some systems. It may be programmed to control any of the processes disclosed herein, including wafer transfers into and out of loadlocks connected or interfaced with tools and/or a particular system.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, the controller receives instructions, issues instructions, controls operation, enables cleaning operations, enables endpoint measurements, etc. Various integrated circuits, logic, memory, and/or It may be defined as an electronic device with software. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSP), chips defined as application specific integrated circuits (ASICs), and/or one that executes program instructions (e.g., software). It may include the above microprocessors or microcontrollers. Program instructions may be instructions that are passed to a controller or to a system in the form of various individual settings (or program files) that specify operating parameters for executing a specific process on or on a semiconductor wafer. In some embodiments, the operating parameters are processed to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of the wafer. It may be part of a recipe prescribed by the engineers.

제어기는 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다. The controller may be coupled to, or be part of, a computer, which in some implementations may be integrated into the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or part of a fab host computer system that may enable remote access of wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes parameters of current processing, and performs processing steps following the current processing. You can configure, or enable remote access to the system to start a new process. In some examples, a remote computer (eg, a server) can provide process recipes to the system over a local network or a network that may include the Internet. The remote computer may include a user interface that enables programming or input of parameters and/or settings to be subsequently passed from the remote computer to the system. In some examples, the controller receives instructions in the form of data, specifying parameters for each of the process steps to be performed during one or more operations. It should be understood that these parameters may be specific to the type of tool the controller is configured to control or interface with and the type of process to be performed. Thus, as noted above, the controller may be distributed, for example, by including one or more individual controllers that are networked with each other and cooperate together for a common purpose, eg, for the processes and controls described herein. An example of a decentralized controller for this purpose is one or more integrated circuits on a chamber that communicate with one or more remotely located integrated circuits (e.g., at the platform level or as part of a remote computer) that are combined to control a process on the chamber. It can be circuits.

비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.Without limitation, exemplary systems include plasma etch chamber or module, deposition chamber or module, spin-rinse chamber or module, metal plating chamber or module, cleaning chamber or module, bevel edge etch chamber or module, physical vapor deposition (PVD). Chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track chamber or module, and semiconductor It may include any other semiconductor processing systems that may be used or associated with the fabrication and/or fabrication of wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. As described above, depending on the process step or steps to be performed by the tool, the controller is used in material transfer to move containers of wafers to/from tool locations and/or load ports within a semiconductor fabrication plant. May communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout the factory, main computer, another controller or tools .

추가 구현예들Additional implementations

본 명세서에 기술된 장치/프로세스들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로 반드시 그러한 것은 아니지만 이러한 장치 및 프로세스들은 공통 제조 설비에서 함께 수행되고 사용될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 단계들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.The apparatus/processes described herein may be used in conjunction with lithographic patterning tools or processes for manufacturing or fabricating, for example, semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, but not necessarily, these devices and processes will be performed and used together in a common manufacturing facility. Lithographic patterning of the film typically involves the following steps, each of which is enabled using a number of possible tools: (1) a workpiece using a spin-on tool or a spray-on tool. That is, applying a photoresist on the substrate; (2) curing the photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light using a tool such as a wafer stepper; (4) developing the resist to pattern the resist by selectively removing the resist using a tool such as a wet bench; (5) transferring the resist pattern into the underlying film or workpiece by using a dry or plasma assisted etching tool; And (6) some or all of the steps of removing the resist using a tool such as an RF or microwave plasma resist stripper.

Claims (21)

프로세싱 챔버에서 반도체 기판의 표면 상에 탄소 층을 형성하는 방법에 있어서,
(a) 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하는 단계로서, 상기 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 상기 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하는 단계;
(b) 탄소-함유 반응물질을 상기 프로세싱 챔버로 도입하는 단계로서, 상기 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 상기 탄소-함유 반응물질은 상기 알루미늄-함유 반응물질과 상이한, 상기 탄소-함유 반응물질을 상기 프로세싱 챔버로 도입하는 단계;
(c) 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 하나 또는 모두가 반도체 기판의 표면 상에 흡착-제한된 층을 형성하는 조건들 하에서 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 적어도 하나를 상기 반도체 기판의 상기 표면에 흡착시키는 단계; 및
(d) 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 적어도 하나가 상기 반도체 기판의 상기 표면 상에 흡착-제한된 층을 형성한 후, 상기 반도체 기판의 상기 표면 상에 탄소 층을 형성하도록, 상기 알루미늄-함유 반응물질과 상기 탄소-함유 반응물질을 반응시키는 단계를 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, comprising:
(a) introducing an aluminum-containing reactant into a processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond, introducing the aluminum-containing reactant into a processing chamber;
(b) introducing a carbon-containing reactant into the processing chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and the carbon-containing reactant comprises the aluminum-containing reactant and Introducing a different, carbon-containing reactant into the processing chamber;
(c) the aluminum-containing reactant and the carbon-containing reactant under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limited layer on the surface of a semiconductor substrate Adsorbing at least one of them on the surface of the semiconductor substrate; And
(d) at least one of the aluminum-containing reactant and the carbon-containing reactant to form an adsorption-limited layer on the surface of the semiconductor substrate, and then to form a carbon layer on the surface of the semiconductor substrate. And reacting the aluminum-containing reactant with the carbon-containing reactant. A method of forming a carbon layer on the surface of a semiconductor substrate.
제 1 항에 있어서,
적어도 하나의 알루미늄-탄소 결합을 갖는 상기 알루미늄-함유 반응물질은 트리알킬알루미늄인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trialkylaluminum.
제 1 항에 있어서,
적어도 하나의 알루미늄-탄소 결합을 갖는 상기 알루미늄-함유 반응물질은 트리메틸알루미늄인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trimethylaluminum.
제 1 항에 있어서,
적어도 하나의 탄소-할로겐 결합을 갖는 상기 탄소-함유 반응물질은 탄소 테트라할라이드인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the carbon-containing reactant having at least one carbon-halogen bond is carbon tetrahalide.
제 1 항에 있어서,
상기 적어도 하나의 탄소-할로겐 결합은 탄소-불소 결합인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the at least one carbon-halogen bond is a carbon-fluorine bond.
제 1 항에 있어서,
상기 알루미늄-함유 반응물질은 트리알킬알루미늄이고, 그리고 상기 탄소-함유 반응물질은 CX4, CHX3, CH2X2, 및 CH3X로 구성된 그룹으로부터 선택되고, 여기서 X는 할로겐인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The aluminum-containing reactant is trialkylaluminum, and the carbon-containing reactant is selected from the group consisting of CX 4 , CHX 3 , CH 2 X 2 , and CH 3 X, wherein X is halogen. How to form a carbon layer on the surface of the.
제 6 항에 있어서,
X는 불소인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 6,
X is fluorine, the method of forming a carbon layer on the surface of a semiconductor substrate.
제 6 항에 있어서,
X는 염소 및/또는 브롬인, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 6,
X is chlorine and/or bromine. A method of forming a carbon layer on the surface of a semiconductor substrate.
제 1 항에 있어서,
상기 알루미늄-함유 반응물질과 상기 탄소-함유 반응물질을 반응시키는 단계는 알루미늄-함유 부산물을 형성하는 것을 포함하고, 상기 방법은 상기 단계 (d) 후 상기 알루미늄-함유 부산물을 제거하는 단계를 더 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The step of reacting the aluminum-containing reactant with the carbon-containing reactant includes forming an aluminum-containing by-product, and the method further comprises removing the aluminum-containing by-product after step (d) A method of forming a carbon layer on the surface of a semiconductor substrate.
제 7 항에 있어서,
상기 알루미늄-함유 부산물은 알루미늄-할로겐 결합을 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 7,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the aluminum-containing by-product comprises an aluminum-halogen bond.
제 1 항에 있어서,
상기 탄소 층이 형성되는 상기 반도체 기판의 상기 표면은 패터닝된 3D 피처들을 갖는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on the surface of a semiconductor substrate, wherein the surface of the semiconductor substrate on which the carbon layer is formed has patterned 3D features.
제 1 항에 있어서,
상기 알루미늄-함유 반응물질은 상기 탄소-함유 반응물질을 도입하기 전에 흡착-제한된 층을 형성하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the aluminum-containing reactant forms an adsorption-limited layer prior to introducing the carbon-containing reactant.
제 1 항에 있어서,
상기 탄소-함유 반응물질은 상기 알루미늄-함유 반응물질을 도입하기 전에 흡착-제한된 층을 형성하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on a surface of a semiconductor substrate, wherein the carbon-containing reactant forms an adsorption-limited layer prior to introducing the aluminum-containing reactant.
제 1 항에 있어서,
상기 단계 (a) 와 상기 단계 (b) 사이에 상기 프로세싱 챔버로부터 상기 알루미늄-함유 반응물질 또는 상기 탄소-함유 반응물질을 제거하기 위해 상기 프로세싱 챔버를 퍼지 및/또는 배기하는 단계를 더 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
Further comprising purging and/or evacuating the processing chamber to remove the aluminum-containing reactant or the carbon-containing reactant from the processing chamber between steps (a) and (b), A method of forming a carbon layer on the surface of a semiconductor substrate.
제 1 항에 있어서,
미리 결정된 두께로 상기 탄소 층을 증착하기 위해 상기 단계 (a) 내지 상기 단계 (d) 를 반복하는 단계를 더 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
A method of forming a carbon layer on a surface of a semiconductor substrate, further comprising repeating the steps (a) to (d) to deposit the carbon layer to a predetermined thickness.
제 1 항에 있어서,
상기 탄소 층은 갭충진 동작에서 증착되는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The method of forming a carbon layer on the surface of a semiconductor substrate, wherein the carbon layer is deposited in a gap filling operation.
제 1 항에 있어서,
상기 탄소 층은 부분적으로 제조된 3D NAND 구조체에서 갭충진 동작으로 증착되는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 1,
The carbon layer is deposited in a gap filling operation in a partially fabricated 3D NAND structure.
프로세싱 챔버에서 반도체 기판의 표면 상에 탄소 층을 형성하는 방법에 있어서,
(a) 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하고 반도체 기판의 표면 상에 상기 알루미늄-함유 반응물질의 층을 형성하는 단계로서, 상기 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 상기 알루미늄-함유 반응물질을 프로세싱 챔버로 도입하고 층을 형성하는 단계;
(b) 상기 단계 (a) 후 상기 프로세싱 챔버로부터 상기 알루미늄-함유 반응물질을 제거하는 단계;
(c) 탄소-함유 반응물질을 상기 프로세싱 챔버로 도입하고 상기 반도체 기판의 상기 표면 상에 상기 탄소-함유 반응물질의 층을 형성하는 단계로서, 상기 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 상기 탄소-함유 반응물질은 상기 알루미늄-함유 반응물질과 상이한, 상기 탄소-함유 반응물질을 상기 프로세싱 챔버로 도입하고 층을 형성하는 단계;
(d) 상기 단계 (c) 후 상기 프로세싱 챔버로부터 상기 탄소-함유 반응물질을 제거하는 단계; 및
(e) 상기 알루미늄-함유 반응물질과 상기 탄소-함유 반응물질 사이의 반응을 활성화하여 상기 반도체 기판의 상기 표면 상에 탄소 층을 형성하도록, 상기 알루미늄-함유 반응물질 층 및 상기 탄소-함유 반응물질 층을 갖는 상기 반도체 기판을 플라즈마와 콘택트시키는 단계를 포함하는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, comprising:
(a) introducing an aluminum-containing reactant into a processing chamber and forming a layer of the aluminum-containing reactant on the surface of a semiconductor substrate, wherein the aluminum-containing reactant has at least one aluminum-carbon bond. , Introducing the aluminum-containing reactant into a processing chamber and forming a layer;
(b) removing the aluminum-containing reactant from the processing chamber after step (a);
(c) introducing a carbon-containing reactant into the processing chamber and forming a layer of the carbon-containing reactant on the surface of the semiconductor substrate, wherein the carbon-containing reactant is at least one carbon-halogen Introducing the carbon-containing reactant into the processing chamber and forming a layer, having a bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant;
(d) removing the carbon-containing reactant from the processing chamber after step (c); And
(e) the aluminum-containing reactant layer and the carbon-containing reactant to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant to form a carbon layer on the surface of the semiconductor substrate. A method of forming a carbon layer on a surface of a semiconductor substrate comprising the step of contacting said semiconductor substrate having a layer with a plasma.
제 18 항에 있어서,
상기 알루미늄-함유 반응물질은 트리알킬알루미늄이고, 상기 탄소-함유 반응물질은 CF4이고, 그리고 상기 트리알킬알루미늄과 CF4 간의 상기 반응은 상기 반도체 기판을 헬륨 (He), 아르곤 (Ar), 수소 (H2) 및 질소 (N2) 로 구성된 그룹으로부터 선택된 가스를 포함하는 프로세스 가스로 형성된 플라즈마와 콘택트시킴으로써 활성화되는, 반도체 기판의 표면 상에 탄소 층을 형성하는 방법.
The method of claim 18,
The aluminum-containing reactant is trialkyl aluminum, the carbon-containing reactant is CF 4 , and the reaction between the trialkyl aluminum and CF 4 changes the semiconductor substrate to helium (He), argon (Ar), and hydrogen. A method of forming a carbon layer on a surface of a semiconductor substrate, activated by contacting a plasma formed of a process gas containing a gas selected from the group consisting of (H 2 ) and nitrogen (N 2 ).
복수의 탄소 스페이서들을 포함하는 부분적으로 제조된 반도체 기판.A partially fabricated semiconductor substrate comprising a plurality of carbon spacers. 반도체 기판을 프로세싱하기 위한 시스템에 있어서,
(a) 프로세싱 챔버로서, 기판 홀더 및 상기 프로세싱 챔버로 반응물질들의 도입을 위한 하나 이상의 유입구들을 갖는, 상기 프로세싱 챔버; 및
(b) 시스템 제어기로서,
(i) 상기 프로세싱 챔버로 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 상기 알루미늄-함유 반응물질은 적어도 하나의 알루미늄-탄소 결합을 갖는, 상기 알루미늄-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들;
(ii) 상기 프로세싱 챔버로 탄소-함유 반응물질의 도입을 유발하기 위한 프로그램 인스트럭션들로서, 상기 탄소-함유 반응물질은 적어도 하나의 탄소-할로겐 결합을 갖고, 그리고 상기 탄소-함유 반응물질은 상기 알루미늄-함유 반응물질과 상이한, 상기 탄소-함유 반응물질을 상기 프로세싱 챔버로 도입을 유발하기 위한 프로그램 인스트럭션들;
(iii) 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 하나 또는 모두가 반도체 기판의 표면 상에 흡착-제한된 층을 형성하는 조건들 하에서 상기 반도체 기판의 상기 표면으로 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 적어도 하나의 흡착을 유발하기 위한 프로그램 인스트럭션들; 및
(iv) 상기 알루미늄-함유 반응물질 및 상기 탄소-함유 반응물질 중 적어도 하나가 상기 반도체 기판의 상기 표면 상에 흡착-제한된 층을 형성한 후, 상기 반도체 기판의 상기 표면 상에 탄소 층을 형성하도록, 상기 알루미늄-함유 반응물질과 상기 탄소-함유 반응물질 간의 반응을 유발하기 위한 프로그램 인스트럭션들을 포함하는, 상기 시스템 제어기를 포함하는, 시스템.
A system for processing a semiconductor substrate, comprising:
(a) a processing chamber, the processing chamber having a substrate holder and one or more inlets for introduction of reactants into the processing chamber; And
(b) as a system controller,
(i) program instructions for inducing the introduction of an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond. Program instructions for;
(ii) program instructions for causing the introduction of a carbon-containing reactant into the processing chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and the carbon-containing reactant is the aluminum- Program instructions for causing the introduction of the carbon-containing reactant into the processing chamber, different from the containing reactant;
(iii) the aluminum-containing reactant to the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limited layer on the surface of the semiconductor substrate And program instructions for inducing adsorption of at least one of the carbon-containing reactants. And
(iv) at least one of the aluminum-containing reactant and the carbon-containing reactant to form an adsorption-limited layer on the surface of the semiconductor substrate, and then to form a carbon layer on the surface of the semiconductor substrate. And the system controller comprising program instructions for causing a reaction between the aluminum-containing reactant and the carbon-containing reactant.
KR1020207030667A 2018-03-26 2019-03-15 Atomic layer deposition of carbon films KR20200127261A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862647993P 2018-03-26 2018-03-26
US62/647,993 2018-03-26
PCT/US2019/022568 WO2019190783A1 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Publications (1)

Publication Number Publication Date
KR20200127261A true KR20200127261A (en) 2020-11-10

Family

ID=68060319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207030667A KR20200127261A (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Country Status (4)

Country Link
JP (1) JP7396998B2 (en)
KR (1) KR20200127261A (en)
CN (1) CN112005339A (en)
WO (1) WO2019190783A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143921A (en) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp Magnetic disk and its production
FI118014B (en) * 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
JP2010520638A (en) * 2007-03-06 2010-06-10 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Atomic layer deposition technology
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP2010041038A (en) * 2008-06-27 2010-02-18 Asm America Inc Ald of silicon dioxide at low temperature for important applications
JP4638550B2 (en) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
CN105143503A (en) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 Methods of low temperature deposition of ceramic thin films
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Also Published As

Publication number Publication date
WO2019190783A1 (en) 2019-10-03
JP7396998B2 (en) 2023-12-12
CN112005339A (en) 2020-11-27
JP2021519514A (en) 2021-08-10

Similar Documents

Publication Publication Date Title
JP7414891B2 (en) Apparatus and method for processing semiconductor substrates
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
KR20170044014A (en) Methods for formation of low-k aluminum-containing etch stop films
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
JP7396998B2 (en) Atomic layer deposition of carbon films
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
KR20230169827A (en) Deposition of molybdenum

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal