JP7396998B2 - Atomic layer deposition of carbon films - Google Patents

Atomic layer deposition of carbon films Download PDF

Info

Publication number
JP7396998B2
JP7396998B2 JP2020551853A JP2020551853A JP7396998B2 JP 7396998 B2 JP7396998 B2 JP 7396998B2 JP 2020551853 A JP2020551853 A JP 2020551853A JP 2020551853 A JP2020551853 A JP 2020551853A JP 7396998 B2 JP7396998 B2 JP 7396998B2
Authority
JP
Japan
Prior art keywords
carbon
containing reactant
aluminum
layer
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020551853A
Other languages
Japanese (ja)
Other versions
JP2021519514A (en
JPWO2019190783A5 (en
Inventor
ラボア・エイドリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021519514A publication Critical patent/JP2021519514A/en
Publication of JPWO2019190783A5 publication Critical patent/JPWO2019190783A5/ja
Application granted granted Critical
Publication of JP7396998B2 publication Critical patent/JP7396998B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

参照による援用
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
INCORPORATION BY REFERENCE A PCT application is filed concurrently with this specification as part of this application. Each application identified in this co-filed PCT application and to which this application claims benefit or priority is incorporated herein by reference in its entirety for all purposes.

本発明は、半導体デバイス製造の方法に関する。具体的には、本発明の実施形態は、半導体処理において炭素膜を堆積する方法に関する。 TECHNICAL FIELD The present invention relates to a method of semiconductor device manufacturing. Specifically, embodiments of the invention relate to methods of depositing carbon films in semiconductor processing.

集積回路(IC)製作において、堆積技術およびエッチング技術は、誘電体層に埋め込まれた金属線の形成など、材料のパターン形成に使用される。いくつかのパターニング法では、材料の共形堆積が必要であり、堆積された層は、基板表面の突起および/または凹状フィーチャの輪郭に沿う必要がある。原子層堆積(ALD)は、共形膜を基板上に形成する好ましい方法であることが多い。これは、ALDが、基板表面への1つまたは複数の反応物の吸着、およびこれに続く、所望の材料への吸着層の化学変換に依存しているためである。ALDが利用する反応は、基板表面で発生し、通常、吸着された反応物の量によって制限されるため、優れたステップカバレッジを有する薄い共形層を提供することができる。 In integrated circuit (IC) fabrication, deposition and etching techniques are used to pattern materials, such as forming metal lines embedded in dielectric layers. Some patterning methods require conformal deposition of material, and the deposited layer needs to follow the contours of protrusions and/or recessed features on the substrate surface. Atomic layer deposition (ALD) is often the preferred method of forming conformal films on substrates. This is because ALD relies on the adsorption of one or more reactants onto the substrate surface and the subsequent chemical transformation of the adsorbed layer into the desired material. Because the reactions utilized by ALD occur at the substrate surface and are typically limited by the amount of adsorbed reactants, they can provide thin conformal layers with excellent step coverage.

ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明されている範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。 The background description provided herein is for the purpose of generally presenting the subject matter of the disclosure. Work by the presently named inventors to the extent described in this Background section, as well as aspects of the description that could not otherwise be considered as prior art at the time of filing, are expressly or impliedly excluded. Regardless, it is not admitted as prior art to the present disclosure.

ALDによって炭素層を堆積するための方法は、これまでに開発されていない。したがって、堆積厚が高度に制御され、かつ、ステップカバレッジが良好な炭素層の堆積は、困難な問題を提示する。本明細書では、表面制御によって炭素層を堆積するための方法および装置を提供する。ステップカバレッジに優れた共形炭素膜は、提供される方法によって堆積させることができ、ギャップフィル(例えば、3D NAND構造内のギャップフィル)において、および自己整合ダブルパターニング(SADP)でのスペーサ形成中など、様々な用途で使用することができる。 No method has been developed to date to deposit carbon layers by ALD. Therefore, the deposition of carbon layers with highly controlled deposition thickness and good step coverage presents a difficult problem. Provided herein are methods and apparatus for depositing carbon layers with surface control. Conformal carbon films with excellent step coverage can be deposited by the provided method, both in gap fills (e.g. in 3D NAND structures) and during spacer formation in self-aligned double patterning (SADP). It can be used for various purposes such as.

一態様では、処理チャンバ内の半導体基板の表面に炭素層を形成する方法を提供する。この方法は、(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物(例えば、トリアルキルアルミニウムなどのアルキル置換アルミニウム)を処理チャンバに導入することと、(b)少なくとも1つの炭素-ハロゲン結合を有し、かつ、アルミニウム含有反応物とは異なる炭素含有反応物(例えば、四ハロゲン化炭素)を処理チャンバに導入することと、(c)アルミニウム含有反応物および炭素含有反応物のいずれかまたは両方が吸着制限層を半導体基板の表面に形成する条件下で、アルミニウム含有反応物および炭素含有反応物の少なくとも1つを半導体基板の表面に吸着させることと、(d)アルミニウム含有反応物および炭素含有反応物の少なくとも1つが吸着制限層を半導体基板の表面に形成した後、アルミニウム含有反応物を炭素含有反応物と反応させ、炭素の層を半導体基板の表面に形成することとを含む。所定の厚さを有する炭素層を堆積するため、動作(a)~(d)は必要な回数だけ繰り返すことができる。 In one aspect, a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber is provided. The method includes (a) introducing into a processing chamber an aluminum-containing reactant having at least one aluminum-carbon bond (e.g., an alkyl-substituted aluminum, such as a trialkylaluminium); and (b) at least one carbon-halogen (c) either the aluminum-containing reactant or the carbon-containing reactant; or (d) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions where both form an adsorption-limiting layer on the surface of the semiconductor substrate; after the at least one carbon-containing reactant forms an adsorption limiting layer on the surface of the semiconductor substrate, reacting the aluminum-containing reactant with the carbon-containing reactant to form a layer of carbon on the surface of the semiconductor substrate. Operations (a) to (d) can be repeated as many times as necessary to deposit a carbon layer with a predetermined thickness.

適切なアルミニウム含有反応物は、トリアルキルアルミニウムを含む。一例では、アルミニウム含有反応物は、トリメチルアルミニウムである。炭素-ハロゲン化物結合を有する適切な炭素含有反応物の例としては、CX4、CHX3、CH22、およびCH3Xが挙げられ、Xはハロゲンである。いくつかの実施形態において、炭素含有反応物は四ハロゲン化炭素である。いくつかの実施形態において、炭素含有反応物は炭素-フッ素結合を含む。 Suitable aluminum-containing reactants include trialkylaluminums. In one example, the aluminum-containing reactant is trimethylaluminum. Examples of suitable carbon-containing reactants with carbon-halide bonds include CX 4 , CHX 3 , CH 2 X 2 , and CH 3 X, where X is halogen. In some embodiments, the carbon-containing reactant is a carbon tetrahalide. In some embodiments, the carbon-containing reactant includes carbon-fluorine bonds.

一実施形態では、アルミニウム含有反応物はトリアルキルアルミニウムであり、炭素含有反応物はCX4、CHX3、CH22、およびCH3Xの1つまたは複数であり、Xはハロゲンである。いくつかの実施形態において、ハロゲンはフッ素である。他の実施形態において、ハロゲンは塩素および/または臭素である。具体的な例では、アルミニウム含有反応物は、トリアルキルアルミニウム(例えば、トリメチルアルミニウムまたはトリエチルアルミニウム)であり、炭素含有反応物はCF4、CCl4、またはCBr4である。 In one embodiment, the aluminum-containing reactant is a trialkylaluminum and the carbon-containing reactant is one or more of CX4 , CHX3 , CH2X2 , and CH3X , where X is halogen. In some embodiments, the halogen is fluorine. In other embodiments, the halogen is chlorine and/or bromine. In specific examples, the aluminum-containing reactant is trialkylaluminum (eg, trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF4 , CCl4 , or CBr4 .

アルミニウム含有反応物と炭素含有反応物との間の反応により、アルミニウム含有副産物が形成されるが、これは反応完了後に除去することができる。副産物は、典型的には、アルミニウム-ハロゲン結合を含む。例えば、炭素含有反応物が炭素-フッ素結合を含む場合、副産物はフッ化アルミニウムを含むことになる。 The reaction between the aluminum-containing reactant and the carbon-containing reactant forms an aluminum-containing byproduct, which can be removed after the reaction is complete. By-products typically include aluminum-halogen bonds. For example, if the carbon-containing reactant contains carbon-fluorine bonds, the by-product will contain aluminum fluoride.

処理チャンバへの反応物の導入は連続的であり、処理チャンバは、第1の反応物の導入後、かつ第2の反応物の導入前にパージおよび/または排気される。反応物は、任意の順序で導入することができる。一実施形態では、アルミニウム-炭素結合を有するアルミニウム含有反応物を最初に処理チャンバに導入し、吸着制限層を基板の表面に形成させる。次に未吸着のアルミニウム含有反応物を処理チャンバから(例えば、パージおよび/または排気によって)除去し、続いて炭素含有反応物を処理チャンバに導入し、アルミニウム含有反応物の吸着層と反応させて炭素層を形成する。次に、アルミニウム含有副産物を除去することができる。所定の厚さの炭素層を堆積するため、このプロセスは、必要な回数だけ繰り返すことができる。 The introduction of reactants into the processing chamber is continuous, and the processing chamber is purged and/or evacuated after the introduction of the first reactant and before the introduction of the second reactant. The reactants can be introduced in any order. In one embodiment, an aluminum-containing reactant having aluminum-carbon bonds is first introduced into the processing chamber and an adsorption limiting layer is formed on the surface of the substrate. Unadsorbed aluminum-containing reactants are then removed from the processing chamber (e.g., by purging and/or evacuation), and a carbon-containing reactant is subsequently introduced into the processing chamber and reacted with the adsorbed layer of aluminum-containing reactants. Forms a carbon layer. The aluminum-containing by-product can then be removed. This process can be repeated as many times as necessary to deposit a carbon layer of a given thickness.

別の実施形態では、最初に炭素含有反応物を処理チャンバに導入し、炭素含有反応物の吸着制限層を基板に形成することによって、プロセスを開始する。未吸着の炭素含有反応物は、パージおよび/または排気によって処理チャンバから除去する。次に、アルミニウム含有反応物を処理チャンバに導入し、炭素含有反応物の吸着層と反応させて基板の表面に炭素層を形成する。 In another embodiment, the process begins by first introducing a carbon-containing reactant into the processing chamber and forming an adsorption-limiting layer of the carbon-containing reactant on the substrate. Unadsorbed carbon-containing reactants are removed from the processing chamber by purging and/or evacuation. An aluminum-containing reactant is then introduced into the processing chamber and reacts with the adsorbed layer of carbon-containing reactant to form a carbon layer on the surface of the substrate.

いくつかの実施形態では、アルミニウム含有反応物と炭素含有反応物との間の反応は、活性化させなくても熱的に発生する。他の実施形態では、例えば、アルミニウム含有反応物の層およびその上に形成された炭素含有反応物の層を有する基板のプラズマ処理によって、反応を活性化する。一実施態様では、炭素堆積法は、アルミニウム含有反応物と炭素含有反応物の両方を基板表面に吸着させ、ヘリウム(He)、アルゴン(Ar)、水素(H2)および窒素(N2)からなる群から選択されるガスを含むプロセスガスで形成されたプラズマに基板を曝露することによって、吸着反応物間の基板上での反応を活性化することとを含む。 In some embodiments, the reaction between the aluminum-containing reactant and the carbon-containing reactant occurs thermally without activation. In other embodiments, the reaction is activated, for example, by plasma treatment of a substrate having a layer of aluminum-containing reactant and a layer of carbon-containing reactant formed thereon. In one embodiment, the carbon deposition method adsorbs both the aluminum-containing reactant and the carbon-containing reactant onto the substrate surface, and includes helium (He), argon (Ar), hydrogen (H 2 ), and nitrogen (N 2 ). activating reactions on the substrate between adsorbed reactants by exposing the substrate to a plasma formed with a process gas comprising a gas selected from the group consisting of:

1つの例示的な実施態様では、プラズマ活性化により処理チャンバ内の半導体基板の表面に炭素層を形成する方法は、(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を処理チャンバに導入し、アルミニウム含有反応物の層を半導体基板の表面に形成することと、(b)(a)の後に処理チャンバからアルミニウム含有反応物を除去することと、(c)少なくとも1つの炭素-ハロゲン結合を有し、かつ、アルミニウム含有反応物とは異なる炭素含有反応物を処理チャンバに導入し、炭素含有反応物の層を半導体基板の表面に形成することと、(d)(c)の後に処理チャンバから炭素含有反応物を除去することと、(e)炭素含有反応物の層およびアルミニウム含有反応物の層を有する半導体基板をプラズマと接触させてアルミニウム含有反応物と炭素含有反応物との間の反応を活性化し、それによって炭素の層を半導体基板の表面に形成することとを含む。所望の厚さの炭素層を堆積するため、動作(a)~(e)は必要な回数だけ繰り返すことができる。一例では、アルミニウム含有反応物はトリアルキルアルミニウムであり、炭素含有反応物はCF4であり、トリアルキルアルミニウムとCF4との間の反応は、ヘリウム(He)、アルゴン(Ar)、水素(H2)および窒素(N2)からなる群から選択されるガスを含むプロセスガスで形成されたプラズマと半導体基板を接触させることによって活性化される。 In one exemplary embodiment, a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber by plasma activation includes: (a) an aluminum-containing reactant having at least one aluminum-carbon bond in the processing chamber; (b) removing the aluminum-containing reactant from the processing chamber after (a); and (c) at least one carbon-halogen. (d) after (c) introducing a carbon-containing reactant having a bond and different from the aluminum-containing reactant into the processing chamber and forming a layer of the carbon-containing reactant on the surface of the semiconductor substrate; (e) contacting a semiconductor substrate having a layer of carbon-containing reactant and a layer of aluminum-containing reactant with a plasma to combine the aluminum-containing reactant and the carbon-containing reactant; activating a reaction between carbon atoms, thereby forming a layer of carbon on the surface of the semiconductor substrate. Operations (a) to (e) can be repeated as many times as necessary to deposit a carbon layer of the desired thickness. In one example, the aluminum-containing reactant is trialkylaluminium, the carbon-containing reactant is CF4 , and the reaction between the trialkylaluminum and CF4 includes helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N 2 ) by contacting the semiconductor substrate with a plasma formed with a process gas containing a gas selected from the group consisting of nitrogen (N 2 ) and nitrogen (N 2 ).

いくつかの実施形態において、炭素層が形成される半導体基板の表面は、パターニングされた三次元フィーチャを有する。いくつかの実施態様において、炭素層は、ギャップフィル動作において堆積される In some embodiments, the surface of the semiconductor substrate on which the carbon layer is formed has patterned three-dimensional features. In some embodiments, the carbon layer is deposited in a gap-fill operation .

例えば、炭素層は、部分的に製作された3D NAND構造でのギャップフィル動作において堆積させることができる。 For example, a carbon layer can be deposited in a gap-fill operation on a partially fabricated 3D NAND structure.

いくつかの実施態様において、炭素層は、複数の凸状フィーチャを有する半導体基板の上に共形的に堆積される。一実施形態では、方法は、凸状フィーチャの側壁に存在する炭素層を完全に除去することなく、凸状フィーチャの水平面から炭素層を完全に除去することと、次に凸状フィーチャの側壁に存在する炭素層を完全に除去することなく凸状フィーチャを除去し、それによって炭素スペーサを半導体基板上に形成することとをさらに含む。 In some embodiments, a carbon layer is conformally deposited over a semiconductor substrate having a plurality of convex features. In one embodiment, the method includes completely removing the carbon layer from the horizontal surface of the convex feature without completely removing the carbon layer present on the sidewall of the convex feature; The method further includes removing the raised features without completely removing the existing carbon layer, thereby forming carbon spacers on the semiconductor substrate.

いくつかの実施形態では、提供される方法は、フォトレジストを基板に塗布することと、フォトレジストを露光することと、フォトレジストをパターニングしてパターンを基板に転写することと、基板からフォトレジストを選択的に除去することとをさらに含む。 In some embodiments, a method provided includes applying a photoresist to a substrate, exposing the photoresist, patterning the photoresist to transfer the pattern to the substrate, and removing the photoresist from the substrate. and selectively removing.

別の態様では、部分的に製作された半導体基板を提供する。この半導体基板は、複数の炭素スペーサを含む。 In another aspect, a partially fabricated semiconductor substrate is provided. The semiconductor substrate includes a plurality of carbon spacers.

別の態様では、半導体基板を処理するためのシステムを提供する。このシステムは、処理チャンバであって、基板ホルダ、および反応物を処理チャンバに導入するための1つまたは複数の入口を有する処理チャンバと、本明細書で説明される方法のいずれかを実施するためのプログラム命令を含むシステムコントローラとを含む。一実施態様では、プログラム命令は、(i)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を処理チャンバに導入させ、(ii)少なくとも1つの炭素-ハロゲン結合を有し、かつ、アルミニウム含有反応物とは異なる炭素含有反応物を処理チャンバに導入させ、(iii)アルミニウム含有反応物および炭素含有反応物のいずれかまたは両方が吸着制限層を半導体基板の表面に形成する条件下で、アルミニウム含有反応物および炭素含有反応物の少なくとも1つを半導体基板の表面に吸着させ、かつ(iv)アルミニウム含有反応物および炭素含有反応物の少なくとも1つが吸着制限層を半導体基板の表面に形成した後、アルミニウム含有反応物と炭素含有反応物を反応させ、炭素の層を半導体基板の表面に形成するための命令を含む。 In another aspect, a system for processing a semiconductor substrate is provided. The system includes a processing chamber having a substrate holder and one or more inlets for introducing reactants into the processing chamber and performing any of the methods described herein. a system controller containing program instructions for the system; In one embodiment, program instructions cause (i) an aluminum-containing reactant having at least one aluminum-carbon bond to be introduced into the processing chamber; and (ii) an aluminum-containing reactant having at least one carbon-halogen bond and having an aluminum-containing (iii) introducing a carbon-containing reactant different from the reactant into the processing chamber; after at least one of the aluminum-containing reactant and the carbon-containing reactant is adsorbed onto the surface of the semiconductor substrate, and (iv) the at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate. , includes instructions for reacting an aluminum-containing reactant and a carbon-containing reactant to form a layer of carbon on a surface of a semiconductor substrate.

本明細書で説明される主題の実施態様に関する上記態様および他の態様を、添付の図面および以下の説明に記載する。 These and other aspects of implementations of the subject matter described herein are set forth in the accompanying drawings and the description below.

図1は、本明細書で提供される一実施形態による炭素堆積法のプロセスフロー図である。FIG. 1 is a process flow diagram of a carbon deposition method according to one embodiment provided herein.

図2Aは、本明細書で提供される一実施形態による炭素堆積法のプロセスフロー図である。FIG. 2A is a process flow diagram of a carbon deposition method according to one embodiment provided herein.

図2Bは、本明細書で提供される別の実施形態による炭素堆積法のプロセスフロー図である。FIG. 2B is a process flow diagram of a carbon deposition method according to another embodiment provided herein.

図3Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3A is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein. 図3Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3B is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein. 図3Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3C is a schematic cross-sectional view of a semiconductor substrate undergoing processing according to one embodiment provided herein. 図3Dは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3D is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein. 図3Eは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3E is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein. 図3Fは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 3F is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein.

図4は、本明細書で提供される一実施形態による炭素スペーサを形成する方法のプロセスフロー図である。FIG. 4 is a process flow diagram of a method of forming carbon spacers according to one embodiment provided herein.

図5は、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 5 is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein. 図6は、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。FIG. 6 is a schematic cross-sectional view of a semiconductor substrate undergoing processing in accordance with one embodiment provided herein.

図7は、本明細書で提供される一実施形態による炭素膜の堆積に適した装置の概略図である。FIG. 7 is a schematic diagram of an apparatus suitable for depositing carbon films according to one embodiment provided herein.

図8は、本明細書で提供される一実施形態によるマルチステーション処理システムの概略図である。FIG. 8 is a schematic diagram of a multi-station processing system according to one embodiment provided herein.

図9は、本明細書で提供される一実施形態によるマルチステーション処理システムの概略図である。FIG. 9 is a schematic diagram of a multi-station processing system according to one embodiment provided herein.

ALDを使用して炭素膜を堆積する方法を提供する。これらの方法は、例えば、表面に三次元構造を有する半導体基板上(1つまたは複数の凹状フィーチャまたは1つまたは複数の突起を有する基板上など)に共形炭素膜を堆積するために使用することができる。いくつかの実施形態では、これらの方法は、Al-C結合を有するアルミニウム含有反応物(例えば、トリアルキルアルミニウム)と炭素-ハロゲン結合を有する炭素含有反応物(例えば、CF4)との間の反応を含む。 A method of depositing carbon films using ALD is provided. These methods are used, for example, to deposit conformal carbon films on semiconductor substrates with three-dimensional structures on the surface (such as on substrates with one or more recessed features or one or more protrusions). be able to. In some embodiments, these methods involve a reaction between an aluminum-containing reactant having an Al-C bond (e.g., trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., CF 4 ). Contains reactions.

本明細書で使用される「ALD」という用語は、一般に、基板表面に吸着された反応物(吸着制限反応物層)の量によって制限される反応に依存する堆積法を指す。反応物の吸着制限層は、アルミニウム含有反応物の吸着制限層、炭素含有反応物の吸着制限層、または両方の反応物の吸着制限層を含み得る。いくつかの実施形態では、ALD法は、反応物が処理チャンバの大部分で混合されることがないように、反応物を処理チャンバに連続的に導入することを含む。 As used herein, the term "ALD" generally refers to a deposition method that relies on a reaction that is limited by the amount of reactant adsorbed to the substrate surface (an adsorption-limiting reactant layer). The reactant adsorption limiting layer may include an aluminum-containing reactant adsorption limiting layer, a carbon containing reactant adsorption limiting layer, or both reactant adsorption limiting layers. In some embodiments, the ALD method includes continuously introducing reactants into a processing chamber such that the reactants do not mix in a majority of the processing chamber.

いくつかの実施形態では、炭素膜は、ギャップフィル用途で堆積される。例えば、一実施態様では、炭素膜は、3D NAND製作中のギャップフィルにおいて堆積させることができる。いくつかの実施態様では、炭素膜は、自己整合ダブルパターニング(SADP)におけるスペーサとして使用される。しかし、提供される方法は、凹状フィーチャを有する表面への炭素膜の堆積に限定されず、平坦な表面へのブランケット炭素膜の堆積にも使用することができる。この方法は、表面制御された反応に依存しており、膜厚を高度に制御して膜を堆積するために使用することができる。膜の堆積は、反応物をプロセスチャンバに連続的に導入可能な多種多様な装置において行うことができる。例えば、炭素膜の堆積は、Lam Research Corporationから入手可能なStriker(登録商標)堆積システムにおいて行うことができる。 In some embodiments, carbon films are deposited in gap fill applications. For example, in one embodiment, a carbon film can be deposited in a gap fill during 3D NAND fabrication. In some implementations, carbon films are used as spacers in self-aligned double patterning (SADP). However, the provided method is not limited to depositing carbon films on surfaces with concave features, but can also be used to deposit blanket carbon films on flat surfaces. This method relies on surface-controlled reactions and can be used to deposit films with a high degree of control over film thickness. Film deposition can be performed in a wide variety of devices that allow reactants to be continuously introduced into the process chamber. For example, carbon film deposition can be performed in a Striker® deposition system available from Lam Research Corporation.

本明細書で使用される炭素は、本質的に炭素(C)からなり、任意で水素(H)を含む材料を指す。いくつかの実施形態において、本明細書で使用される炭素膜は、C-H結合を含んでいてもよい。炭化水素を含む材料は、炭素膜の範囲内である。炭素膜には、ドーパントとして他の元素が少量存在していてもよく、その量はドーパントの総量に対して約10原子%未満である(この計算に水素は含まれない)。 Carbon, as used herein, refers to a material consisting essentially of carbon (C) and optionally containing hydrogen (H). In some embodiments, carbon films used herein may include C--H bonds. Hydrocarbon-containing materials are within the scope of carbon membranes. The carbon film may also have small amounts of other elements as dopants, less than about 10 atomic percent of the total amount of dopants (hydrogen is not included in this calculation).

本明細書で使用される「半導体基板」という用語は、その構造内の任意の場所に半導体材料を含む、半導体デバイス製作のあらゆる段階における基板を指す。なお、半導体基板中の半導体材料を露出させる必要はない。半導体材料を覆う他の材料(例えば、誘電体)の複数の層を有する半導体ウエハは、半導体基板の例である。以下の詳細な説明は、開示される実施態様がウエハ上で実施されることを想定している。しかし、開示される実施態様は、そのように限定されない。ワークピースは、様々な形状、サイズ、および材料であり得る。半導体ウエハのほかにも、開示される実施態様を利用することができる他のワークピースとしては、プリント回路基板のような様々な製品が挙げられる。 As used herein, the term "semiconductor substrate" refers to a substrate at any stage of semiconductor device fabrication that includes semiconductor material anywhere within its structure. Note that there is no need to expose the semiconductor material in the semiconductor substrate. A semiconductor wafer having multiple layers of other materials (eg, dielectrics) overlying semiconductor material is an example of a semiconductor substrate. The detailed description below assumes that the disclosed embodiments are implemented on a wafer. However, the disclosed embodiments are not so limited. Workpieces can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that can utilize the disclosed embodiments include various products such as printed circuit boards.

炭素膜を堆積するためのプロセスを、図1に示すプロセスフロー図によって例示する。動作101において、アルミニウム含有反応物が、半導体基板を収容する処理チャンバに導入される。アルミニウム含有反応物は、少なくとも1つのアルミニウム-炭素結合を含む。いくつかの実施形態では、アルミニウム-炭素結合の炭素は、メチル、エチル、プロピル(例えば、n-プロピルまたはiso-プロピル)、ブチル、ペンチルなどのようなアルキル置換基の一部である。いくつかの実施形態では、アルミニウム含有反応物は、トリアルキルアルミニウムである。適切な反応物の例には、トリメチルアルミニウム、トリエチルアルミニウムなどが挙げられる。いくつかの実施形態では、アルミニウム含有反応物は揮発性であり、気相で処理チャンバに導入される。反応物は、キャリアガスとの混合物として導入することができ、キャリアガスは、典型的には、N2、He、Ar、Ne、またはKrなどの不活性ガスである。アルミニウム含有反応物が揮発性ではないとき、アルミニウム含有反応物は、Kemstreamから入手可能なVapbox DLI気化器などの直接液体注入(DLI)気化器を使用して気化することができる。 A process for depositing a carbon film is illustrated by the process flow diagram shown in FIG. In operation 101, an aluminum-containing reactant is introduced into a processing chamber containing a semiconductor substrate. The aluminum-containing reactant contains at least one aluminum-carbon bond. In some embodiments, the carbon of the aluminum-carbon bond is part of an alkyl substituent such as methyl, ethyl, propyl (eg, n-propyl or iso-propyl), butyl, pentyl, and the like. In some embodiments, the aluminum-containing reactant is a trialkylaluminum. Examples of suitable reactants include trimethylaluminum, triethylaluminum, and the like. In some embodiments, the aluminum-containing reactant is volatile and is introduced into the processing chamber in a gas phase. The reactants can be introduced in a mixture with a carrier gas, which is typically an inert gas such as N2 , He, Ar, Ne, or Kr. When the aluminum-containing reactant is not volatile, it can be vaporized using a direct liquid injection (DLI) vaporizer, such as the Vapbox DLI vaporizer available from Kemstream.

動作103において、炭素含有反応物が、基板を収容する処理チャンバに導入される。炭素含有反応物は、炭素-ハロゲン結合(炭素-フッ素結合、炭素-塩素結合、および炭素-臭素結合の少なくとも1つなど)を有する。適切な反応物の例として、CX4、CHX3、CH22、およびCH3Xが挙げられ、Xはハロゲンである。例えば、いくつかの実施形態では、CF4、CHF3、CH22、またはCH3Fなどのフッ素含有反応物が使用される。他の実施形態では、CCl4、CHCl3、CH2Cl2、またはCH3Clなどの塩素含有反応物が用いられてもよい。 In operation 103, a carbon-containing reactant is introduced into a processing chamber containing a substrate. The carbon-containing reactant has a carbon-halogen bond, such as at least one of a carbon-fluorine bond, a carbon-chlorine bond, and a carbon-bromine bond. Examples of suitable reactants include CX4 , CHX3 , CH2X2 , and CH3X , where X is halogen. For example, some embodiments use fluorine-containing reactants such as CF4 , CHF3 , CH2F2 , or CH3F . In other embodiments, chlorine-containing reactants such as CCl4 , CHCl3 , CH2Cl2 , or CH3Cl may be used.

アルミニウム含有反応物および炭素含有反応物は、典型的には、処理チャンバに連続的に導入され、処理チャンバの大部分で混ざり合わない。導入の順序は、実施形態によって変わることがある。いくつかの実施形態では、アルミニウム含有反応物が最初に導入され、続いて炭素含有反応物が導入される。他の実施形態では、炭素含有反応物が最初に導入され、続いてアルミニウム含有反応物が導入される。反応物の少なくとも1つ(例えば、アルミニウム含有反応物、炭素含有反応物、またはその両方)は、吸着制限層を基板上に形成する。いくつかの実施形態では、最初に導入された反応物が吸着制限層を基板上に形成し、2番目に導入された反応物は、第1の反応物の吸着制限層と接触した後、その吸着制限層と反応する。他の実施形態では、最初に導入された反応物が吸着制限層を形成し、2番目に導入された反応物も吸着制限層を形成し、その後(例えば、熱活性化またはプラズマ活性化の後)、両方の反応物が基板表面で反応する。いくつかの実施形態において、反応は、ヘリウム(He)、アルゴン(Ar)、水素(H2)および窒素(N2)、またはそれらの任意の混合物などのガスで形成されたプラズマと基板を接触させることによって活性化される。プラズマによる反応の活性化は、比較的低温での炭素の形成を可能にするために使用され得る。いくつかの実施形態では、炭素膜は、300℃未満、例えば200℃未満の温度でのプラズマ活性化反応を使用して形成される。 The aluminum-containing reactant and the carbon-containing reactant are typically introduced into the processing chamber sequentially and do not mix in the majority of the processing chamber. The order of introduction may vary depending on the embodiment. In some embodiments, the aluminum-containing reactant is introduced first followed by the carbon-containing reactant. In other embodiments, the carbon-containing reactant is introduced first followed by the aluminum-containing reactant. At least one of the reactants (eg, an aluminum-containing reactant, a carbon-containing reactant, or both) forms an adsorption-limiting layer on the substrate. In some embodiments, the first introduced reactant forms an adsorption limiting layer on the substrate, and the second introduced reactant forms an adsorption limiting layer on the substrate after contacting the adsorption limiting layer of the first reactant. Reacts with the adsorption limiting layer. In other embodiments, the first introduced reactant forms an adsorption-limiting layer, the second introduced reactant also forms an adsorption-limiting layer, and then (e.g., after thermal activation or plasma activation) ), both reactants react at the substrate surface. In some embodiments, the reaction involves contacting the substrate with a plasma formed with a gas such as helium (He), argon (Ar), hydrogen ( H2 ) and nitrogen ( N2 ), or any mixture thereof. activated by Activation of the reaction by plasma can be used to enable the formation of carbon at relatively low temperatures. In some embodiments, the carbon film is formed using a plasma activated reaction at a temperature of less than 300°C, such as less than 200°C.

導入の順序に関係なく、いくつかの実施形態では、最初に導入されて未吸着の反応物を処理チャンバから除去するために、第1の反応物の導入後、かつ第2の反応物の導入前に処理チャンバをパージおよび/または排気する。 Regardless of the order of introduction, in some embodiments, the introduction of the first reactant is followed by the introduction of the second reactant to remove unadsorbed reactants that were introduced first from the processing chamber. purge and/or evacuate the processing chamber beforehand.

動作105において、アルミニウム含有反応物は、炭素含有反応物と反応して炭素の層を基板表面に形成する。この場合、形成された炭素の量は、反応物の(例えば、アルミニウム含有反応物および/または炭素含有反応物の)吸着制限層によって制限される。この反応において、アルミニウム含有反応物のアルミニウム-炭素結合および炭素含有反応物の炭素-ハロゲン結合が切断されて炭素(C-H結合を含み得る)を形成し、さらにアルミニウム-ハロゲン結合を含む副産物を形成する。例えば、炭素含有反応物中のハロゲンがフッ素であるとき、アルミニウム-フッ素結合を含む副産物が形成される。いくつかの実施形態では、反応物を接触させた後に反応が自発的に発生する。他の実施形態では、反応物を接触させた後に(例えば、熱的に)反応を活性化させる。 In operation 105, the aluminum-containing reactant reacts with the carbon-containing reactant to form a layer of carbon on the substrate surface. In this case, the amount of carbon formed is limited by an adsorption limiting layer of reactants (eg, aluminum-containing reactants and/or carbon-containing reactants). In this reaction, the aluminum-carbon bonds in the aluminum-containing reactant and the carbon-halogen bonds in the carbon-containing reactant are cleaved to form carbon (which may contain C-H bonds) and byproducts containing aluminum-halogen bonds. Form. For example, when the halogen in the carbon-containing reactant is fluorine, byproducts containing aluminum-fluorine bonds are formed. In some embodiments, the reaction occurs spontaneously after contacting the reactants. In other embodiments, the reaction is activated (eg, thermally) after contacting the reactants.

いくつかの実施形態では、ハロゲン化アルミニウムの副産物を、炭素の形成と同時に基板表面から除去する。他の実施形態では、動作107によって示すように、別のステップでアルミニウム含有副産物を基板から除去する。例えば、基板を加熱して、副産物である揮発性ハロゲン化アルミニウム(例えば、フッ化アルミニウム)を除去することができる。 In some embodiments, aluminum halide byproducts are removed from the substrate surface simultaneously with carbon formation. In other embodiments, the aluminum-containing byproduct is removed from the substrate in a separate step, as illustrated by operation 107. For example, the substrate can be heated to remove volatile aluminum halides (eg, aluminum fluoride) byproducts.

動作101~105を含む堆積サイクルは、いくつかの実施形態では、1サイクルで平均0.5~3Aの炭素膜を堆積する。このサイクルは、所望の厚さの炭素膜を堆積するため必要な回数だけ繰り返すことができる。例えば、いくつかの実施形態では、厚さ5~1,000Aの炭素膜が堆積される。 The deposition cycle including operations 101-105, in some embodiments, deposits an average of 0.5-3A of carbon film per cycle. This cycle can be repeated as many times as necessary to deposit a carbon film of the desired thickness. For example, in some embodiments, a carbon film between 5 and 1,000 Å thick is deposited.

炭素堆積法の一実施形態を、図2Aに示すプロセスフロー図によって例示する。このプロセスは、アルミニウム含有反応物を半導体基板上に吸着させる201で開始する。トリアルキルアルミニウムなどのアルミニウム含有前駆体は、キャリアガスと共にプロセスチャンバに流して、基板表面に吸着させることができる。このステップのプロセス条件は、アルミニウム含有反応物の吸着制限層が形成されるように選択される。次に、動作203において、処理チャンバをパージおよび/または排気することによって、未吸着のアルミニウム含有反応物を処理チャンバから除去する。例えば、N2、He、Ar、Neなどのような不活性ガスで処理チャンバをパージすることができる。このステップの後、処理チャンバの大部分にはアルミニウム含有前駆体が存在せず、その後の全ての反応は、基板上の吸着されたアルミニウム含有反応物の量によって制限される。次に、動作205において、炭素含有反応物を処理チャンバに導入し、吸着されたアルミニウム含有反応物と反応させて、炭素の層を半導体基板の表面に形成する。いくつかの実施形態では、この反応は、炭素含有反応物が導入された後に自発的に発生する。 One embodiment of a carbon deposition method is illustrated by the process flow diagram shown in FIG. 2A. The process begins at 201 with adsorption of an aluminum-containing reactant onto a semiconductor substrate. An aluminum-containing precursor, such as trialkyl aluminum, can be flowed into the process chamber with a carrier gas and adsorbed to the substrate surface. The process conditions for this step are selected such that an adsorption limiting layer of the aluminum-containing reactant is formed. Next, in operation 203, unadsorbed aluminum-containing reactants are removed from the processing chamber by purging and/or evacuating the processing chamber. For example, the processing chamber can be purged with an inert gas such as N 2 , He, Ar, Ne, and the like. After this step, the majority of the processing chamber is free of aluminum-containing precursors and all subsequent reactions are limited by the amount of adsorbed aluminum-containing reactants on the substrate. Next, in operation 205, a carbon-containing reactant is introduced into the processing chamber and reacts with the adsorbed aluminum-containing reactant to form a layer of carbon on the surface of the semiconductor substrate. In some embodiments, this reaction occurs spontaneously after the carbon-containing reactant is introduced.

次に、動作207において、アルミニウム含有副産物を処理チャンバから除去する。いくつかの実施形態では副産物が炭素形成と同時に除去されるため、このステップは任意である。副産物が炭素形成反応と同時に除去されない場合、副産物は別のステップで(例えば、加熱によって)除去することができる。 Next, in operation 207, the aluminum-containing byproduct is removed from the processing chamber. This step is optional because in some embodiments byproducts are removed at the same time as carbon formation. If the by-products are not removed simultaneously with the carbon-forming reaction, they can be removed in a separate step (eg, by heating).

次に、所定の厚さの炭素層を形成するため、動作209において、炭素の堆積(ステップ201~207)を必要な回数だけ繰り返す。例えば、いくつかの実施形態では、動作201~205の実施を含むサイクルを少なくとも5回または少なくとも10回繰り返す。処理中の温度および圧力は、一方または両方の反応物の吸着制限層を基板に形成可能となるように制御される。いくつかの実施形態では、一連の堆積動作全体において、温度は約400℃未満に維持され、圧力は大気圧未満のレベルに維持される。説明された反応物を使用する炭素膜の堆積は、プラズマの非存在下で実施することができる。いくつかの実施形態では、例えば、堆積された炭素層の品質を堆積後に改善するために、および/または基板表面の1つまたは複数の反応物を活性化するためにプラズマ処理を利用してもよい。 Next, in operation 209, carbon deposition (steps 201 to 207) is repeated as many times as necessary to form a carbon layer of a predetermined thickness. For example, in some embodiments, a cycle including performing acts 201-205 is repeated at least 5 times or at least 10 times. Temperature and pressure during processing are controlled to allow formation of an adsorption limiting layer of one or both reactants on the substrate. In some embodiments, the temperature is maintained below about 400° C. and the pressure is maintained at a sub-atmospheric level throughout the series of deposition operations. Deposition of carbon films using the described reactants can be carried out in the absence of a plasma. In some embodiments, plasma treatment may be utilized, for example, to improve the quality of the deposited carbon layer after deposition and/or to activate one or more reactants at the substrate surface. good.

図2Bは、プラズマ活性化反応を使用して炭素層を形成する方法のプロセスフロー図を示す。図2Bを参照すると、プロセスは、アルミニウム含有反応物の層を基板上に形成する211で開始する。例えば、アルミニウム含有反応物の吸着制限層を基板上に形成することができる。次に、動作213において、処理チャンバをパージおよび/または排気して、アルミニウム含有反応物を処理チャンバから除去する。例えば、不活性ガスをパージガスとして使用して、未吸着のアルミニウム含有反応物を除去することができる。次に、動作215において、炭素含有材料の層を基板上に形成する。例えば、炭素含有材料を処理チャンバに導入して、吸着制限層を基板上に形成させることができる。動作217において、処理チャンバをパージおよび/または排気して、炭素含有材料を処理チャンバから除去する。この動作の後、基板表面にはアルミニウム含有材料の層および炭素含有材料の層が存在する。次に、動作219において、基板をプラズマで処理して基板上のアルミニウム含有反応物と炭素含有反応物との間の反応を活性化し、炭素層を形成する。いくつかの実施形態では、プラズマは、ヘリウム(He)、アルゴン(Ar)、水素(H2)、窒素(N2)、またはこれらのガスの任意の混合物で形成される。反応副産物は、プラズマ処理と同時に、またはその後のステップで除去することができる。プラズマ処理後、処理チャンバをパージおよび/または排気することができ、ステップ211~219の一連の処理は、所定の厚さを有する炭素層が堆積されるまで、動作221において必要な回数だけ繰り返すことができる。1つの例示的な実施形態において、アルミニウム含有反応物はトリアルキルアルミニウム(例えば、トリメチルアルミニウムまたはトリエチルアルミニウム)であり、炭素含有反応物はCF4である。 FIG. 2B shows a process flow diagram of a method of forming a carbon layer using a plasma activated reaction. Referring to FIG. 2B, the process begins at 211 with forming a layer of aluminum-containing reactant on a substrate. For example, an adsorption limiting layer of an aluminum-containing reactant can be formed on the substrate. Next, in operation 213, the processing chamber is purged and/or evacuated to remove aluminum-containing reactants from the processing chamber. For example, an inert gas can be used as a purge gas to remove unadsorbed aluminum-containing reactants. Next, in operation 215, a layer of carbon-containing material is formed on the substrate. For example, a carbon-containing material can be introduced into the processing chamber to form an adsorption limiting layer on the substrate. In operation 217, the processing chamber is purged and/or evacuated to remove carbon-containing material from the processing chamber. After this operation, there is a layer of aluminum-containing material and a layer of carbon-containing material on the substrate surface. Next, in operation 219, the substrate is treated with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant on the substrate to form a carbon layer. In some embodiments, the plasma is formed of helium (He), argon (Ar), hydrogen ( H2 ), nitrogen ( N2 ), or any mixture of these gases. Reaction byproducts can be removed simultaneously with the plasma treatment or in a subsequent step. After plasma processing, the processing chamber may be purged and/or evacuated, and the sequence of steps 211-219 may be repeated as many times as necessary in operation 221 until a carbon layer having a predetermined thickness is deposited. I can do it. In one exemplary embodiment, the aluminum-containing reactant is trialkylaluminum (eg, trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF4 .

本明細書で提供される方法によって堆積された炭素膜は、半導体デバイス製作における様々な用途で使用することができる。この炭素膜は、三次元フィーチャ(例えば、凸状フィーチャまたは凹状フィーチャ)を有する基板上への膜の共形堆積が望まれるときに特に有用である。いくつかの実施形態では、パターニング用途におけるスペーサとして炭素膜が使用される。炭素スペーサ形成の一例を図3A~図3Fに示す。これらは、様々な処理段階での半導体基板の概略断面図である。図4は、カーボンマンドレルの形成を伴う半導体処理方法の例示的なプロセスフロー図を示す。 Carbon films deposited by the methods provided herein can be used in a variety of applications in semiconductor device fabrication. This carbon film is particularly useful when conformal deposition of the film onto a substrate having three-dimensional features (eg, convex or concave features) is desired. In some embodiments, carbon films are used as spacers in patterning applications. An example of carbon spacer formation is shown in FIGS. 3A-3F. These are schematic cross-sectional views of a semiconductor substrate at various processing stages. FIG. 4 shows an exemplary process flow diagram of a semiconductor processing method involving the formation of a carbon mandrel.

図4を参照すると、図示のプロセスは、マンドレルとも呼ばれる複数の凸状フィーチャを有する基板を提供する401で開始する。例示的な基板を示す図3Aは、エッチング停止層(ESL)303上に存在する2つのマンドレル301を示している。隣接するマンドレル間の距離d1は、いくつかの実施形態では、約10~100nmである。いくつかの実施形態では、約40~100nmの比較的大きな距離が使用される。他の用途では、最も近いマンドレル間の距離は、約10~30nmである。最も近いマンドレルの中心間の距離d2は、ピッチとも呼ばれ、いくつかの実施形態では約30~130nmである。いくつかの実施形態では、ピッチは、約80~130nmである。他の実施形態では、ピッチは約30~40nmである。マンドレルの高さd3は、一般的には約20~200nm、例えば約50~100nmである。 Referring to FIG. 4, the illustrated process begins at 401 with providing a substrate having a plurality of convex features, also referred to as a mandrel. FIG. 3A, which depicts an exemplary substrate, shows two mandrels 301 overlying an etch stop layer (ESL) 303. The distance d1 between adjacent mandrels is about 10-100 nm in some embodiments. In some embodiments, relatively large distances of about 40-100 nm are used. In other applications, the distance between the closest mandrels is about 10-30 nm. The distance d2 between the centers of the nearest mandrels, also referred to as pitch, is approximately 30-130 nm in some embodiments. In some embodiments, the pitch is about 80-130 nm. In other embodiments, the pitch is about 30-40 nm. The height d3 of the mandrel is typically about 20-200 nm, for example about 50-100 nm.

マンドレルおよびESLの材料は、その後、露出した炭素の存在下でマンドレル材料を選択的にエッチングできるように、および露出した炭素の存在下でESL材料を選択的にエッチングできるように選択される。したがって、炭素のエッチング速度に対するESL材料のエッチング速度の比は、1よりも大きく、より好ましくは約1.5よりも大きく、例えば第1の化学エッチングでは約2よりも大きい。いくつかの実施形態において、ESL材料は、ケイ素含有材料(例えば、窒化シリコンなどのケイ素含有化合物)であり、第1の化学エッチングは、フッ素ベースのプラズマエッチング(例えば、フルオロカーボンを含むガスで形成されたプラズマ)である。いくつかの実施形態において、ESL材料は、金属酸化物または金属窒化物であり、第1の化学エッチングは、ハロゲンベースのプラズマエッチング(例えば、ハロゲンを含むプロセスガスで形成されたプラズマ)である。同様に、炭素のエッチング速度に対するマンドレル材料のエッチング速度の比は、1よりも大きく、より好ましくは約1.5よりも大きく、例えば第2の化学エッチングでは約2よりも大きい。いくつかの実施形態において、マンドレル材料は、ケイ素含有材料(例えば、ケイ素含有化合物)であり、第1の化学エッチングは、フッ素ベースのプラズマエッチング(例えば、フルオロカーボンを含むガスで形成されたプラズマ)である。いくつかの実施形態において、マンドレル材料は、金属酸化物または金属窒化物であり、第1の化学エッチングは、ハロゲンベースのプラズマエッチング(例えば、ハロゲンを含むプロセスガスで形成されたプラズマ)である。 The mandrel and ESL materials are then selected such that the mandrel material can be selectively etched in the presence of exposed carbon, and the ESL material can be selectively etched in the presence of exposed carbon. Accordingly, the ratio of the ESL material etch rate to the carbon etch rate is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for the first chemical etch. In some embodiments, the ESL material is a silicon-containing material (e.g., a silicon-containing compound such as silicon nitride) and the first chemical etch is a fluorine-based plasma etch (e.g., formed with a fluorocarbon-containing gas). plasma). In some embodiments, the ESL material is a metal oxide or metal nitride, and the first chemical etch is a halogen-based plasma etch (eg, a plasma formed with a process gas that includes a halogen). Similarly, the ratio of the mandrel material etch rate to the carbon etch rate is greater than 1, more preferably greater than about 1.5, such as greater than about 2 for the second chemical etch. In some embodiments, the mandrel material is a silicon-containing material (e.g., a silicon-containing compound) and the first chemical etch is a fluorine-based plasma etch (e.g., a plasma formed with a fluorocarbon-containing gas). be. In some embodiments, the mandrel material is a metal oxide or metal nitride, and the first chemical etch is a halogen-based plasma etch (eg, a plasma formed with a process gas that includes a halogen).

いくつかの実施形態では、ESL材料は、ケイ素含有化合物(例えば、SiO2)、または金属酸化物(例えば、酸化チタン、酸化ジルコニウム、酸化タングステン)である。マンドレル材料は、ケイ素含有化合物(例えば、SiO2、SiN、またはSiC)、アモルファスシリコン(ドープまたは非ドープ)または金属酸化物(TaO、TiO、WO、ZrO、HfO)を含み得る。いくつかの実施形態では、マンドレルの外側材料は、マンドレルコアとは異なる場合がある。例えば、いくつかの実施形態では、マンドレルは、酸化シリコンで覆われた(例えば、自発的に形成された熱酸化物層を有する)アモルファスシリコンで作製される。ESL層およびマンドレルは、物理気相堆積(PVD)、化学気相堆積(CVD)、ALD(プラズマなし、またはPEALDによる)、またはプラズマ強化化学気相堆積(PECVD)の1つまたは複数によって形成することができ、マンドレルのパターンは、フォトリソグラフィ技術を使用して定義することができる。適切なESL/マンドレルの組み合わせの例には、(i)酸化シリコンESLと、酸化シリコンで覆われたシリコンマンドレル、(ii)酸化シリコンESLと、金属酸化物マンドレル、および(iii)金属酸化物ESLと、酸化シリコンで覆われたシリコンマンドレルが挙げられる。 In some embodiments, the ESL material is a silicon-containing compound (eg, SiO 2 ) or a metal oxide (eg, titanium oxide, zirconium oxide, tungsten oxide). The mandrel material may include silicon-containing compounds (eg, SiO 2 , SiN, or SiC), amorphous silicon (doped or undoped), or metal oxides (TaO, TiO, WO, ZrO, HfO). In some embodiments, the outer material of the mandrel may be different than the mandrel core. For example, in some embodiments, the mandrel is made of amorphous silicon covered with silicon oxide (eg, with a spontaneously formed thermal oxide layer). The ESL layer and mandrel are formed by one or more of physical vapor deposition (PVD), chemical vapor deposition (CVD), ALD (without plasma or with PEALD), or plasma enhanced chemical vapor deposition (PECVD). The pattern of the mandrel can be defined using photolithographic techniques. Examples of suitable ESL/mandrel combinations include (i) a silicon oxide ESL and a silicon oxide covered silicon mandrel, (ii) a silicon oxide ESL and a metal oxide mandrel, and (iii) a metal oxide ESL. and a silicon mandrel covered with silicon oxide.

再び図3Aに示す基板を参照すると、ESL層303は、ターゲット層305の上に存在し、ターゲット層305と接触している。ターゲット層305は、パターニングが必要な層である。ターゲット層305は、半導体層、誘電体層または他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、または窒化チタン(TiN)で作製することができる。いくつかの実施形態では、ターゲット層は、ハードマスク層と呼ばれ、窒化チタンなどの金属窒化物を含む。ターゲット層305は、ALD(プラズマなし、またはPEALDによる)、CVD、または他の適切な堆積技術によって堆積され得る。 Referring again to the substrate shown in FIG. 3A, ESL layer 303 overlies and is in contact with target layer 305. Target layer 305 is a layer that requires patterning. Target layer 305 may be a semiconductor layer, a dielectric layer, or other layer, and may be made of, for example, silicon (Si), silicon oxide ( SiO2 ), silicon nitride (SiN), or titanium nitride (TiN). I can do it. In some embodiments, the target layer is referred to as a hardmask layer and includes a metal nitride, such as titanium nitride. Target layer 305 may be deposited by ALD (plasma-less or PEALD), CVD, or other suitable deposition technique.

ターゲット層305は、誘電体材料の層に複数の金属線が埋め込まれている層307の上に存在し、層307と接触している。いくつかの実施形態において、層307はBEOL層である。 A target layer 305 overlies and is in contact with a layer 307 in which a plurality of metal lines are embedded in a layer of dielectric material. In some embodiments, layer 307 is a BEOL layer.

図4を参照すると、基板の処理は、炭素層を凸状フィーチャの水平面と側壁の両方に堆積する403に続く。炭素層は、好ましくは、本明細書で提供されるALD法を使用して共形的に堆積される。図3Bに示す構造を参照すると、炭素層309は、ESL303の上およびマンドレル301(側壁を含む)の上に堆積される。図示の実施形態において、炭素層は、本明細書で開発されるALD法によって堆積される。いくつかの実施形態において、炭素層は、約5~30nm、例えば約10~20nmの厚さに共形的に堆積される。 Referring to FIG. 4, processing of the substrate continues at 403 with depositing a carbon layer on both the horizontal surfaces and sidewalls of the raised features. The carbon layer is preferably conformally deposited using the ALD method provided herein. Referring to the structure shown in FIG. 3B, a carbon layer 309 is deposited over the ESL 303 and over the mandrel 301 (including the sidewalls). In the illustrated embodiment, the carbon layer is deposited by the ALD method developed herein. In some embodiments, the carbon layer is conformally deposited to a thickness of about 5-30 nm, such as about 10-20 nm.

炭素層が共形的に堆積された後、プロセスは、凸状フィーチャの側壁から炭素層を完全に除去することなく、水平面から炭素層を完全に除去する405に続く。このエッチングは、酸素ベースのプラズマエッチングを使用して(例えば、酸素を含むガスで形成されたプラズマを使用して)実施することができる。他の実施形態では、水素ベースのエッチングを使用してもよい(例えば、水素を含むプロセスガスで形成されたプラズマを使用する)。マンドレルが外層にケイ素含有化合物または金属酸化物を有する場合、水素ベースのエッチングまたは酸素ベースのエッチングを使用することができる。このステップで利用される化学エッチングは、好ましくは、ESL材料とマンドレルの外層材料の両方に対して選択的であるべきである(すなわち、この化学エッチングのための炭素のエッチング速度は、外側のマンドレル材料のエッチング速度よりも大きく、かつ、ESL材料のエッチング速度よりも大きくなければならない)。水平面からの炭素層の除去は、図3Cに例示されている。炭素層309は、マンドレル301の側壁に付着する位置から完全にエッチングされることなく、ESL303上およびマンドレル301上の水平面からエッチングされる。このエッチングにより、層303は、マンドレル301の側壁に近い場所を除くあらゆる場所で露出する。さらに、このエッチングにより、マンドレルの上部が露出する。得られた構造を図3Cに示す。このエッチングの後、側壁の炭素層は、初期高さの少なくとも50%(例えば、少なくとも80%または少なくとも90%)が残っていることが好ましい。一例として、酸化シリコンで覆われたマンドレルから炭素を選択的にエッチングする場合、炭素は、水素ベースのエッチング(例えば、H2プラズマエッチング)によって、マンドレルの外側材料(SiO2)が露出するように選択的にエッチングされる。水素ベースのエッチングは、SiO2に対して選択的である。別の例として、金属酸化物(例えば、チタン酸化物)のマンドレルから炭素を選択的にエッチングする場合、炭素は、水素ベースのエッチング(例えば、H2プラズマエッチング)または酸素ベースのエッチング(例えば、O2プラズマエッチング)によって、マンドレル材料(金属酸化物)が露出するように選択的にエッチングされる。これらの化学エッチングは、揮発性水素化物を形成しない金属の酸化物(酸化チタンなど)に対して選択的である。 After the carbon layer is conformally deposited, the process continues at 405 with completely removing the carbon layer from the horizontal surfaces without completely removing the carbon layer from the sidewalls of the convex features. This etching can be performed using an oxygen-based plasma etch (eg, using a plasma formed with an oxygen-containing gas). In other embodiments, a hydrogen-based etch may be used (eg, using a plasma formed with a process gas that includes hydrogen). If the mandrel has a silicon-containing compound or metal oxide in the outer layer, a hydrogen-based etch or an oxygen-based etch can be used. The chemical etch utilized in this step should preferably be selective to both the ESL material and the outer mandrel material (i.e., the carbon etch rate for this chemical etch should be selective to both the ESL material and the outer mandrel material). (must be greater than the etch rate of the material and greater than the etch rate of the ESL material). Removal of the carbon layer from the horizontal plane is illustrated in FIG. 3C. The carbon layer 309 is etched from the horizontal plane on the ESL 303 and the mandrel 301 without being completely etched from the position where it adheres to the sidewall of the mandrel 301. This etch exposes layer 303 everywhere except near the sidewalls of mandrel 301. Additionally, this etch exposes the top of the mandrel. The resulting structure is shown in Figure 3C. After this etching, the sidewall carbon layer preferably has at least 50% (eg, at least 80% or at least 90%) of its initial height remaining. As an example, when selectively etching carbon from a mandrel covered with silicon oxide, the carbon is removed by a hydrogen-based etch (e.g., H2 plasma etch) such that the outer material of the mandrel ( SiO2 ) is exposed. selectively etched. Hydrogen-based etching is selective to SiO2 . As another example, when selectively etching carbon from a metal oxide (e.g., titanium oxide) mandrel, the carbon can be etched using a hydrogen-based etch (e.g., H2 plasma etch) or an oxygen-based etch (e.g., The mandrel material (metal oxide) is selectively etched to expose the mandrel material (metal oxide). These chemical etches are selective to oxides of metals (such as titanium oxide) that do not form volatile hydrides.

次のステップ407は、凸状フィーチャの側壁に存在する炭素層を完全に除去することなく凸状フィーチャを完全に除去し、それによって炭素スペーサを形成することを含む。図3Dに示すように、マンドレル301が基板から除去され、露出された炭素スペーサ309および露出された層のESL303が残される。マンドレルの除去は、マンドレル材料を選択的にエッチングする化学エッチングに基板を晒すことによって実施される。したがって、このステップにおける炭素のエッチング速度に対するマンドレル材料のエッチング速度の比は、1よりも大きく、より好ましくは1.5よりも大きい。さらに、このステップで使用される化学エッチングは、いくつかの実施形態では、ESL材料と比較してマンドレル材料を選択的にエッチングする必要がある。様々なエッチング方法を使用することができ、化学反応の具体的な選択は、マンドレルの材料およびESL層の材料によって異なる。酸化シリコンで覆われたアモルファスシリコンでマンドレルが作製されているとき、フッ素ベースの化学反応(例えば、NF3)を使用して、シリコンマンドレル301を覆うSiO2層とシリコンマンドレル301を一緒に除去することができる。この化学反応は、炭素に対して選択的である。 The next step 407 includes completely removing the raised features without completely removing the carbon layer present on the sidewalls of the raised features, thereby forming carbon spacers. As shown in FIG. 3D, mandrel 301 is removed from the substrate, leaving exposed carbon spacers 309 and exposed layer ESL 303. Mandrel removal is performed by exposing the substrate to a chemical etch that selectively etches the mandrel material. Therefore, the ratio of the mandrel material etch rate to the carbon etch rate in this step is greater than 1, and more preferably greater than 1.5. Furthermore, the chemical etching used in this step, in some embodiments, is required to selectively etch the mandrel material compared to the ESL material. Various etching methods can be used, and the specific choice of chemistry will depend on the mandrel material and the ESL layer material. When the mandrel is made of amorphous silicon covered with silicon oxide, a fluorine-based chemistry (e.g., NF3 ) is used to remove the SiO2 layer covering the silicon mandrel 301 and the silicon mandrel 301 together. be able to. This chemical reaction is selective to carbon.

マンドレルが金属酸化物(例えば、酸化チタン、酸化タングステン、酸化ジルコニウム、酸化ハフニウム、酸化タンタル)であるとき、基板の処理には、炭素と比較してマンドレルを選択的に除去するために、塩素ベースの化学エッチング(例えば、プラズマ中のBCl3/Cl2)を用いることができる。この化学反応は、ケイ素含有化合物(例えば、SiO2、SiN、SiC)を含むESLの存在下で使用することができる。 When the mandrel is a metal oxide (e.g., titanium oxide, tungsten oxide, zirconium oxide, hafnium oxide, tantalum oxide), substrate treatment may include a chlorine-based treatment to selectively remove the mandrel compared to carbon. Chemical etching (eg, BCl 3 /Cl 2 in a plasma) can be used. This chemistry can be used in the presence of an ESL containing silicon-containing compounds (eg, SiO 2 , SiN, SiC).

次に、露出したESL膜303をエッチングし、その下のターゲット層305を、炭素スペーサ309によって保護されていない全ての位置で露出させる。得られた構造を図3Eに示す。このステップで使用される化学エッチングは、炭素の存在下でESL材料を選択的にエッチングする。言い換えれば、炭素のエッチング速度に対するESL材料のエッチング速度の比は、1よりも大きく、より好ましくは1.5よりも大きい。このステップで使用される化学反応の具体的なタイプは、ESL材料のタイプによって異なる。ケイ素含有化合物(例えば、酸化シリコンおよび酸化シリコンベースの材料)が使用されるとき、フルオロカーボンを含むプロセスガスで形成されたプラズマに基板を曝露することによって選択的エッチングを達成することができる。例えば、ESL膜は、CF4、C26、およびC38の1つまたは複数を含むプロセスガスで形成されたプラズマによってエッチングすることができる。ESLが金属酸化物層(例えば、酸化チタン、酸化タングステン、または酸化ジルコニウム)であるとき、塩素ベースの化学エッチング(例えば、プラズマ中のBCl3/Cl2)を使用して、炭素の存在下でESLを選択的にエッチングすることができる。 The exposed ESL film 303 is then etched to expose the underlying target layer 305 at all locations not protected by carbon spacers 309. The resulting structure is shown in Figure 3E. The chemical etch used in this step selectively etches the ESL material in the presence of carbon. In other words, the ratio of the ESL material etch rate to the carbon etch rate is greater than 1, more preferably greater than 1.5. The specific type of chemistry used in this step depends on the type of ESL material. When silicon-containing compounds (e.g., silicon oxide and silicon oxide-based materials) are used, selective etching can be achieved by exposing the substrate to a plasma formed with a process gas containing fluorocarbons. For example, an ESL film can be etched by a plasma formed with a process gas containing one or more of CF 4 , C 2 F 6 , and C 3 F 8 . When the ESL is a metal oxide layer (e.g., titanium oxide, tungsten oxide, or zirconium oxide), it can be etched in the presence of carbon using a chlorine-based chemical etch (e.g., BCl 3 /Cl 2 in a plasma). ESL can be selectively etched.

次のステップでは、ターゲット層305を、ESL膜303によって保護されていない全ての位置でエッチングして、その下の層307を露出させる。このエッチングステップでは、炭素スペーサ309も除去され、図3Fに示すパターンが形成された構造を提供する。いくつかの実施形態では、このステップで使用される化学エッチングは、ターゲット材料と炭素スペーサ材料の両方を除去するように選択される。他の実施形態では、ターゲット層305のパターニングと、炭素スペーサ309の除去に、それぞれ異なる化学反応を利用した2つの異なるエッチングステップを用いることができる。ターゲット層の化学的性質に応じて、いくつかの化学エッチングを使用することができる。一実施形態において、ターゲット層305は金属窒化物層(例えば、TiN層)である。この実施形態では、Cl2および炭化水素(例えば、CH4)を含むプロセスガスで形成されたプラズマに基板を曝露することによって金属窒化物層をエッチングすることができ、その後、酸素ベースのプラズマ化学エッチングまたは水素ベースのプラズマ化学エッチングを使用して炭素スペーサを除去する。 In the next step, the target layer 305 is etched in all locations not protected by the ESL film 303 to expose the underlying layer 307. This etching step also removes carbon spacers 309, providing the patterned structure shown in Figure 3F. In some embodiments, the chemical etch used in this step is selected to remove both target material and carbon spacer material. In other embodiments, two different etch steps may be used to pattern target layer 305 and remove carbon spacer 309, each utilizing a different chemical reaction. Several chemical etches can be used depending on the chemistry of the target layer. In one embodiment, target layer 305 is a metal nitride layer (eg, a TiN layer) . In this embodiment, the metal nitride layer can be etched by exposing the substrate to a plasma formed with a process gas containing Cl2 and hydrocarbons (e.g., CH4 ), followed by an oxygen-based plasma chemistry. Remove the carbon spacer using etching or hydrogen-based plasma chemical etching.

いくつかの実施形態において、提供される炭素堆積法は、ギャップフィル用途で使用される。ギャップフィルでは、1つまたは複数の凹状フィーチャを含む基板を処理チャンバに供給し、提供される方法により炭素を堆積して凹状フィーチャの底部と側壁の両方を覆う。堆積サイクルは、凹状フィーチャを炭素で充填するために必要な回数だけ実施される。この堆積は非常に共形的な性質であるため、いくつかの実施形態では、シームレスなギャップフィルを達成することができる。提供される方法は、高アスペクト比のフィーチャへの炭素の堆積に特に有用である。いくつかの実施形態では、凹状フィーチャのアスペクト比は少なくとも5:1(例えば、少なくとも10:1)である。 In some embodiments, provided carbon deposition methods are used in gap fill applications. In gap fill, a substrate containing one or more recessed features is provided to a processing chamber and carbon is deposited by the provided method to cover both the bottom and sidewalls of the recessed features. Deposition cycles are performed as many times as necessary to fill the recessed features with carbon. Due to the highly conformal nature of this deposition, in some embodiments a seamless gap fill can be achieved. The methods provided are particularly useful for depositing carbon on high aspect ratio features. In some embodiments, the aspect ratio of the concave features is at least 5:1 (eg, at least 10:1).

一例では、炭素は、3D NAND製作プロセスにおいてギャップフィルに使用される。一実施態様では、少なくとも1つの凹状フィーチャを有する部分的に製作された3D NAND構造をプロセスチャンバに供給し、本明細書で提供される方法を使用して、その少なくとも1つの凹状フィーチャに炭素を堆積させて凹状フィーチャを充填する。この用途を図5および図6に示す。図5および図6は、部分的に製作された3D NAND構造の概略断面図を例示する図である。 In one example, carbon is used for gap fill in a 3D NAND fabrication process. In one implementation, a partially fabricated 3D NAND structure having at least one concave feature is provided to a process chamber, and the at least one concave feature is injected with carbon using the methods provided herein. Deposit to fill concave features. This application is illustrated in FIGS. 5 and 6. 5 and 6 are diagrams illustrating schematic cross-sectional views of partially fabricated 3D NAND structures.

図5は、例示的な基板1100を示す図であり、複数の層1111および1140が交互に基板1100の上に階段パターンで堆積されている。いくつかの実施形態では、層1111は誘電体層(例えば、酸化シリコン)であり、層1140は導電層(例えば、タングステン層)である。あるいは、層1111および1140は、酸化シリコン層1111および窒化シリコン層1140のように異なる種類の誘電体であってもよい。最上層1140の上にはハードマスク層1110が存在し、封止層1139が交互の層1111および1140の階段パターンを横方向に封止する。複数のビア1137が誘電体1122(例えば、酸化シリコン)にエッチングされ、例えば、層1140の材料は、ビア1137の底部1139で露出される。図5に示すように材料1140により、ビア1137は異なる深さを有する。次のステップでは、本明細書で提供される堆積法を使用して、ギャップフィル動作において炭素をビア1137に堆積する。得られた構造を図6に示す。この図において、炭素層1173は全てのビア(チャネル)を充填しており、充填されたビアの底部で炭素が材料1140と接触している。炭素は、チャネル内の犠牲材料として使用することができる。そして、その後の製作過程において、炭素を、例えば、酸素ベースのプラズマエッチングまたは水素ベースのプラズマエッチングによってチャネルから除去することができ、ビアを導電性材料で充填することができる。 FIG. 5 is a diagram illustrating an exemplary substrate 1100 with multiple layers 1111 and 1140 alternately deposited on the substrate 1100 in a stepped pattern. In some embodiments, layer 1111 is a dielectric layer (eg, silicon oxide) and layer 1140 is a conductive layer (eg, tungsten layer). Alternatively, layers 1111 and 1140 may be different types of dielectrics, such as silicon oxide layer 1111 and silicon nitride layer 1140. Above the top layer 1140 is a hardmask layer 1110, and a sealing layer 1139 laterally seals the step pattern of alternating layers 1111 and 1140. A plurality of vias 1137 are etched into dielectric 1122 (eg, silicon oxide), with material of layer 1140 exposed at the bottoms 1139 of vias 1137, for example. Depending on the material 1140, the vias 1137 have different depths as shown in FIG. The next step is to deposit carbon into the vias 1137 in a gap fill operation using the deposition method provided herein. The resulting structure is shown in FIG. In this figure, carbon layer 1173 fills all the vias (channels), and the carbon contacts material 1140 at the bottom of the filled vias. Carbon can be used as a sacrificial material within the channels. Then, during subsequent fabrication, the carbon can be removed from the channel by, for example, an oxygen-based plasma etch or a hydrogen-based plasma etch, and the via can be filled with a conductive material.

(装置)
本明細書で説明される炭素堆積法は、様々な装置で実施することができる。好適な装置は処理チャンバを含み、この処理チャンバは、反応物を導入するための1つまたは複数の入口と、プロセスチャンバ内に設けられ、堆積中に基板を所定の位置に保持するように構成された基板ホルダとを有し、任意で、プロセスガスでプラズマを生成するように構成されたプラズマ生成機構を有する。装置は、本明細書で説明される方法ステップのいずれかを引き起こすためのプログラム命令を有するコントローラを含み得る。適切な装置の例は、Lam Research Corporationから入手可能なStriker(登録商標)堆積装置である。
(Device)
The carbon deposition methods described herein can be performed in a variety of equipment. A preferred apparatus includes a processing chamber, the processing chamber having one or more inlets for introducing reactants and configured to hold the substrate in place during deposition. and optionally a plasma generation mechanism configured to generate a plasma with a process gas. The apparatus may include a controller having program instructions for causing any of the method steps described herein. An example of a suitable device is the Striker® deposition device available from Lam Research Corporation.

例えば、いくつかの実施形態において、装置は以下の(i)~(iv)のための命令を含むプログラム命令を有するコントローラを含む:(i)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を処理チャンバに導入させる、(ii)少なくとも1つの炭素-ハロゲン結合を有し、かつ、アルミニウム含有反応物とは異なる炭素含有反応物を処理チャンバに導入させる、(iii)アルミニウム含有反応物および炭素含有反応物のいずれかまたは両方が吸着制限層を半導体基板の表面に形成する条件下で、アルミニウム含有反応物および炭素含有反応物の少なくとも1つを半導体基板の表面に吸着させる、および(iv)アルミニウム含有反応物および炭素含有反応物の少なくとも1つが半導体基板の表面に吸着制限層を形成した後、アルミニウム含有反応物と炭素含有反応物を反応させ、炭素の層を半導体基板の表面に形成する。 For example, in some embodiments, the apparatus includes a controller having program instructions that include instructions for (i)-(iv): (i) an aluminum-containing reactant having at least one aluminum-carbon bond; (ii) a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant; (iii) an aluminum-containing reactant and carbon; adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant to the surface of the semiconductor substrate under conditions such that either or both of the containing reactants forms an adsorption-limiting layer on the surface of the semiconductor substrate; and (iv) After at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate, the aluminum-containing reactant and the carbon-containing reactant are reacted to form a layer of carbon on the surface of the semiconductor substrate. .

提供される方法による炭素の堆積に適した堆積装置の例を図7に示す。図7は、原子層堆積(ALD)および/または化学気相堆積(CVD)を使用して材料を堆積するために使用され得るプロセスステーション700の一実施形態を概略的に示しており、ALDまたはCVDのいずれかはプラズマを使用したものであってもよい。簡略化のため、プロセスステーション700は、低圧環境を維持するためのプロセスチャンバ本体702を有する独立型プロセスステーションとして図示されている。しかし、複数のプロセスステーション700が共通のプロセスツール環境に含まれていてもよいことが認識されるであろう。さらに、いくつかの実施形態では、プロセスステーション700の1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)を、1つまたは複数のコンピュータコントローラによってプログラム的に調整できることが認識されるであろう。 An example of a deposition apparatus suitable for depositing carbon according to the provided method is shown in FIG. FIG. 7 schematically depicts one embodiment of a process station 700 that may be used to deposit materials using atomic layer deposition (ALD) and/or chemical vapor deposition (CVD). Any of the CVD methods may use plasma. For simplicity, process station 700 is illustrated as a standalone process station having a process chamber body 702 for maintaining a low pressure environment. However, it will be appreciated that multiple process stations 700 may be included in a common process tool environment. Further, it will be appreciated that in some embodiments, one or more hardware parameters of process station 700 (including those described in detail below) may be adjusted programmatically by one or more computer controllers. will be done.

プロセスステーション700は、プロセスガスを分配シャワーヘッド706に供給するための反応剤供給システム701と流体的に連通している。反応剤供給システム701は、シャワーヘッド706に供給するプロセスガスをブレンドおよび/または調整するための混合容器704を含む。1つまたは複数の混合容器入口弁720は、混合容器704へのプロセスガスの導入を制御することができる。同様に、シャワーヘッド入口弁705は、シャワーヘッド706へのプロセスガスの導入を制御することができる。 Process station 700 is in fluid communication with a reactant supply system 701 for supplying process gases to distribution showerhead 706 . Reactant supply system 701 includes a mixing vessel 704 for blending and/or conditioning process gases supplied to showerhead 706 . One or more mixing vessel inlet valves 720 can control the introduction of process gas into the mixing vessel 704. Similarly, showerhead inlet valve 705 can control the introduction of process gas to showerhead 706.

トリメチルアルミニウムなどのいくつかの反応剤は、プロセスステーションで気化されてプロセスステーションに供給される前は、液体の形で保存することができる。例えば、図7の実施形態は、混合容器704に供給される液体反応剤を気化させるための気化ポイント703を含む。いくつかの実施形態では、気化ポイント703は、加熱気化器であってもよい。そのような気化器から生成された反応剤蒸気は、下流の供給配管内で凝縮する可能性がある。凝縮した反応剤に不適合ガスが曝露されると、小粒子が形成されることがある。これらの小粒子は、配管を詰まらせ、弁の動作を妨げ、基板を汚染するなどの恐れがある。これらの問題に対処するためのいくつかのアプローチは、残留反応剤を除去するために供給配管を掃引および/または排気することを伴う。しかし、供給配管を掃引すると、プロセスステーションのサイクル時間が増加し、プロセスステーションのスループットが低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント703の下流の供給配管をヒートトレースしてもよい。いくつかの例では、混合容器704もヒートトレースしてよい。1つの非限定的な例では、気化ポイント703の下流の配管は、混合容器704でおよそ100℃~およそ150℃に及ぶ昇温プロファイルを有する。 Some reactants, such as trimethylaluminum, can be stored in liquid form before being vaporized and delivered to the process station. For example, the embodiment of FIG. 7 includes a vaporization point 703 for vaporizing liquid reactants provided to a mixing vessel 704. In some embodiments, vaporization point 703 may be a heated vaporizer. Reactant vapors produced from such vaporizers can condense in downstream supply piping. When incompatible gases are exposed to condensed reactants, small particles may form. These small particles can clog pipes, interfere with valve operation, and contaminate substrates. Some approaches to addressing these problems involve sweeping and/or venting the supply piping to remove residual reactants. However, sweeping the supply piping can increase process station cycle time and reduce process station throughput. Accordingly, in some embodiments, the supply piping downstream of vaporization point 703 may be heat traced. In some examples, mixing vessel 704 may also be heat traced. In one non-limiting example, the piping downstream of vaporization point 703 has a temperature increase profile ranging from approximately 100° C. to approximately 150° C. in mixing vessel 704.

いくつかの実施形態では、液体反応剤は、液体注入器で気化されてもよい。例えば、液体注入器は、液体反応剤のパルスを混合容器の上流のキャリアガス流に注入することができる。1つのシナリオでは、液体注入器は、液体を高圧から低圧にフラッシュすることによって反応剤を気化させることができる。別のシナリオでは、液体注入器は、液体を分散微小液滴に霧化し、続いて加熱された供給パイプ内でその微小液滴を気化させてもよい。小さな液滴は大きな液滴よりも速く気化することができ、液体注入と完全気化との間の遅延を減少させることが認識されるであろう。気化が速いほど、気化ポイント703から下流の配管の長さを短くすることができる。1つのシナリオでは、液体注入器は、混合容器704に直接取り付けられてもよい。別のシナリオでは、液体注入器は、シャワーヘッド706に直接取り付けられてもよい。 In some embodiments, the liquid reactant may be vaporized with a liquid injector. For example, a liquid injector can inject pulses of liquid reactant into a carrier gas stream upstream of a mixing vessel. In one scenario, a liquid injector can vaporize the reactants by flashing the liquid from a high pressure to a low pressure. In another scenario, the liquid injector may atomize the liquid into dispersed microdroplets and subsequently vaporize the microdroplets within a heated supply pipe. It will be appreciated that small droplets can vaporize faster than large droplets, reducing the delay between liquid injection and complete vaporization. The faster the vaporization, the shorter the length of piping downstream from the vaporization point 703. In one scenario, a liquid injector may be attached directly to mixing container 704. In another scenario, the liquid injector may be attached directly to the showerhead 706.

いくつかの実施形態では、気化されてプロセスステーション700に供給される液体の質量流量を制御するために、液体流コントローラを気化ポイント703の上流に設けることができる。例えば、液体流コントローラ(LFC)は、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整され得る。しかし、フィードバック制御を使用して液体の流れを安定化するには1秒以上かかる場合がある。これは、液体反応剤の投与時間を延長する可能性がある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてもよい。いくつかの実施形態では、LFCの感知管およびPIDコントローラを無効にすることによって、LFCをフィードバック制御モードから直接制御モードに動的に切り替えることができる。 In some embodiments, a liquid flow controller can be provided upstream of vaporization point 703 to control the mass flow rate of liquid that is vaporized and delivered to process station 700. For example, a liquid flow controller (LFC) may include a thermal mass flow meter (MFM) located downstream of the LFC. The plunger valve of the LFC may then be adjusted in response to a feedback control signal provided by a proportional-integral-derivative (PID) controller in electrical communication with the MFM. However, stabilizing the liquid flow using feedback control may take more than a second. This may extend the administration time of the liquid reactant. Thus, in some embodiments, the LFC may be dynamically switched between feedback control mode and direct control mode. In some embodiments, the LFC can be dynamically switched from feedback control mode to direct control mode by disabling the LFC's sense tube and PID controller.

シャワーヘッド706は、プロセスガスを基板712に向けて分配する。図7に示す実施形態では、基板712は、シャワーヘッド706の下に位置し、台座708上に載置された状態で示されている。シャワーヘッド706は、任意の適切な形状を有することができ、プロセスガスを基板712に分配するための任意の適切な数および配置のポートを有することができることが認識されるであろう。 Showerhead 706 distributes process gas toward substrate 712 . In the embodiment shown in FIG. 7, substrate 712 is shown positioned below showerhead 706 and resting on pedestal 708. In the embodiment shown in FIG. It will be appreciated that the showerhead 706 can have any suitable shape and have any suitable number and arrangement of ports for distributing process gases to the substrate 712.

いくつかの実施形態では、微小体積707がシャワーヘッド706の下に位置する。プロセスステーションの体積全体ではなく、微小体積でALDおよび/またはCVDプロセスを実施することにより、反応剤への曝露および掃引時間を短縮したり、プロセス条件(例えば、圧力、温度など)を変更する時間を短縮したり、プロセスステーションロボットのプロセスガスへの曝露を制限したりすることなどが可能である。例示的な微小体積サイズは、0.1リットル~2リットルの体積を含むが、これに限定されない。この微小体積も、生産性スループットに影響する。サイクルあたりの堆積速度が低下する一方で、サイクル時間も同時に減少する。ある特定の場合、後者の効果は、膜の所与の目標厚さに対するモジュールの全体的なスループットを改善するのに十分なほど目覚ましい。 In some embodiments, microvolume 707 is located below showerhead 706. By conducting ALD and/or CVD processes in microvolumes rather than the entire volume of a process station, reducing exposure and sweep times to reactants and time to change process conditions (e.g., pressure, temperature, etc.) It is possible to shorten the process time and limit the exposure of process station robots to process gases. Exemplary microvolume sizes include, but are not limited to, volumes of 0.1 liters to 2 liters. This small volume also affects productivity throughput. While the deposition rate per cycle is reduced, the cycle time is simultaneously reduced. In certain cases, the latter effect is significant enough to improve the overall throughput of the module for a given target thickness of membrane.

いくつかの実施形態では、台座708は、基板712を微小体積707に曝露するために、および/または微小体積707の体積を変化させるために、上昇または下降させることができる。例えば、基板搬送段階では、台座708を下降させ、基板712を台座708上に載置できるようにすることが可能である。堆積プロセス段階では、台座708を上昇させ、基板712を微小体積707内に位置決めすることができる。いくつかの実施形態では、微小体積707は、基板712と台座708の一部とを完全に取り囲み、堆積プロセス中に高フローインピーダンスの領域を形成することができる。 In some embodiments, pedestal 708 can be raised or lowered to expose substrate 712 to microvolume 707 and/or to change the volume of microvolume 707. For example, during the substrate transfer stage, the pedestal 708 may be lowered so that the substrate 712 can be placed on the pedestal 708. During the deposition process stage, pedestal 708 may be raised and substrate 712 may be positioned within microvolume 707. In some embodiments, microvolume 707 can completely surround substrate 712 and a portion of pedestal 708, creating a region of high flow impedance during the deposition process.

任意選択で、台座708は、堆積プロセスの一部の間に下降および/または上昇させ、微小体積707内のプロセス圧力、反応剤濃度などを調整することができる。堆積プロセス中にプロセスチャンバ本体702がベース圧力を維持する1つのシナリオでは、台座708を下降させることにより、微小体積707を排気することができる。微小体積とプロセスチャンバ体積の例示的な比率は、1:700~1:10の体積比を含むが、これに限定されない。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整することができることが認識されるであろう。 Optionally, pedestal 708 can be lowered and/or raised during portions of the deposition process to adjust process pressure, reactant concentration, etc. within microvolume 707. In one scenario where the process chamber body 702 maintains a base pressure during the deposition process, the microvolume 707 can be evacuated by lowering the pedestal 708. Exemplary ratios of microvolume to process chamber volume include, but are not limited to, a volume ratio of 1:700 to 1:10. It will be appreciated that in some embodiments, the height of the pedestal can be adjusted programmatically by a suitable computer controller.

本明細書で説明される例示的な微小体積の変更として、高さ調整可能な台座に言及しているが、いくつかの実施形態では、シャワーヘッド706の位置を台座708に対して調整し、微小体積707の体積を変化させられることが認識されるであろう。さらに、台座708および/またはシャワーヘッド706の垂直位置を、本開示の範囲内の任意の適切な機構によって変更してもよいことが認識されるであろう。いくつかの実施形態では、台座708は、基板712の向きを回転させるための回転軸を含み得る。いくつかの実施形態では、これらの例示的な調整の1つまたは複数が、1つまたは複数の適切なコンピュータコントローラによってプログラム的に実施され得ることが認識されるであろう。 While the exemplary microvolume modifications described herein refer to a height-adjustable pedestal, in some embodiments the position of the showerhead 706 may be adjusted relative to the pedestal 708; It will be appreciated that the volume of microvolume 707 can be varied. Additionally, it will be appreciated that the vertical position of pedestal 708 and/or showerhead 706 may be changed by any suitable mechanism within the scope of this disclosure. In some embodiments, pedestal 708 may include a rotation axis for rotating the orientation of substrate 712. It will be appreciated that in some embodiments, one or more of these example adjustments may be implemented programmatically by one or more suitable computer controllers.

図7に示す実施形態に戻ると、シャワーヘッド706および台座708は、プラズマに電力を供給するためのRF電源714および整合ネットワーク716と電気的に通信する。他の実施形態では、提供される方法を使用して炭素を堆積するために、プラズマ発生器のない装置が使用される。いくつかの実施形態では、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって、プラズマエネルギーを制御してもよい。例えば、RF電源714および整合ネットワーク716は、ラジカル種の所望の組成を有するプラズマを形成するために任意の適切な電力で動作されてもよい。適切な電力の例は、上記に含まれている。同様に、RF電源714は、任意の適切な周波数のRF電力を提供し得る。いくつかの実施形態では、RF電源714は、高周波RF電源および低周波RF電源を互いに独立制御するように構成され得る。例示的な低周波RF周波数は、50kHz~700kHzの周波数を含むことができるが、これに限定されない。例示的な高周波RF周波数は、1.8MHz~2.45GHzの周波数を含むことができるが、これに限定されない。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータが離散的または連続的に調整されてもよいことが認識されるであろう。1つの非限定的な例では、連続的に電力が供給されるプラズマと比較して基板表面とのイオン衝突を低減するために、プラズマ電力を断続的にパルス化してもよい。 Returning to the embodiment shown in FIG. 7, the showerhead 706 and pedestal 708 are in electrical communication with an RF power source 714 and matching network 716 for powering the plasma. In other embodiments, an apparatus without a plasma generator is used to deposit carbon using the provided methods. In some embodiments, plasma energy may be controlled by controlling one or more of process station pressure, gas concentration, RF source power, RF source frequency, and plasma power pulse timing. For example, RF power source 714 and matching network 716 may be operated with any suitable power to form a plasma with a desired composition of radical species. Examples of suitable power are included above. Similarly, RF power source 714 may provide RF power at any suitable frequency. In some embodiments, RF power source 714 may be configured to control the high frequency RF power source and the low frequency RF power source independently of each other. Exemplary low frequency RF frequencies may include, but are not limited to, frequencies from 50 kHz to 700 kHz. Exemplary high frequency RF frequencies may include, but are not limited to, frequencies from 1.8 MHz to 2.45 GHz. It will be appreciated that any suitable parameters may be adjusted discretely or continuously to provide plasma energy for surface reactions. In one non-limiting example, plasma power may be pulsed intermittently to reduce ion bombardment with the substrate surface compared to a continuously powered plasma.

いくつかの実施形態では、1つまたは複数のプラズマモニタによって、プラズマをin situで監視してもよい。1つのシナリオでは、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって、プラズマ電力を監視してもよい。別のシナリオでは、1つまたは複数の発光分光センサ(OES)によって、プラズマ密度および/またはプロセスガス濃度を測定してもよい。いくつかの実施形態では、そのようなin situのプラズマモニタからの測定値に基づいて、1つまたは複数のプラズマパラメータをプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用されてもよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために他のモニタが使用されてもよいことが認識されるであろう。このようなモニタは、赤外線(IR)モニタ、音響モニタ、および圧力トランスデューサを含んでもよいが、これらに限定されない。 In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage, current sensors (eg, VI probes). In another scenario, one or more optical emission spectroscopic sensors (OES) may measure plasma density and/or process gas concentration. In some embodiments, one or more plasma parameters can be adjusted programmatically based on measurements from such in situ plasma monitors. For example, OES sensors may be used in a feedback loop to provide programmable control of plasma power. It will be appreciated that in some embodiments other monitors may be used to monitor plasma and other process characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, acoustic monitors, and pressure transducers.

いくつかの実施形態では、プラズマは、入力/出力制御(IOC)シーケンス命令を介して制御されてもよい。一例では、プラズマプロセス段階のプラズマ条件を設定するための命令を、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含めることができる。場合によっては、プロセスレシピ段階が順に配置されてもよく、それにより堆積プロセス段階の全ての命令がそのプロセス段階と同時に実行される。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令は、プラズマプロセス段階に先行するレシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、ならびに第1のレシピ段階の時間遅延命令を含み得る。続く第2のレシピ段階は、プラズマ発生器を有効にするための命令、および第2のレシピ段階の時間遅延命令を含み得る。第3のレシピ段階は、プラズマ発生器を無効にするための命令、および第3のレシピ段階の時間遅延命令を含み得る。これらのレシピ段階は、本開示の範囲内で、任意の適切な方法でさらに細分化および/または反復されてもよいことが認識されるであろう。 In some embodiments, the plasma may be controlled via input/output control (IOC) sequence instructions. In one example, instructions for setting plasma conditions for a plasma process step can be included in a corresponding plasma activation recipe step of a deposition process recipe. In some cases, process recipe steps may be arranged in sequence such that all instructions for a deposition process step are executed simultaneously with that process step. In some embodiments, instructions for setting one or more plasma parameters may be included in a recipe step that precedes a plasma processing step. For example, the first recipe step may include instructions to set the inert gas and/or reactant gas flow rates, instructions to set the plasma generator to the power set point, and a time delay for the first recipe step. May contain instructions. A subsequent second recipe step may include instructions to enable the plasma generator and a second recipe step time delay instruction. The third recipe step may include an instruction to disable the plasma generator and a third recipe step time delay instruction. It will be appreciated that these recipe steps may be further subdivided and/or repeated in any suitable manner within the scope of this disclosure.

いくつかの実施形態では、台座708は、ヒータ710を介して温度制御されてもよい。さらに、いくつかの実施形態では、堆積プロセスステーション700の圧力制御は、バタフライ弁718によって行われてもよい。図7の実施形態に示すように、バタフライ弁718は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション700の圧力制御は、プロセスステーション700に導入される1つまたは複数のガスの流量を変化させることによって調整することもできる。 In some embodiments, pedestal 708 may be temperature controlled via heater 710. Additionally, in some embodiments, pressure control of the deposition process station 700 may be provided by a butterfly valve 718. As shown in the embodiment of FIG. 7, butterfly valve 718 throttles the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of process station 700 may also be adjusted by varying the flow rate of one or more gases introduced into process station 700.

図8は、インバウンドロードロック802およびアウトバウンドロードロック804を備え、これらのいずれかまたは両方がリモートプラズマ源を備え得るマルチステーション処理ツール800の一実施形態の概略図を示す。そのようなツールは、本明細書で提供される方法を使用して基板を処理するために使用することができる。ロボット806は、大気圧において、ポッド808を通してロードされたカセットから、大気圧ポート810を介してインバウンドロードロック802にウエハを移動させるように構成される。ウエハは、ロボット806によって、インバウンドロードロック802の台座812上に載置され、大気圧ポート810が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック802がリモートプラズマ源を備える場合、ウエハは、処理チャンバ814に導入される前にロードロックにおいてリモートプラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸着したガスを除去するためにインバウンドロードロック802においても加熱されてよい。次に、処理チャンバ814へのチャンバ搬送ポート816が開かれ、別のロボット(図示せず)が、ウエハをリアクタ内に移動させ、リアクタ内に示す第1のステーションの台座上に処理のために載置する。なお、図8に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことが認識されるであろう。 FIG. 8 shows a schematic diagram of one embodiment of a multi-station processing tool 800 that includes an inbound loadlock 802 and an outbound loadlock 804, either or both of which may include a remote plasma source. Such tools can be used to process substrates using the methods provided herein. Robot 806 is configured to transfer wafers from cassettes loaded through pod 808 to inbound load lock 802 via atmospheric port 810 at atmospheric pressure. The wafer is placed on the pedestal 812 of the inbound loadlock 802 by the robot 806, the atmospheric pressure port 810 is closed, and the loadlock is pumped down. If the inbound loadlock 802 includes a remote plasma source, the wafer may undergo remote plasma processing at the loadlock before being introduced into the processing chamber 814. Additionally, the wafer may also be heated in the inbound load lock 802 to remove moisture and adsorbed gases, for example. The chamber transfer port 816 to the processing chamber 814 is then opened and another robot (not shown) moves the wafer into the reactor and onto the pedestal of the first station shown within the reactor for processing. Place it. It should be noted that while the embodiment illustrated in FIG. 8 includes a load lock, it will be appreciated that in some embodiments the wafer may be entered directly into the process station.

図示の処理チャンバ814は、図8に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1に対して818で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが認識されるであろう。図示の処理チャンバ814は4つのステーションを備えるが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解されよう。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。 The illustrated processing chamber 814 includes four process stations, numbered 1 through 4 in the embodiment shown in FIG. Each station has a heating pedestal (designated 818 for station 1) and a gas line inlet. It will be appreciated that in some embodiments, each process station may have a different purpose or multiple purposes. Although the illustrated processing chamber 814 includes four stations, it will be appreciated that processing chambers according to the present disclosure may have any suitable number of stations. For example, in some embodiments, the processing chamber may have five or more stations, and in other embodiments, the processing chamber may have three or fewer stations.

図8はまた、処理チャンバ814内でウエハを搬送するためのウエハハンドリングシステム890の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム890は、様々なプロセスステーション間および/またはプロセスステーションとロードロックとの間でウエハを搬送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが認識されるであろう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図8はまた、プロセスツール800のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ850の一実施形態を図示する。システムコントローラ850は、1つまたは複数のメモリデバイス856と、1つまたは複数の大容量記憶デバイス854と、1つまたは複数のプロセッサ852とを含むことができる。プロセッサ852は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。 FIG. 8 also illustrates one embodiment of a wafer handling system 890 for transporting wafers within processing chamber 814. In some embodiments, wafer handling system 890 can transport wafers between various process stations and/or between process stations and load locks. It will be appreciated that any suitable wafer handling system may be used. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 8 also illustrates one embodiment of a system controller 850 used to control process conditions and hardware status of process tool 800. System controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852. Processor 852 may include a CPU or computer, analog and/or digital input/output connections, a stepper motor controller board, and the like.

いくつかの実施形態では、システムコントローラ850は、プロセスツール800の活動の全てを制御する。システムコントローラ850は、大容量記憶デバイス854に記憶され、メモリデバイス856にロードされ、プロセッサ852で実施されるシステム制御ソフトウェア858を実行する。システム制御ソフトウェア858は、タイミング、ガスの混合、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、パージ条件およびパージタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール800によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア858は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、開示される方法に従って様々なプロセスツールプロセスを実施するために必要なプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア858は、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。 In some embodiments, system controller 850 controls all of the activities of process tool 800. System controller 850 executes system control software 858 stored in mass storage device 854 , loaded into memory device 856 , and implemented on processor 852 . System control software 858 includes timing, gas mixing, chamber and/or station pressures, chamber and/or station temperatures, purge conditions and purge timing, wafer temperature, RF power levels, RF frequency, substrate, pedestal, chuck position. and/or instructions for controlling susceptor position and other parameters of a particular process performed by process tool 800. System control software 858 may be configured in any suitable manner. For example, various process tool component subroutines or control objects may be written to control the operation of process tool components necessary to perform various process tool processes in accordance with the disclosed methods. System control software 858 may be coded in any suitable computer readable programming language.

いくつかの実施形態では、システム制御ソフトウェア858は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を含み得る。例えば、ALDプロセスの各段階は、システムコントローラ850によって実行するための1つまたは複数の命令を含み得る。ALDプロセス段階に対するプロセス条件を設定するための命令は、対応するALDレシピ段階に含まれてもよい。いくつかの実施形態では、ALDレシピ段階は、ALDプロセス段階に対する全ての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。 In some embodiments, system control software 858 may include input/output control (IOC) sequence instructions to control the various parameters described above. For example, each stage of the ALD process may include one or more instructions for execution by system controller 850. Instructions for setting process conditions for an ALD process step may be included in a corresponding ALD recipe step. In some embodiments, ALD recipe steps may be arranged in sequence such that all instructions for an ALD process step are executed simultaneously with that process step.

いくつかの実施形態では、システムコントローラ850に関連する大容量記憶デバイス854および/またはメモリデバイス856に記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムの例またはプログラムのセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。 In some embodiments, other computer software and/or programs stored on mass storage device 854 and/or memory device 856 associated with system controller 850 may be used. Examples of programs or sections of programs for this purpose include substrate positioning programs, process gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板位置決めプログラムは、基板を台座818上にロードし、基板とプロセスツール800の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含むことができる。 The substrate positioning program may include program code for the process tool components used to load the substrate onto the pedestal 818 and control the spacing of the substrate to other portions of the process tool 800.

プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、ガス組成と流量を制御するためのコード、および任意で堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。プロセスガス制御プログラムは、開示されたいずれかの範囲内にガス組成および流量を制御するためのコードを含むことができる。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。圧力制御プログラムは、開示されたいずれかの圧力範囲内にプロセスステーションの圧力を維持するためのコードを含むことができる。 The process gas control program includes code for controlling gas composition and flow rates, and optionally for flowing gas to one or more process stations prior to deposition, in order to stabilize the pressure at the process station. be able to. The process gas control program can include code for controlling gas composition and flow rates within any of the disclosed ranges. The pressure control program may include code for controlling the pressure of the process station by, for example, adjusting a throttle valve of the process station's exhaust system, gas flow to the process station, and the like. The pressure control program may include code for maintaining the process station pressure within any of the disclosed pressure ranges.

ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御することができる。ヒータ制御プログラムは、開示されたいずれかの範囲内に基板の温度を維持するための命令を含むことができる。 The heater control program may include code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program can control the supply of heat transfer gas (such as helium) to the substrate. The heater control program can include instructions for maintaining the temperature of the substrate within any of the disclosed ranges.

プラズマ制御プログラムは、例えば本明細書に開示されるRF電力レベルのいずれかを使用して、1つまたは複数のプロセスステーションのプロセス電極に供給されるRF電力レベルおよび周波数を設定するためのコードを含むことができる。プラズマ制御プログラムは、各プラズマ曝露期間を制御するためのコードを含むこともできる。 The plasma control program includes code for setting the RF power level and frequency provided to the process electrodes of one or more process stations, e.g., using any of the RF power levels disclosed herein. can be included. The plasma control program may also include code for controlling each plasma exposure period.

いくつかの実施形態では、システムコントローラ850に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。 In some embodiments, there may be a user interface associated with system controller 850. The user interface may include a display screen, a graphical software display of equipment and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, etc.

いくつかの実施形態では、システムコントローラ850によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および曝露時間など)等が挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。 In some embodiments, the parameters adjusted by system controller 850 may be related to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF power level, frequency, and exposure time), and the like. These parameters may be provided to the user in the form of a recipe and can be entered using a user interface.

プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ850のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール800のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。 Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 850 from various process tool sensors. Signals for controlling the process can be output on analog and digital output connections of process tool 800. Non-limiting examples of process tool sensors that can be monitored include mass flow controllers, pressure sensors (such as pressure gauges), thermocouples, and the like. Appropriately programmed feedback and control algorithms can be used with data from these sensors to maintain process conditions.

開示される実施形態の実施には、任意の適切なチャンバを使用することができる。例示的な堆積装置は、カリフォルニア州フリーモントのLam Research Corporationから入手可能なStriker(登録商標)製品ファミリーの装置、または様々な他の市販の処理システムのうち任意のものを含むが、これに限定されない。2つ以上のステーションが、同じ機能を実施してもよい。同様に、2つ以上のステーションが、異なる機能を実施してもよい。各ステーションは、所望に応じて特定の機能/方法を実施するように設計/構成することができる。 Any suitable chamber may be used to implement the disclosed embodiments. Exemplary deposition equipment includes, but is not limited to, the Striker® product family of equipment available from Lam Research Corporation of Fremont, California, or any of a variety of other commercially available processing systems. Not done. Two or more stations may perform the same function. Similarly, two or more stations may perform different functions. Each station can be designed/configured to perform specific functions/methods as desired.

図9は、特定の実施形態による薄膜堆積プロセスの実施に適した処理システムのブロック図である。システム900は、移送モジュール903を含む。移送モジュール903は、処理中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な加圧環境を提供する。移送モジュール903には、2つのマルチステーションリアクタ909および910が取り付けられており、各々が特定の実施形態による原子層堆積(ALD)および/または化学気相堆積(CVD)を実施することが可能である。リアクタ909および910は、開示される実施形態による動作を連続的または非連続的に実施することができる複数のステーション911、913、915、および917を含むことができる。ステーションには、加熱された台座または基板支持体、1つまたは複数のガス入口またはシャワーヘッドまたは分散プレートを含めることができる。 FIG. 9 is a block diagram of a processing system suitable for performing thin film deposition processes in accordance with certain embodiments. System 900 includes a transfer module 903. Transfer module 903 provides a clean pressurized environment to minimize the risk of contamination of substrates during processing as they are moved between various reactor modules. Attached to the transfer module 903 are two multi-station reactors 909 and 910, each capable of performing atomic layer deposition (ALD) and/or chemical vapor deposition (CVD) according to particular embodiments. be. Reactors 909 and 910 may include a plurality of stations 911, 913, 915, and 917 that may perform operations in accordance with disclosed embodiments in a continuous or discontinuous manner. The station may include a heated pedestal or substrate support, one or more gas inlets or showerheads or a distribution plate.

また、移送モジュール903には、プラズマによる前洗浄または化学的(非プラズマ)前洗浄、または開示される方法に関連して説明された任意の他のプロセスを実施することが可能な、1つまたは複数のシングルまたはマルチステーションモジュール907が取り付けられてもよい。モジュール907は、場合によって、例えば、堆積プロセスのための基板を準備するための様々な処理に使用することができる。モジュール907はまた、エッチングまたは研磨などの様々な他のプロセスを実施するように設計/構成することができる。システム900はまた、処理前および処理後のウエハを格納する1つまたは複数のウエハソースモジュール901を含んでいる。大気移送チャンバ919内の大気ロボット(図示せず)は、最初にウエハをソースモジュール901から取り出してロードロック921へ移送することができる。移送モジュール903内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ウエハをロードロック921から移送モジュール903に取り付けられたモジュールに移動させたり、モジュール間で移動させたりする。 The transfer module 903 also includes one or more plasma precleans or chemical (non-plasma) precleans capable of performing a plasma preclean or a chemical (non-plasma) preclean, or any other process described in connection with the disclosed method. Multiple single or multi-station modules 907 may be installed. Module 907 may optionally be used for various processing, for example, to prepare a substrate for a deposition process. Module 907 may also be designed/configured to perform various other processes such as etching or polishing. System 900 also includes one or more wafer source modules 901 that store wafers before and after processing. An atmospheric robot (not shown) within the atmospheric transfer chamber 919 may initially remove the wafer from the source module 901 and transfer it to the load lock 921 . A wafer transfer device (typically a robotic arm unit) within transfer module 903 moves wafers from load lock 921 to and between modules attached to transfer module 903 .

様々な実施形態において、システムコントローラ929を用いて、堆積中のプロセス条件を制御する。コントローラ929は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含むことができる。 In various embodiments, a system controller 929 is used to control process conditions during deposition. Controller 929 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, a stepper motor controller board, and the like.

コントローラ929は、堆積装置の活動の全てを制御することができる。システムコントローラ929は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、無線周波数(RF)電力レベル、ウエハチャック位置または台座位置、および特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実施する。いくつかの実施形態では、コントローラ929に関連するメモリデバイスに格納された他のコンピュータプログラムを用いることができる。 Controller 929 can control all of the activities of the deposition device. System controller 929 provides a series of controls for controlling timing, gas mixing, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power level, wafer chuck position or pedestal position, and other parameters of a particular process. Implement system control software containing instructions. In some embodiments, other computer programs stored in a memory device associated with controller 929 may be used.

典型的には、コントローラ929に関連するユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。 There is typically a user interface associated with controller 929. The user interface may include a display screen, a graphical software display of equipment and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, etc.

システム制御論理は任意の適切な方法で構成することができる。一般に、論理はハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコードされるか、またはソフトウェアとして提供され得る。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングは、デジタル信号プロセッサのハードコードされた論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含む、あらゆる形式の論理を含むと理解される。プログラミングはまた、汎用プロセッサで実施され得るソフトウェアまたはファームウェア命令も含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。 System control logic may be configured in any suitable manner. Generally, logic can be designed or constructed in hardware and/or software. Instructions for controlling the drive circuitry may be hard-coded or provided as software. Instructions may be provided by "programming." Such programming is understood to include any form of logic, including the hard-coded logic of digital signal processors, application-specific integrated circuits, and other devices with specific algorithms implemented as hardware. . Programming is also understood to include software or firmware instructions that may be implemented on a general purpose processor. System control software may be coded in any suitable computer readable programming language.

ゲルマニウム含有還元剤パルス、水素流、およびタングステン含有前駆体パルス、ならびにプロセスシーケンスにおける他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランなど)で書かれ得る。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実施するためにプロセッサによって実行される。また、示されているように、プログラムコードは、ハードコードされてもよい。 The computer program code for controlling the germanium-containing reductant pulse, the hydrogen flow, and the tungsten-containing precursor pulse, as well as other processes in the process sequence, can be written in any conventional computer-readable programming language (e.g., assembly language, C, C++ , Pascal, Fortran, etc.). The compiled object code or script is executed by the processor to perform the tasks identified in the program. Also, as shown, the program code may be hard-coded.

コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力することができる。プロセスを監視するための信号は、システムコントローラ929のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置900のアナログおよびデジタル出力接続で出力される。 Controller parameters are related to process conditions such as, for example, process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe and can be input using a user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 929. Signals for controlling the process are output on analog and digital output connections of deposition apparatus 900.

システムソフトウェアは、多くの異なる方法で設計または構成することができる。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、開示される実施形態に従って堆積プロセス(および場合によっては他のプロセス)を実施するために必要なチャンバ構成要素の動作を制御するために書かれてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。 System software can be designed or configured in many different ways. For example, various chamber component subroutines or control objects are written to control the operation of chamber components necessary to perform the deposition process (and possibly other processes) in accordance with the disclosed embodiments. Good too. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.

いくつかの実施態様では、コントローラ929はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラ929は、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。 In some implementations, controller 929 is part of a system, and such a system may be part of the examples described above. Such systems include one or more processing tools, one or more chambers, one or more processing platforms, and/or certain processing components (wafer pedestals, gas flow systems, etc.). A processing device may be included. These systems may be integrated with electronics to control system operation before, during, and after processing of semiconductor wafers or substrates. Such electronic equipment is sometimes referred to as a "controller" and may control various components or subcomponents of one or more systems. Controller 929 may be programmed to control any of the processes disclosed herein, depending on processing requirements and/or type of system. Such processes include process gas supply, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings in some systems, and RF matching circuits. configuration, frequency settings, flow settings, fluid supply settings, position and motion settings, loading and unloading wafers into and out of tools, and into and out of other transport tools and/or load locks connected to or associated with a particular system. is included.

広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実施するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。 Broadly defined, a controller includes various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, etc. It may be defined as an electronic device having An integrated circuit may be a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and/or one or more microprocessors, i.e., a chip that stores program instructions. may include a microcontroller executing (e.g., software). Program instructions are instructions communicated to a controller in the form of various individual settings (or program files) to perform a particular process on or for a semiconductor wafer or to a system. operating parameters may be defined. The operating parameters, in some embodiments, implement one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or one or more processing steps in the fabrication of the wafer die. It may be part of a recipe defined by a process engineer to

コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムの全てもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。 The controller, in some implementations, may be part of or coupled to a computer that is integrated or coupled with or otherwise networked to the system. , or a combination thereof. For example, the controller may be in the "cloud" or may be all or part of a fab host computer system. This allows remote access to wafer processing. The computer allows remote access to the system to monitor the current progress of a fabrication operation, review the history of past fabrication operations, review trends or performance criteria from multiple fabrication operations, and monitor current processing may change the parameters of the process, set processing steps that follow the current process, or start a new process. In some examples, a remote computer (eg, a server) can provide process recipes to the system over a network. Such networks may include local networks or the Internet. The remote computer may include a user interface that allows entry or programming of parameters and/or settings that are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data. Such data identifies parameters for each processing step performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process being performed and the type of tool that the controller is configured to interface with or control. Thus, as discussed above, a controller may be defined, for example, by comprising one or more individual controllers that are networked together and work together toward a common purpose (such as the processes and control described herein). May be distributed. An example of a distributed controller for such purposes is one or more integrated circuits on the chamber that are remotely located (e.g., at the platform level or as part of a remote computer) and that One may include one that communicates with one or more integrated circuits that are combined to control the process.

例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。 Exemplary systems include plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, physical vapor deposition (PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etch (ALE) chambers or modules, ion implantation chambers or modules, tracking chambers or modules, and semiconductor wafer fabrication and and/or any other semiconductor processing system that may be associated with or used in manufacturing.

上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。 As described above, depending on one or more process steps performed by the tool, the controller may be connected to one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, Used for material transport to move containers of wafers into and out of adjacent tools, adjacent tools, tools located throughout the factory, the main computer, another controller, or tool locations and/or load ports within a semiconductor manufacturing facility. may communicate with tools that are used.

(さらなる実施態様)
本明細書で説明される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。一般的には、必須ではないが、そのような装置およびプロセスは、共通の製作施設で共に使用または実施される。膜のリソグラフィパターニングは、一般的には、以下のステップのいくつかまたは全てを含み、各ステップが使用可能な多くのツールを用いて可能にされる:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングするステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
処理チャンバ内の半導体基板の表面に炭素層を形成する方法であって、
(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入することと、
(b)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入することと、
(c)前記アルミニウム含有反応物および前記炭素含有反応物のいずれかまたは両方が吸着制限層を前記半導体基板の前記表面に形成する条件下で、前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つを前記半導体基板の前記表面に吸着させることと、
(d)前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つが吸着制限層を前記半導体基板の前記表面に形成した後、前記アルミニウム含有反応物を前記炭素含有反応物と反応させ、炭素の層を前記半導体基板の前記表面に形成することと
を含む、方法。
適用例2:
適用例1の方法であって、
少なくとも1つのアルミニウム-炭素結合を有する前記アルミニウム含有反応物は、トリアルキルアルミニウムである、方法。
適用例3:
適用例1の方法であって、
少なくとも1つのアルミニウム-炭素結合を有する前記アルミニウム含有反応物は、トリメチルアルミニウムである、方法。
適用例3:
適用例1の方法であって、
少なくとも1つの炭素-ハロゲン結合を有する前記炭素含有反応物は、四ハロゲン化炭素である、方法。
適用例4:
適用例1の方法であって、
前記少なくとも1つの炭素-ハロゲン結合は、炭素-フッ素結合である、方法。
適用例5:
適用例1の方法であって、
前記アルミニウム含有反応物はトリアルキルアルミニウムであり、前記炭素含有反応物はCX 4 、CHX 3 、CH 2 2 、およびCH 3 Xからなる群から選択され、Xはハロゲンである、方法。
適用例6:
適用例5の方法であって、
Xは、フッ素である、方法。
適用例7:
適用例5の方法であって、
Xは、塩素および/または臭素である、方法。
適用例8:
適用例1の方法であって、
前記アルミニウム含有反応物を前記炭素含有反応物と反応させることは、アルミニウム含有副産物を形成することを含み、前記方法は、(d)の後に前記アルミニウム含有副産物を除去することをさらに含む、方法。
適用例9:
適用例8の方法であって、
前記アルミニウム含有副産物は、アルミニウム-ハロゲン結合を含む、方法。
適用例10:
適用例1の方法であって、
前記炭素層が形成される前記半導体基板の前記表面は、パターニングされた三次元フィーチャを有する、方法。
適用例11:
適用例1の方法であって、
前記アルミニウム含有反応物は、前記炭素含有反応物を導入する前に吸着制限層を形成する、方法。
適用例12:
適用例1の方法であって、
前記炭素含有反応物は、前記アルミニウム含有反応物を導入する前に吸着制限層を形成する、方法。
適用例13:
適用例1の方法であって、
ステップ(a)と(b)との間に前記処理チャンバをパージおよび/または排気し、前記処理チャンバから前記アルミニウム含有反応物または前記炭素含有反応物を除去することをさらに含む、方法。
適用例14:
適用例1の方法であって、
前記炭素層を所定の厚さに堆積するために動作(a)~(d)を繰り返すことをさらに含む、方法。
適用例15:
適用例1の方法であって、
前記炭素層は、ギャップフィル動作において堆積される、方法。
適用例16:
適用例1の方法であって、
前記炭素層は、部分的に製作された3D NAND構造でのギャップフィル動作において堆積される、方法。
適用例17:
処理チャンバ内の半導体基板の表面に炭素層を形成する方法であって、
(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入し、前記アルミニウム含有反応物の層を前記半導体基板の前記表面に形成することと、
(b)(a)の後に前記処理チャンバから前記アルミニウム含有反応物を除去することと、
(c)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入し、前記炭素含有反応物の層を前記半導体基板の前記表面に形成することと、
(d)(c)の後に前記処理チャンバから前記炭素含有反応物を除去することと、
(e)前記炭素含有反応物の前記層および前記アルミニウム含有反応物の前記層を有する前記半導体基板をプラズマと接触させて前記アルミニウム含有反応物と前記炭素含有反応物との間の反応を活性化し、それによって炭素の層を前記半導体基板の表面に形成することと
を含む、方法。
適用例18:
適用例17の方法であって、
前記アルミニウム含有反応物はトリアルキルアルミニウムであり、前記炭素含有反応物はCF 4 であり、前記トリアルキルアルミニウムとCF 4 との間の反応は、ヘリウム(He)、アルゴン(Ar)、水素(H 2 )および窒素(N 2 )からなる群から選択されるガスを含むプロセスガスで形成されたプラズマと前記半導体基板を接触させることによって活性化される、方法。
適用例19:
複数の炭素スペーサを備える、部分的に製作された半導体基板。
適用例20:
半導体基板を処理するためのシステムであって、
(a)処理チャンバであって、基板ホルダ、および反応物を前記処理チャンバに導入するための1つまたは複数の入口を有する処理チャンバと、
(b)システムコントローラであって、
(i)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入させ、
(ii)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入させ、
(iii)前記アルミニウム含有反応物および前記炭素含有反応物のいずれかまたは両方が吸着制限層を前記半導体基板の表面に形成する条件下で、前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つを前記半導体基板の前記表面に吸着させ、かつ
(iv)前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つが吸着制限層を前記半導体基板の前記表面に形成した後、前記アルミニウム含有反応物と前記炭素含有反応物を反応させ、炭素の層を前記半導体基板の前記表面に形成する
ためのプログラム命令を含むシステムコントローラと
を備える、システム。
(Further embodiments)
The apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacturing of semiconductor devices, displays, LEDs, solar panels, and the like. Typically, although not required, such equipment and processes are used or performed together in a common fabrication facility. Lithographic patterning of films generally includes some or all of the following steps, each of which is enabled using a number of available tools: (1) using spin-on or spray-on tools; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) using a tool such as a wafer stepper. (4) developing the resist to selectively remove the resist using a tool such as a wet bench, thereby patterning the resist; (5) transferring the resist pattern to the underlying film or workpiece by using a dry etching tool or a plasma-assisted etching tool; and (6) using a tool such as an RF or microwave plasma resist stripper. step to remove the resist.
The present invention can also be realized, for example, in the following manner.
Application example 1:
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber;
(b) introducing into the processing chamber a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant;
(c) at least one of the aluminum-containing reactant and the carbon-containing reactant under conditions such that either or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limiting layer on the surface of the semiconductor substrate; adsorbing one to the surface of the semiconductor substrate;
(d) after at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate, reacting the aluminum-containing reactant with the carbon-containing reactant to absorb carbon. forming a layer on the surface of the semiconductor substrate;
including methods.
Application example 2:
The method of application example 1,
The method wherein the aluminum-containing reactant having at least one aluminum-carbon bond is a trialkylaluminum.
Application example 3:
The method of application example 1,
The method wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trimethylaluminum.
Application example 3:
The method of application example 1,
The method wherein the carbon-containing reactant having at least one carbon-halogen bond is a carbon tetrahalide.
Application example 4:
The method of application example 1,
The method, wherein the at least one carbon-halogen bond is a carbon-fluorine bond.
Application example 5:
The method of application example 1,
The method wherein the aluminum-containing reactant is a trialkylaluminium, and the carbon-containing reactant is selected from the group consisting of CX4 , CHX3 , CH2X2 , and CH3X , where X is halogen.
Application example 6:
The method of application example 5,
X is fluorine, method.
Application example 7:
The method of application example 5,
A method in which X is chlorine and/or bromine.
Application example 8:
The method of application example 1,
The method wherein reacting the aluminum-containing reactant with the carbon-containing reactant includes forming an aluminum-containing by-product, the method further comprising removing the aluminum-containing by-product after (d).
Application example 9:
The method of Application Example 8,
The method wherein the aluminum-containing by-product comprises an aluminum-halogen bond.
Application example 10:
The method of application example 1,
The surface of the semiconductor substrate on which the carbon layer is formed has patterned three-dimensional features.
Application example 11:
The method of application example 1,
The method wherein the aluminum-containing reactant forms an adsorption-limiting layer prior to introducing the carbon-containing reactant.
Application example 12:
The method of application example 1,
The method wherein the carbon-containing reactant forms an adsorption-limiting layer prior to introducing the aluminum-containing reactant.
Application example 13:
The method of application example 1,
The method further comprising purging and/or evacuating the processing chamber between steps (a) and (b) to remove the aluminum-containing reactant or the carbon-containing reactant from the processing chamber.
Application example 14:
The method of application example 1,
The method further comprising repeating operations (a) to (d) to deposit the carbon layer to a predetermined thickness.
Application example 15:
The method of application example 1,
The method, wherein the carbon layer is deposited in a gap-fill operation.
Application example 16:
The method of application example 1,
The method wherein the carbon layer is deposited in a gap fill operation on a partially fabricated 3D NAND structure.
Application example 17:
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber and forming a layer of the aluminum-containing reactant on the surface of the semiconductor substrate;
(b) removing the aluminum-containing reactant from the processing chamber after (a);
(c) introducing a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant into the processing chamber, and applying a layer of the carbon-containing reactant to the surface of the semiconductor substrate. forming a
(d) removing the carbon-containing reactant from the processing chamber after (c);
(e) contacting the semiconductor substrate having the layer of the carbon-containing reactant and the layer of the aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant; , thereby forming a layer of carbon on the surface of the semiconductor substrate;
including methods.
Application example 18:
The method of Application Example 17,
The aluminum-containing reactant is trialkylaluminum, the carbon-containing reactant is CF4 , and the reaction between the trialkylaluminum and CF4 is performed using helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen (N2 ) .
Application example 19:
A partially fabricated semiconductor substrate with a plurality of carbon spacers.
Application example 20:
A system for processing a semiconductor substrate, the system comprising:
(a) a processing chamber having a substrate holder and one or more inlets for introducing reactants into the processing chamber;
(b) a system controller,
(i) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber;
(ii) introducing into the processing chamber a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant;
(iii) at least one of the aluminum-containing reactant and the carbon-containing reactant under conditions such that either or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limiting layer on the surface of the semiconductor substrate; adsorbed on the surface of the semiconductor substrate, and
(iv) after at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate, the aluminum-containing reactant and the carbon-containing reactant are allowed to react; forming a layer on the surface of the semiconductor substrate;
System controller containing program instructions for
A system equipped with.

Claims (20)

処理チャンバ内の半導体基板の表面に炭素層を形成する方法であって、
(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入することと、
(b)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入することと、
(c)前記アルミニウム含有反応物および前記炭素含有反応物のいずれかまたは両方が吸着制限層を前記半導体基板の前記表面に形成する条件下で、前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つを前記半導体基板の前記表面に吸着させることと、
(d)前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つが吸着制限層を前記半導体基板の前記表面に形成した後、前記アルミニウム含有反応物を前記炭素含有反応物と反応させ、炭素の層を前記半導体基板の前記表面に形成することと
を含む、方法。
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber;
(b) introducing into the processing chamber a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant;
(c) at least one of the aluminum-containing reactant and the carbon-containing reactant under conditions such that either or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limiting layer on the surface of the semiconductor substrate; adsorbing one to the surface of the semiconductor substrate;
(d) after at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate, reacting the aluminum-containing reactant with the carbon-containing reactant to absorb carbon. forming a layer on the surface of the semiconductor substrate.
請求項1に記載の方法であって、
少なくとも1つのアルミニウム-炭素結合を有する前記アルミニウム含有反応物は、トリアルキルアルミニウムである、方法。
The method according to claim 1, comprising:
The method wherein the aluminum-containing reactant having at least one aluminum-carbon bond is a trialkylaluminum.
請求項1に記載の方法であって、
少なくとも1つのアルミニウム-炭素結合を有する前記アルミニウム含有反応物は、トリメチルアルミニウムである、方法。
The method according to claim 1, comprising:
The method wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trimethylaluminum.
請求項1に記載の方法であって、
少なくとも1つの炭素-ハロゲン結合を有する前記炭素含有反応物は、四ハロゲン化炭素である、方法。
The method according to claim 1, comprising:
The method wherein the carbon-containing reactant having at least one carbon-halogen bond is a carbon tetrahalide.
請求項1に記載の方法であって、
前記少なくとも1つの炭素-ハロゲン結合は、炭素-フッ素結合である、方法。
The method according to claim 1, comprising:
The method, wherein the at least one carbon-halogen bond is a carbon-fluorine bond.
請求項1に記載の方法であって、
前記アルミニウム含有反応物はトリアルキルアルミニウムであり、前記炭素含有反応物はCX4、CHX3、CH22、およびCH3Xからなる群から選択され、Xはハロゲンである、方法。
The method according to claim 1, comprising:
The method wherein the aluminum-containing reactant is a trialkylaluminium, and the carbon-containing reactant is selected from the group consisting of CX4 , CHX3 , CH2X2 , and CH3X , where X is halogen.
請求項6に記載の方法であって、
Xは、フッ素である、方法。
7. The method according to claim 6,
X is fluorine, method.
請求項6に記載の方法であって、
Xは、塩素および/または臭素である、方法。
7. The method according to claim 6,
A method in which X is chlorine and/or bromine.
請求項1に記載の方法であって、
前記アルミニウム含有反応物を前記炭素含有反応物と反応させることは、アルミニウム含有副産物を形成することを含み、前記方法は、(d)の後に前記アルミニウム含有副産物を除去することをさらに含む、方法。
The method according to claim 1, comprising:
The method wherein reacting the aluminum-containing reactant with the carbon-containing reactant includes forming an aluminum-containing by-product, the method further comprising removing the aluminum-containing by-product after (d).
請求項9に記載の方法であって、
前記アルミニウム含有副産物は、アルミニウム-ハロゲン結合を含む、方法。
10. The method according to claim 9,
The method wherein the aluminum-containing by-product comprises an aluminum-halogen bond.
請求項1に記載の方法であって、
前記炭素層が形成される前記半導体基板の前記表面は、パターニングされた三次元フィーチャを有する、方法。
The method according to claim 1, comprising:
The surface of the semiconductor substrate on which the carbon layer is formed has patterned three-dimensional features.
請求項1に記載の方法であって、
前記アルミニウム含有反応物は、前記炭素含有反応物を導入する前に吸着制限層を形成する、方法。
The method according to claim 1, comprising:
The method wherein the aluminum-containing reactant forms an adsorption-limiting layer prior to introducing the carbon-containing reactant.
請求項1に記載の方法であって、
前記炭素含有反応物は、前記アルミニウム含有反応物を導入する前に吸着制限層を形成する、方法。
The method according to claim 1, comprising:
The method wherein the carbon-containing reactant forms an adsorption-limiting layer prior to introducing the aluminum-containing reactant.
請求項1に記載の方法であって、
ステップ(a)と(b)との間に前記処理チャンバをパージおよび/または排気し、前記処理チャンバから前記アルミニウム含有反応物または前記炭素含有反応物を除去することをさらに含む、方法。
The method according to claim 1, comprising:
The method further comprising purging and/or evacuating the processing chamber between steps (a) and (b) to remove the aluminum-containing reactant or the carbon-containing reactant from the processing chamber.
請求項1に記載の方法であって、
前記炭素層をあらかじめ定められた厚さに堆積するために動作(a)~(d)を繰り返すことをさらに含む、方法。
The method according to claim 1, comprising:
The method further comprising repeating operations (a) to (d) to deposit the carbon layer to a predetermined thickness.
請求項1に記載の方法であって、
前記炭素層は、ギャップフィル動作において堆積される、方法。
The method according to claim 1, comprising:
The method, wherein the carbon layer is deposited in a gap-fill operation.
請求項1に記載の方法であって、
前記炭素層は、部分的に製作された3D NAND構造でのギャップフィル動作において堆積される、方法。
The method according to claim 1, comprising:
The method wherein the carbon layer is deposited in a gap fill operation on a partially fabricated 3D NAND structure.
処理チャンバ内の半導体基板の表面に炭素層を形成する方法であって、
(a)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入し、前記アルミニウム含有反応物の層を前記半導体基板の前記表面に形成することと、
(b)(a)の後に前記処理チャンバから前記アルミニウム含有反応物を除去することと、
(c)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入し、前記炭素含有反応物の層を前記半導体基板の前記表面に形成することと、
(d)(c)の後に前記処理チャンバから前記炭素含有反応物を除去することと、
(e)前記炭素含有反応物の前記層および前記アルミニウム含有反応物の前記層を有する前記半導体基板をプラズマと接触させて前記アルミニウム含有反応物と前記炭素含有反応物との間の反応を活性化し、それによって炭素の層を前記半導体基板の表面に形成することと
を含む、方法。
A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber and forming a layer of the aluminum-containing reactant on the surface of the semiconductor substrate;
(b) removing the aluminum-containing reactant from the processing chamber after (a);
(c) introducing a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant into the processing chamber, and applying a layer of the carbon-containing reactant to the surface of the semiconductor substrate. forming a
(d) removing the carbon-containing reactant from the processing chamber after (c);
(e) contacting the semiconductor substrate having the layer of the carbon-containing reactant and the layer of the aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant; , thereby forming a layer of carbon on a surface of the semiconductor substrate.
請求項18に記載の方法であって、
前記アルミニウム含有反応物はトリアルキルアルミニウムであり、前記炭素含有反応物はCF4であり、前記トリアルキルアルミニウムとCF4との間の反応は、ヘリウム(He)、アルゴン(Ar)、水素(H2)および窒素(N2)からなる群から選択されるガスを含むプロセスガスで形成されたプラズマと前記半導体基板を接触させることによって活性化される、方法。
19. The method according to claim 18,
The aluminum-containing reactant is trialkylaluminum, the carbon-containing reactant is CF4 , and the reaction between the trialkylaluminum and CF4 is performed using helium (He), argon (Ar), hydrogen (H 2 ) and nitrogen ( N2 ).
半導体基板を処理するためのシステムであって、
(a)処理チャンバであって、基板ホルダ、および反応物を前記処理チャンバに導入するための1つまたは複数の入口を有する処理チャンバと、
(b)システムコントローラであって、
(i)少なくとも1つのアルミニウム-炭素結合を有するアルミニウム含有反応物を前記処理チャンバに導入させ、
(ii)少なくとも1つの炭素-ハロゲン結合を有し、かつ、前記アルミニウム含有反応物とは異なる炭素含有反応物を前記処理チャンバに導入させ、
(iii)前記アルミニウム含有反応物および前記炭素含有反応物のいずれかまたは両方が吸着制限層を前記半導体基板の表面に形成する条件下で、前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つを前記半導体基板の前記表面に吸着させ、かつ
(iv)前記アルミニウム含有反応物および前記炭素含有反応物の少なくとも1つが吸着制限層を前記半導体基板の前記表面に形成した後、前記アルミニウム含有反応物と前記炭素含有反応物を反応させ、炭素の層を前記半導体基板の前記表面に形成する
ためのプログラム命令を含むシステムコントローラと
を備える、システム。
A system for processing a semiconductor substrate, the system comprising:
(a) a processing chamber having a substrate holder and one or more inlets for introducing reactants into the processing chamber;
(b) a system controller,
(i) introducing an aluminum-containing reactant having at least one aluminum-carbon bond into the processing chamber;
(ii) introducing into the processing chamber a carbon-containing reactant having at least one carbon-halogen bond and different from the aluminum-containing reactant;
(iii) at least one of the aluminum-containing reactant and the carbon-containing reactant under conditions such that either or both of the aluminum-containing reactant and the carbon-containing reactant form an adsorption-limiting layer on the surface of the semiconductor substrate; (iv) after at least one of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limiting layer on the surface of the semiconductor substrate, the aluminum-containing reactant a system controller comprising program instructions for reacting the carbon-containing reactant with a carbon-containing reactant to form a layer of carbon on the surface of the semiconductor substrate.
JP2020551853A 2018-03-26 2019-03-15 Atomic layer deposition of carbon films Active JP7396998B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862647993P 2018-03-26 2018-03-26
US62/647,993 2018-03-26
PCT/US2019/022568 WO2019190783A1 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Publications (3)

Publication Number Publication Date
JP2021519514A JP2021519514A (en) 2021-08-10
JPWO2019190783A5 JPWO2019190783A5 (en) 2022-03-24
JP7396998B2 true JP7396998B2 (en) 2023-12-12

Family

ID=68060319

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020551853A Active JP7396998B2 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Country Status (4)

Country Link
JP (1) JP7396998B2 (en)
KR (1) KR20200127261A (en)
CN (1) CN112005339A (en)
WO (1) WO2019190783A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
WO2024118304A1 (en) * 2022-11-30 2024-06-06 Lam Research Corporation Metal doped carbon non-conformal deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010041038A (en) 2008-06-27 2010-02-18 Asm America Inc Ald of silicon dioxide at low temperature for important applications
JP2010520638A (en) 2007-03-06 2010-06-10 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Atomic layer deposition technology
JP2014143416A (en) 2013-01-10 2014-08-07 Novellus Systems Incorporated DEVICE AND METHOD FOR DEPOSITING SiC AND SiCN FILM BY CROSS METATHESIS REACTION USING ORGANIC METAL CO-REACTANT
US20160027614A1 (en) 2014-07-22 2016-01-28 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
JP2016507001A (en) 2012-12-21 2016-03-07 プラサド ナーハー ガジル Low temperature deposition method for ceramic thin films
JP2016513883A (en) 2013-03-15 2016-05-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Carbon film deposition with extreme conformality, background technology
US20160163816A1 (en) 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143921A (en) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp Magnetic disk and its production
FI118014B (en) * 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP4638550B2 (en) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010520638A (en) 2007-03-06 2010-06-10 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド Atomic layer deposition technology
JP2010041038A (en) 2008-06-27 2010-02-18 Asm America Inc Ald of silicon dioxide at low temperature for important applications
JP2016507001A (en) 2012-12-21 2016-03-07 プラサド ナーハー ガジル Low temperature deposition method for ceramic thin films
JP2014143416A (en) 2013-01-10 2014-08-07 Novellus Systems Incorporated DEVICE AND METHOD FOR DEPOSITING SiC AND SiCN FILM BY CROSS METATHESIS REACTION USING ORGANIC METAL CO-REACTANT
JP2016513883A (en) 2013-03-15 2016-05-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Carbon film deposition with extreme conformality, background technology
US20160027614A1 (en) 2014-07-22 2016-01-28 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US20160163816A1 (en) 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Also Published As

Publication number Publication date
JP2021519514A (en) 2021-08-10
KR20200127261A (en) 2020-11-10
CN112005339A (en) 2020-11-27
WO2019190783A1 (en) 2019-10-03

Similar Documents

Publication Publication Date Title
JP7414891B2 (en) Apparatus and method for processing semiconductor substrates
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
TWI828619B (en) Tin oxide films in semiconductor device manufacturing
KR102668418B1 (en) Methods for formation of low-k aluminum-containing etch stop films
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US12051589B2 (en) Tin oxide thin film spacers in semiconductor device manufacturing
JP7396998B2 (en) Atomic layer deposition of carbon films
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
TWI850136B (en) Tin oxide thin film spacers in semiconductor device manufacturing

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220315

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220315

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230411

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230828

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231130

R150 Certificate of patent or registration of utility model

Ref document number: 7396998

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150