JP7414891B2 - 半導体基板を処理するための装置および方法 - Google Patents

半導体基板を処理するための装置および方法 Download PDF

Info

Publication number
JP7414891B2
JP7414891B2 JP2022093370A JP2022093370A JP7414891B2 JP 7414891 B2 JP7414891 B2 JP 7414891B2 JP 2022093370 A JP2022093370 A JP 2022093370A JP 2022093370 A JP2022093370 A JP 2022093370A JP 7414891 B2 JP7414891 B2 JP 7414891B2
Authority
JP
Japan
Prior art keywords
tin
sno
substrate
layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022093370A
Other languages
English (en)
Other versions
JP2022120077A (ja
Inventor
デビッド・チャールズ・スミス
リチャード・ワイズ
アーパン・マホロワラ
クリーンプット パトリック・エー.・バン
シュラベンディック バート・ジェイ.・バン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022120077A publication Critical patent/JP2022120077A/ja
Priority to JP2023222187A priority Critical patent/JP2024029147A/ja
Application granted granted Critical
Publication of JP7414891B2 publication Critical patent/JP7414891B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Hall/Mr Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Description

本発明は、半導体デバイス製造におけるパターン形成法に関する。詳細には、本発明は、半導体処理においてスペーサとして薄い酸化スズ被膜を使用する方法に関する。
集積回路(IC)の製造では、誘電体層に埋め込まれた金属ラインを形成する目的などで材料のパターンを形成するために、堆積およびエッチング技法が使用される。いくつかのパターン形成スキームは、精密なパターン形成および小型フィーチャの形成を可能にするスペーサの使用を含む。スペーサは、基板上に形成され、所定の距離(典型的には前のパターン形成によって決定される)で分離され、下層のパターン形成用のマスクとして使用される。スペーサおよび周囲の層の材料は、スペーサの形成と下層のパターン形成との両方を可能にする適切なエッチング選択性を有するように選択される。パターン形成が完了した後、スペーサはエッチングによって除去され、製造された最終的な半導体デバイスの一部とはならない。
スペーサは、ダイナミック・ランダムアクセス・メモリ(DRAM)の形成、フィン型電界効果トランジスタ(finFET)におけるフィンのパターン形成、およびバックエンド(BEOL)処理でのパターン形成を含めた様々な用途でのパターン形成に使用される。
酸化ケイ素または酸化チタンなど多くのスペーサ材料は、パターン形成中にピッチウォーキングおよび/または粒子汚染の問題を引き起こすことが発見された。例えば、酸化ケイ素は、半導体処理において一般に使用される多くの材料に比べて比較的低いエッチング選択性を特徴とし、これは、より厚いスペーサの使用を必要とする。さらに、この比較的低いエッチング性により、処理の進行方向に対して横方向のスペーサ側壁の消費が不均一になり、最終的にピッチウォーキング(スペーサ間の不均一な距離)を生じるおそれがある。スペーサ材料として酸化チタンが使用されるとき、エッチング選択性は適切であり得るが、チタン含有粒子がプロセスチャンバを汚染することがある。例えば、フッ化チタン粒子は、フルオロカーボン・プラズマエッチング後にエッチングチャンバを汚染する可能性がある。これは、頻繁なエッチングチャンバ洗浄の必要性、および生産性の低下につながる。
本発明では、酸化スズをスペーサ材料として使用することによってこれらの問題が対処される。酸化スズは高い弾性率を有し、この高い弾性率は、ピッチウォーキングおよびエッジ粗さを低減するのに必要とされる良好なエッチング選択性と相関する。さらに、チタンとは異なり、スズは高揮発性の水素化物を生成し、これはプロセスチャンバから容易に除去することができる。したがって、いくつかの実施形態では、提供される処理方法は、(例えば水素含有プロセスガス中のプラズマ処理によって)任意のスズ含有材料(フッ化スズなど)を水素化スズに変換し、生成された揮発性の水素化スズを、パージおよび/または排気によってプロセスチャンバから除去することを含む。スズ含有粒子をチャンバ内部から除去する洗浄プロセスは、エッチングまたは堆積チャンバ内で、典型的には基板がない状態で行うことができる。
本発明の一態様では、半導体基板を処理する方法が提供される。この方法は、第1の材料を含む露出層と、第1の材料とは異なる第2の材料を含む少なくとも1つの突出フィーチャとを有する半導体基板を提供するステップと、少なくとも1つの突出フィーチャの側壁を含め、第1の材料と第2の材料との両方の上にSnO層を堆積するステップとを含む。第1の材料および第2の材料は、第1のエッチング化学反応に関して、SnOのエッチングレートに対する第1の材料のエッチングレートの比が1よりも大きく、第2のエッチング化学反応に関して、SnOのエッチングレートに対する第2の材料のエッチングレートの比が1よりも大きくなるように選択される。例えば、いくつかの実施形態では、第1の材料は酸化ケイ素および/または窒化ケイ素であり、第1のエッチング化学反応はフルオロカーボン・プラズマエッチングである。いくつかの実施形態において、第2の材料は、非晶質シリコンおよび/または炭素を含み、第2のエッチング化学反応は、酸化性の酸素含有化学反応(例えば、HBrおよびO2を含むプロセスガス中でのプラズマ処理)である。
いくつかの実装形態では、基板は複数の突出フィーチャを備え、SnOの堆積前の最も近い突出フィーチャ間の距離は、約10~100nmである。いくつかの実装形態では、最も近い突出フィーチャ間の距離は、約40~100nmである。他の実施形態では、最も近い突出フィーチャ間の距離は、約10~30nmである。いくつかの実施形態では、SnO層は、例えば原子層堆積(ALD)によって、約5~30nmの厚さ、例えば約10~20nmの厚さにコンフォーマル(共形)に堆積される。
SnO層が堆積された後、SnO層からスペーサが形成される。いくつかの実施形態では、スペーサの形成は、SnO層を堆積した後、少なくとも1つの突出部の側壁を覆うSnO層を完全には除去することなく、半導体基板の水平面からSnO層を完全に除去することを含む。これに続いて、第2のエッチング化学反応を使用して、少なくとも1つの突出部の側壁を覆っていたSnO層を完全には除去することなく少なくとも1つの突出部を完全に除去し、それによりSnOスペーサを形成する。
SnOスペーサが形成された後、続いて、このプロセスは、第1のエッチング化学反応を使用して(例えばプラズマ・フルオロカーボン・エッチングを使用して)、SnOスペーサを完全には除去することなく第1の材料の露出部分を除去し、それにより、第1の材料の層の下にあるハードマスク層の一部を露出することができる。続いて、このプロセスは、SnO層の下にあった第1の材料の前記層を完全には除去することなく、SnO層とハードマスク層の露出部分との両方を除去してよい。
本明細書で提供される半導体処理方法は、いくつかの実施形態では、本明細書で提供される堆積およびエッチング操作のいずれかの後に、プロセスチャンバ内に残っているスズ含有粒子を水素化スズに変換することを含む。この変換は、水素含有ガスを含むプロセスガス中で生成されたプラズマにプロセスチャンバを曝すことによって行われる。いくつかの実施形態では、水素含有ガスは、H2および/またはNH3である。いくつかの実施形態では、エッチングチャンバは、フルオロカーボン・プラズマエッチング後に、スズ含有粒子(例えばフッ化スズ)を水素化スズに変換することによって、およびエッチングチャンバから揮発性水素化スズを除去することによって洗浄される。
いくつかの実施形態では、本明細書で提供される方法は、フォトリソグラフィ処理と組み合わせて使用され、フォトレジストを半導体基板に塗布するステップと、フォトレジストを露光するステップと、フォトレジストをパターン形成し、パターンを半導体基板に転写するステップと、半導体基板からフォトレジストを選択的に除去するステップとを含む。例えば、リソグラフィを使用して、基板上にSnO層を堆積する前に突出フィーチャのパターンを形成することができる。
別の態様では、半製造された半導体デバイスであって、第1の材料(例えば酸化ケイ素または窒化ケイ素)の露出層と、第1の材料の層上にある複数のSnOスペーサとを備えるデバイスが提供される。いくつかの実施形態では、スペーサ間の距離は約5~90nmである。
別の態様によれば、SnO層を堆積するための装置が提供される。この装置は、堆積中に基板を所定位置に保持するために構成された基板ホルダと、反応物を導入するための入口とを有するプロセスチャンバを含む。この装置は、本明細書で提供される方法に従ってSnO層を堆積するためのプログラム命令を備える制御部をさらに含む。
別の態様によれば、SnOスペーサを使用して半導体基板を処理するためのシステムが提供される。このシステムは、1つまたは複数の堆積プロセスチャンバと、1つまたは複数のエッチングプロセスチャンバと、本明細書で提供される方法に従って半導体基板を処理するためのプログラム命令を備える制御部とを含む。
別の態様によれば、本明細書で提供される装置またはシステムのいずれかと、ステッパとを含むシステムが本明細書で提供される。
別の態様によれば、本明細書で提供される装置またはシステムのいずれかを制御するためのプログラム命令を含む、非一時的なコンピュータ機械可読媒体が提供される。命令は、本明細書で提供される処理方法のためのコードを含む。
本明細書で述べる主題の実装形態のこれらのおよび他の態様は、添付図面および以下の説明で述べる。
本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。 本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。 本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。 本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。 本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。 本明細書で述べる一実施形態による処理を施されている半導体基板の概略断面図を示す図である。
本明細書で提供される一実施形態による処理方法に関するプロセスフロー図である。
本明細書で提供される一実施形態による処理方法に関するプロセスフロー図である。
本明細書で提供される一実施形態によるSnO層の堆積に適したプラズマ原子層堆積(PEALD)プロセスステーションの概略図である。
本明細書で提供される一実施形態によるマルチステーション処理ツールの概略図である。
本明細書で提供される一実施形態による薄膜を堆積して後処理するために構成された処理ツールのブロック図である。
以下の詳細な説明では、開示される実装形態を完全に理解できるように、いくつかの特定の実装形態を述べる。しかし、当業者には明らかであるように、開示される実装形態は、それらの特定の詳細を備えずに、または代替の要素もしくはプロセスを使用して実施されてもよい。なお、開示される実装形態の態様を不要に曖昧にしないように、よく知られているプロセス、手順、および構成要素については詳細には述べていない。
本出願において、「半導体基板」、「ウェハ」、「基板」、「ウェハ基板」、および「半製造された集積回路」という用語は、相互交換可能に使用される。「半製造された集積回路」という用語が、シリコンウェハ上での集積回路製造の多くの段階のうちの任意の段階中のシリコンウェハを表すことができることを当業者は理解されよう。さらに、「半導体基板」という用語は、基板内のどこかに半導体材料を含む基板を表し、他の材料の層を含んでいてもよい。以下の詳細な説明では、開示される実装形態がウェハ上に実装されると仮定する。しかし、開示される実装形態はそれに限定されない。ワークピースは、様々な形状、サイズ、および材料のものとしてよい。半導体ウェハに加えて、開示される実装形態を利用し得る他のワークピースには、プリント回路板など様々な物品が含まれる。
本明細書では、酸化スズ(SnO)スペーサを使用して半導体基板を処理するための方法が提供される。本明細書で使用するとき、酸化スズ(本明細書ではSnOとも呼ぶ)は、スズ(Sn)および酸素(O)を含み、任意選択で水素を含んでいてもよい材料を表す。本明細書で使用するとき、酸化スズ(SnO)は、炭素および窒素など少量の他の元素をさらに含んでいてもよく、ここで、他の元素の総量は10原子%以下である(ここで、含有量の計算に水素は含まれない)。例えば、ALD堆積されたSnOは、約0.5~5原子%の炭素を含有することができる。本明細書で使用するとき、「SnO」という用語は、酸化物の化学量論量を示さず、化学量論量は変化してよい。いくつかの特定の実施形態において、SnOの化学量論量は、酸素原子2個当たり約1個のスズ原子である。
ケイ素(Si)、炭素(C)、酸化ケイ素(SiO2)、および窒化ケイ素(SiN)など、本明細書で論じる他の材料は、任意選択で水素を含んでいてもよいことを理解されたい。これらの材料中に他の元素が少量存在してもよく、例えば、(水素を除く)他の元素の合計含有量は10原子%以下である。例えば、「酸化ケイ素」という用語は、炭素ドープ酸化ケイ素および他のドープされた形態の酸化ケイ素を含む。
図1~図6を参照して、酸化スズスペーサの使用を説明する。図1~図6は、処理の異なる段階での半導体基板の概略断面図を示す。図7および図8は、半導体基板処理法に関するプロセスフロー図を示す。
図7を参照すると、プロセスは、ステップ701で、第1の材料の露出層と、第2の材料を含む少なくとも1つの突出フィーチャとを有する基板を提供することから始まる。第1の材料の層はエッチングストップ層(ESL)と呼ばれ、突出フィーチャはマンドレルと呼ばれる。例示的な基板が図1に示されており、図1は、ESL103上にある2つのマンドレル101を示す。隣接するマンドレル間の距離d1は、いくつかの実施形態では、約10~100nmである。いくつかの実施形態では、約40~100nmの比較的大きな距離が使用される。他の用途では、最も近いマンドレル間の距離は、約10~30nmである。ピッチとも呼ばれる最も近いマンドレルの中心間の距離d2は、いくつかの実施形態では、約30~130nmである。いくつかの実施形態では、ピッチは、約80~130nmである。他の実施形態では、ピッチは、約30~40nmである。マンドレルd3の高さは、典型的には約20~200nm、例えば約50~100nmである。
マンドレルおよびESLの材料は、露出された酸化スズの存在下でのマンドレル材料の後続の選択的エッチング、および露出された酸化スズの存在下でのESL材料の選択的エッチングを可能にするように選択される。したがって、ESL材料のエッチングレートと酸化スズのエッチングレートとの比は、第1のエッチング化学反応に関して、1よりも大きく、より好ましくは約1.5よりも大きく、例えば約2よりも大きい。同様に、酸化スズのエッチングレートに対するマンドレル材料のエッチングレートの比は、第2のエッチング化学反応に関して、約1よりも大きく、より好ましくは約1.5よりも大きく、例えば約2よりも大きい。
いくつかの実施形態では、ESL材料は、酸化ケイ素ベース材料、窒化ケイ素、およびそれらの組合せからなる群から選択され、マンドレル材料は、非晶質シリコン(ドープもしくは非ドープ)または炭素(ドープもしくは非ドープ)である。ケイ素および炭素に関して使用されるドーパントの例としては、限定はしないが、N、S、B、およびWが挙げられる。ESL層およびマンドレルは、物理気相成長(PVD)、化学気相成長(CVD)、ALD(プラズマを用いない、もしくはPEALDによる)、またはプラズマ化学気相成長(PECVD)によって形成することができ、マンドレルのパターンは、フォトリソグラフィ技法を使用して画定することができる。
図1に示される基板を再び参照すると、ESL層103は、ターゲット層105の上にあり、ターゲット層105と接触している。ターゲット層105は、パターン形成する必要がある層である。ターゲット層105は、半導体、誘電体、または他の層でよく、例えば、シリコン(Si)、酸化ケイ素(SiO2)、窒化ケイ素(SiN)、または窒化チタン(TiN)から形成されていてよい。いくつかの実施形態では、ターゲット層は、ハードマスク層と呼ばれ、窒化チタンなどの金属窒化物を含む。ターゲット層105は、ALD(プラズマを用いない、もしくはPEALDによる)、CVD、または他の適切な堆積技法によって堆積されてもよい。
ターゲット層105は、いくつかの実施形態ではBEOL層である層107の上にあり、層107と接触しており、この層107は、誘電体材料の層に埋め込まれた複数の金属線を含む。
再び図7を参照すると、プロセスは次いで、ステップ703で、第1と第2の材料の両方の上にSnO層を堆積させる。図2に示される構造を参照すると、SnO層109が、ESL103の上、およびマンドレルの側壁を含めたマンドレル101の上に堆積される。SnO層は、CVD(PECVDを含む)、ALD(PEALDを含む)、スパッタリングなど任意の適切な方法によって堆積される。いくつかの実施形態では、SnO被膜をコンフォーマルに堆積することが好ましく、それにより、図2に示されるように、SnO被膜は層103およびマンドレル101の表面に沿う。いくつかの実施形態では、SnO層は、約5~30nmの厚さ、例えば約10~20nmの厚さにコンフォーマルに堆積される。コンフォーマルなSnO被膜の適切な堆積法の1つは、ALDである。熱ALDまたはプラズマALDを使用することができる。典型的な熱ALD法では、基板は、ALDプロセスチャンバに提供され、スズ含有前駆体および酸素含有反応物に順次に曝され、スズ含有前駆体と酸素含有反応物を基板の表面上で反応させて、SnOを生成する。典型的には、基板がスズ含有前駆体に曝された後、かつ酸素含有反応物がプロセスチャンバに入れられる前に、ALDプロセスチャンバが不活性ガスでパージされて、プロセスチャンバのバルクでの反応を防止する。さらに、ALDプロセスチャンバは、典型的には、基板が酸素含有反応物で処理された後にも不活性ガスでパージされる。順次に曝す操作は数サイクルにわたって繰り返され、例えば、所望の厚さを有するSnO層が堆積されるまで約10~100サイクルを行うことができる。適切なスズ含有前駆体の例としては、ハロゲン化スズ含有前駆体(SnCl4およびSnBr4など)、およびアルキル置換スズアミドなどを含む有機スズ化合物などの非ハロゲン化スズ含有前駆体が挙げられる。ALDに適したアルキル置換スズアミドの具体的な例は、テトラキス(ジメチルアミノ)スズ、テトラキス(エチルメチルアミノ)スズ、N2,N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)、および(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリジンである。酸素含有反応物としては、限定はしないが、酸素、オゾン、水、過酸化水素、およびNOが挙げられる。酸素含有反応物の混合物を使用することもできる。堆積条件はALD反応物の選択に従って異なり、一般に、より高い反応性の前駆体は、より低い反応性の前駆体よりも低い温度で反応する。これらのプロセスは、典型的には、約20~500℃の温度で、大気圧よりも低い圧力で行われる。温度および圧力は、凝縮を避けるために反応物がプロセスチャンバ内で気体状態のままであるように選択される。各反応物は、単独で、またはアルゴン、ヘリウムもしくは窒素などのキャリアガスと混合されて、気体状態でプロセスチャンバに提供される。これらの混合物の流量は、プロセスチャンバのサイズによって決まり、いくつかの実施形態では約10~10,000sccmである。
本明細書で提供されるコンフォーマルSnO層を堆積させるのに適した熱ALDプロセス条件の具体的な例は、“Tin Oxide with Controlled Morphology and Crystallinity by Atomic Layer Deposition onto Graphene Nanosheets for Enhanced Lithium Storage”(Advanced Functional Materials,2012,22,8,1647-1654)という題名のLi他による論文に記載されており、その論文の全体を参照により本明細書に援用する。このプロセスは、ALD真空チャンバ内で、200~400℃の温度で基板をSnCl4(スズ含有前駆体)および脱イオン水(酸素含有反応物)に順次にかつ交互に曝すことを含む。ALDサイクルの特定の例では、SnCl4蒸気とN2キャリアガスとの混合物をALDプロセスチャンバに0.5秒間導入し、次いで基板に対して3秒間曝す。次に、ALDプロセスチャンバをN2で10秒間パージして、プロセスチャンバのバルクからSnCl4を除去し、H2O蒸気とN2キャリアガスとの混合物をプロセスチャンバ内に1秒間流し、基板に対して3秒間曝す。次に、ALDプロセスチャンバをN2でパージし、サイクルを繰り返す。ALDプロセスは、大気圧よりも低い圧力(例えば、0.4Torr)および200~400℃の温度で行う。
本明細書で提供される方法においてSnO被膜を堆積させるのに適した熱ALDプロセス条件の別の例が、“In situ Examination of Tin Oxide Atomic Layer Deposition using Quartz Crystal Microbalance and Fourier Transform Infrared Techniques”(J.Vac.Sci.Technol.A 23,581(2005))という題名のDu他による論文で与えられており、その論文の全体を参照により本明細書に援用する。このプロセスでは、基板は、ALDプロセスチャンバ内で、約150~430℃の温度でSnCl4およびH22に連続的に曝される。
ALDでのハロゲン化スズ前駆体の使用は多くの実施形態において適切であるが、いくつかの実施形態では、SnCl4などのハロゲン化前駆体の使用で起こり得る腐食の問題を避けるために、非ハロゲン化有機スズ前駆体を使用することがより好ましい。適切な非ハロゲン化有機スズ前駆体の例としては、テトラキス(ジメチルアミノ)スズなどのアルキルアミノスズ(アルキル化スズアミド)前駆体が挙げられる。この前駆体を使用する適切な熱ALD堆積法の一例は、“Atomic Layer Deposition of Tin Oxide Films using Tetrakis(dimethylamino)tin”(J.Vac.Sci.Technol.A 26,244(2008))という題名のElam他による論文で提供されており、その論文の全体を参照により本明細書に援用する。この方法では、基板は、ALDチャンバ内で、約50~300℃の温度でテトラキス(ジメチルアミノ)スズおよびH22に順次に曝される。有利には、この前駆体の使用は、100℃以下の低温でのSnO被膜の堆積を可能にする。例えば、反応速度を高めるために、プラズマを使用せずに50℃でSnO被膜を堆積することができる。テトラキス(ジメチルアミノ)スズおよびH22を使用するSnOの熱ALDの別の例は、“Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors”(J.Phys.Chem.C 2008,112,1938-1945)という題名のElam他による論文で提供されており、その論文を参照により本明細書に援用する。
反応性有機スズ前駆体の使用による低温熱ALDプロセスの別の例が、“Low temperature Atomic Layer Deposition of Tin Oxide”(Chem.Mater.,2010,22(7)4964-4973)という題名のHeo他による論文で提供されており、その論文の全体を参照により本明細書に援用する。この堆積プロセス(本明細書で提供されるSnO被膜の堆積に適している)では、ALD真空プロセスチャンバ内で、N2,N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)および50%のH22に順次に基板を曝す。これらの反応物を気化し、それぞれをN2キャリアガスと混合させて、プロセスチャンバに提供する。反応物に基板を曝した後に毎回、チャンバをN2でパージする。堆積は、約50~150℃の温度で行うことができる。
一般に、過酸化水素は、ALDプロセスにおいてSnOの生成のための酸素含有反応物として良好に作用するが、時として、H22分解により、SnO被膜成長に対する制御が不十分になることがある。いくつかの実施形態では、NOなど、より安定した酸素含有前駆体が使用される。酸素含有反応物としてNOを用いる適切なプロセス条件の一例は、“Atomic Layer Deposition of Tin Oxide with Nitric Oxide as an Oxidant Gas”(J.Mater.Chem.,2012,22,4599)という題名のHeo他の論文で提供されており、その論文を参照により本明細書に援用する。堆積は、約130~250℃の温度で、環状Sn(II)アミド(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタノリジン-2-イリジン)とNOとに順次に基板を曝すことを含む。
いくつかの実施形態では、SnO被膜はPEALDによって堆積される。熱ALDに関して上述したのと同じ種類のスズ含有前駆体および酸素含有反応物を使用することができる。PEALDでは、ALD装置は、プロセスチャンバ内でプラズマを発生し、基板をプラズマで処理するためのシステムを備えている。典型的なPEALDプロセスシーケンスでは、基板をPEALDプロセスチャンバに提供し、基板の表面に吸着するスズ含有前駆体に曝す。プロセスチャンバを不活性ガス(例えばアルゴンまたはヘリウム)でパージしてプロセスチャンバから前駆体を除去し、プロセスチャンバに導入される酸素含有反応物に基板を曝す。酸素含有反応物の導入と同時に、またはいくらかの遅延後に、プロセスチャンバ内でプラズマが生成される。プラズマは、SnOの生成をもたらす基板の表面上でのスズ含有前駆体と酸素含有反応物との反応を促進する。次に、プロセスチャンバを不活性ガスでパージし、スズ前駆体のドージング、パージ、酸素含有反応物のドージング、プラズマ処理、および第2のパージを含むサイクルが、所望の厚さのSnO被膜を形成するのに必要な回数だけ繰り返される。
SnO被膜のPEALD形成に適したプロセス条件の一例が、“The Fabrication of Tin Oxide Films by Atomic Layer Deposition using Tetrakis(ethylmethylamino)tin Precursor”(Transactions on Electrical and Electronic Materials,2009,10,5,173-176)という題名のSeop他による論文で提供されており、その論文を参照により本明細書に援用する。基板をPEALDプロセスチャンバ内に提供し、プラズマがない状態でテトラキス(エチルメチルアミノ)スズに4秒間曝す。次に、プロセスチャンバを通してアルゴンを流すことによって、20秒間、スズ含有前駆体をプロセスチャンバからパージする。次いで、O2を2秒間注入した後、さらに2秒間、100Wの無線周波(RF)電力で注入する。その後、アルゴンパージを行い、1回のPEALDサイクルが完了する。この例では、プロセスは、50~200℃の温度範囲および0.8Torrの圧力で行われる。
ALD(熱ALDとプラズマALDの両方)は、SnO被膜を堆積するための好ましい方法の1つであるが、CVD、PECVD、およびスパッタリングなどの他のSnO堆積法も使用することができることを理解されたい。
図7のプロセス図を参照すると、SnO層が堆積された後、プロセスは、ステップ705で、基板上にSnOスペーサを形成する。SnOスペーサの形成は、図3および図4に示されている。まず、層103の上およびマンドレル101の上の水平面からSnO層109をエッチングし、マンドレル101の側壁に付着する位置からは完全にはエッチングしない。このエッチングは、マンドレル101の側壁の近くの位置を除く全ての場所で層103を露出させる。さらに、このエッチングは、マンドレルの上部を露出させる。得られる構造が図3に示されている。このエッチングの化学的特性は、層101および103に使用される材料のタイプによって決まる。このステップにおけるSnO層除去のために使用されるエッチングは、マンドレル材料エッチングレートに対するSnOエッチングレートの比が1よりも大きく、層103の材料のエッチングレートに対するSnOエッチングレートの比が1よりも大きくなるように選択される。SnOは、いくつかのウェットエッチングおよびドライエッチング技法を使用してエッチングすることができる。ウェットエッチングでは、基板をウェットエッチャントと接触させ、ウェットエッチャントは、例えば基板上に噴霧することができる。代替として、基板をウェット(水性)エッチャント内に浸漬することができる。ドライエッチングでは、ドライエッチングチャンバ内に基板を配置し、ドライエッチングチャンバ内で、プラズマを使用してまたは使用せずに、基板を気体状のエッチャントと接触させる。本明細書で使用されるとき、「ウェットエッチング」は、液体エッチャントを用いたエッチングを表し、「ドライエッチング」は、水の使用に関わらず、気体状の(気化された)エッチャントを用いたエッチングを表す。SnOのエッチングに適したウェットエッチングの一例は酸エッチングであり、酸エッチングでは、基板をHClなどの酸の水溶液と接触させる。
HClエッチングの一実装形態では、HClとクロム金属との水溶液から調製された水溶液と基板を接触させる。このエッチング化学は、“Texture Etched SnO2 Glasses Applied to Silicon Thin-film Solar Cells”(Journal of Nanomaterials,vol.2014,1-9)という題名のWu他による論文で述べられており、その論文の全体を参照により本明細書に援用する。この実施形態では、SnO層は、HClおよびCr(II)イオンを含む予め生成された混合物によってエッチングされ、これらのイオンは、Sn(IV)をSn(II)に還元し、酸化物の溶解を補助する。一実装形態では、HCl:Crエッチング溶液は、クロム金属(20g)を50%のHCl水溶液(5L)に90℃で溶解することによって調製される。クロム濃度は、0.05~1重量%で変えることができる。いくつかの実施形態では、エッチングは、20~100℃の温度範囲で行われる。
ウェットエッチングプロセスの別の例では、SnO層は、亜鉛粉末の存在下で、水性HX(XはCl、Br、またはIである)で処理される。この方法では、酸化物は、亜鉛とHXとの反応で生成された水素によって直接還元される。別のウェットエッチング実施形態では、例えば1:3のH3PO4:H2O比で提供される水性リン酸によってSnOがエッチングされる。さらに、SnO被膜は、約60℃の温度で、水性HNO3とHClの混合物によって、または水性HIによってエッチングすることができる。
SnO除去のためのドライエッチング化学反応の一例は、プラズマ中でのHBrを用いた処理を含む。この処理は、“Etch Mechanism of In23 and SnO2 thin films in HBr-based inductively coupled plasmas”(J.Vac.Sci.Technol.A 28,226(2010))という題名のKwon他による論文で述べられており、その論文の全体を参照により本明細書に援用する。基板は、HBrおよびアルゴンを含有するプロセスガス中で生成された誘導結合プラズマによって処理される。
別の実施形態では、HBr含有プロセスガスは、O2など酸素含有化合物をさらに含む。いくつかの実施形態では、エッチングは、HBr、O2、およびN2を含むプロセスガス中で生成されたプラズマに基板を曝すことによって行われる。このタイプのエッチングは、シリコンおよび酸化ケイ素などの材料に対して選択的にSnO材料を除去することができる。典型的には、シリコンマンドレルの表面は二酸化ケイ素の層で覆われており、この層は、このエッチング化学反応によってシリコンマンドレルの表面がエッチングされないように保護することに留意されたい。いくつかの実施形態では、このエッチングステップのプロセス条件は、比較的高い無線周波(RF)バイアスを基板ホルダに加えることを含み、プラズマ中のイオンのエネルギーを増加させ、SnO材料のエッチングレートを増加させる。SnO除去に適した他のドライエッチング化学反応としては、Cl2と炭化水素との混合物中でのプラズマ処理、およびCH2Cl2またはCHCl3などの塩化炭化水素を含むプロセスガス中でのプラズマ処理が挙げられる。いくつかの実施形態では、露出されたSnO層を含む基板を、CH4およびCl2を含むプロセスガス中で生成されたプラズマと接触させる。
SnO被膜を除去するためのさらに別のドライエッチング化学反応は、水素ベースのプラズマである。いくつかの実施形態では、SnOは、H2を含むプロセスガス中で生成されたプラズマに基板を曝すことによってエッチングされる。いくつかの実施形態では、プラズマは、H2と炭化水素(例えばCH4)との混合物中で生成されたプロセスガス中で生成される。
いくつかの実施形態では、基板の水平部分からのSnO層の除去は、2つの異なる化学反応を用いた2つのステップを使用することを含む。主エッチングと呼ばれる第1のステップでは、マンドレルおよびESL材料からなる下層を完全に露出させることなく、SnO層のバルクが水平面から除去される。したがって、主エッチングのエッチング化学反応は、選択的である必要はない。いくつかの実施形態では、主エッチングは、Cl2および炭化水素(例えばCl2およびCH4)を含むプロセスガス中で生成されたプラズマを用いて基板を処理することによって行われる。主エッチングによるエッチングがSnO被膜を貫通した後またはその直前に、エッチング化学反応がオーバーエッチング化学反応に切り替えられる。主エッチングに関する終点は、マンドレル材料またはESL材料が露出される時を合図する光プローブを使用することによって検出することができる。オーバーエッチング化学反応は、マンドレルおよびESLの材料を実質的にエッチングすることなく、残っているSnO被膜を除去するために使用される。オーバーエッチング化学反応に関するマンドレル材料のエッチングレートに対するSnOのエッチングレートの比は、好ましくは1よりも大きい。オーバーエッチング化学反応に関するESL材料のエッチングレートに対するSnOのエッチングレートの比も、好ましくは1よりも大きい。いくつかの実施形態では(例えばシリコンマンドレルおよび酸化ケイ素ESLが使用されるとき)、オーバーエッチングは、残っているSnO被膜、露出されたマンドレル、および露出されたESLを有する基板を、HBr、N2、およびO2を含むプロセスガス中で生成されたプラズマに曝すことを含む。
このステップでのSnOエッチングは、水平面からSnOを除去するが、マンドレルの側壁でのSnO層の垂直部分は基板に残っている。次に、図4に示されるように、マンドレル101が基板から除去されて、露出されたSnOスペーサ101および露出されたESL103を残す。マンドレルの除去は、マンドレル材料を選択的にエッチングするエッチング化学反応に基板を曝すことによって行われる。したがって、このステップでのSnOのエッチングレートに対するマンドレル材料のエッチングレートの比は1よりも大きく、より好ましくは1.5よりも大きい。さらに、このステップで使用されるエッチング化学反応は、ESL材料に対して選択的にマンドレル材料をエッチングすべきである。様々なエッチング法を使用することができ、化学反応の具体的な選択は、マンドレルの材料およびESL層の材料によって決まる。マンドレルが非晶質シリコンから形成され、ESL材料が酸化ケイ素であるとき、酸化性の酸素含有プラズマを使用することによってマンドレルを除去することができる。例えば、HBrおよびO2から構成されたプロセスガス中で生成されたプラズマに基板を曝すことによって、シリコンマンドレルを選択的にエッチングすることができる。この化学反応は、SnOおよび酸化ケイ素の存在下でシリコン材料を選択的にエッチングする。いくつかの実施形態では、エッチングが始まる前に、酸化ケイ素の薄い保護層がシリコンマンドレルの表面から除去される。これは、フルオロカーボンを含むプロセスガス中で生成されたプラズマに基板を短時間曝すことによって行うことができる。マンドレルからの保護酸化ケイ素層の除去後、シリコンが選択的にエッチングされる。いくつかの実施形態では、このステップでは、基板に対して比較的小さいRFバイアスを使用するか、または外部バイアスを全く使用しないことが好ましい。外部バイアスが使用されない場合、基板の自己バイアス(10~20V)で十分である。バイアスなしまたは低バイアスの条件下では、HBr/O2プラズマは、SnOおよび酸化ケイ素の存在下でシリコンを選択的にエッチングする。得られる構造が図4に示されており、マンドレルの除去後のSnOスペーサを示す。
次に、露出されたESL被膜103をエッチングして、SnOスペーサ109によって保護されていない全ての位置で、下にあるターゲット層105を露出させる。得られる構造が図5に示されている。このステップで使用されるエッチング化学反応は、SnOの存在下でESL材料を選択的にエッチングする。すなわち、SnOのエッチングレートに対するESL材料のエッチングレートの比は、1よりも大きく、より好ましくは1.5よりも大きい。このステップで使用される化学反応の具体的なタイプは、ESL材料のタイプによって決まる。酸化ケイ素および酸化ケイ素ベース材料が使用される場合、選択的エッチングは、フルオロカーボンを含むプロセスガス中で生成されるプラズマに基板を曝すことによって達成することができる。例えば、ESL被膜は、CF4、C26、およびC38のうちの1つまたは複数を含むプロセスガス中で生成されるプラズマによってエッチングすることができる。
次のステップで、ESL被膜103によって保護されていない全ての位置でターゲット層105をエッチングして、下層107を露出させる。このエッチングステップでSnOスペーサ109も除去され、図6に示されるパターン形成された構造を提供する。いくつかの実施形態では、このステップで使用されるエッチング化学反応は、ターゲット材料とSnOスペーサ材料との両方を除去するように選択される。他の実施形態では、それぞれ、ターゲット層105をパターン形成するためおよびSnOスペーサ109を除去するために、異なる化学反応を用いた2つの異なるエッチングステップを使用することができる。ターゲット層の化学的特性に応じて、いくつかのエッチング化学反応を使用することができる。一実施形態では、ターゲット層105は、金属窒化物層(例えばTiN)層である。この実施形態では、金属層がエッチングされ、SnOスペーサは、Cl2および炭化水素(例えばCH4)を含むプロセスガス中で生成されるプラズマに基板を曝すことによって、単一のエッチング化学反応を使用して除去することができる。一般に、SnOスペーサは、上述したSnOエッチング法のいずれかを使用して除去することができる。
上述したプロセスシーケンス中の任意の時点で、パージおよび/または排気によって容易に除去することができる揮発性の水素化スズにスズ含有粒子を変換することによって、スズ含有粒子を除去してエッチングおよび/または堆積チャンバを洗浄することができる。いくつかの実施形態では、この変換は、H2、NH3、またはそれらの混合物などの水素含有ガス中で生成されるプラズマと基板を接触させることによって行われる。
SnOスペーサを用いた半導体基板パターン形成の具体的な例が、図8のプロセスフロー図に提供されている。図1~図6に示されるデバイス構造を参照する。このプロセスは、ステップ801で、酸化ケイ素層を含む露出層と、複数のシリコン突出フィーチャとを有する基板を提供することから始まる。この例では、図1を参照すると、基板は、露出された酸化ケイ素層103と、非晶質シリコンからなる複数の突出フィーチャ(マンドレル)101とを含む。ハードマスク層105が酸化ケイ素層103の下にある。この例では、ハードマスク層は、窒化チタンから形成される。ハードマスク層105は、BEOL層107の上に重なる。
次に、操作803で、酸化ケイ素層とシリコン突出フィーチャとの両方の上にSnO層をコンフォーマルに堆積する。いくつかの実施形態では、前述したように、ALD(熱ALDまたはプラズマALD)によってコンフォーマルな堆積が行われる。図2は、シリコンマンドレルおよび酸化ケイ素層の表面を覆うコンフォーマルSnO層109を示す。操作805で、シリコン突出フィーチャの側壁にあるSnO層を除去することなく、水平面上にあるSnOを除去する。この例では、2ステップエッチングによって除去が行われる。第1のステップでは、図2に示される基板を、Cl2およびCH4を含むプロセスガス中で生成されるプラズマに曝すことによって、主エッチングが行われる。次に、SnO被膜の大部分が水平面から除去された後、HBr、O2、およびN2から構成されるプロセスガス中で生成されるプラズマを含むオーバーエッチング化学反応に基板を曝すことによって、残っているSnO被膜が水平面から除去される。このステップは、基板ペデスタルに比較的高いバイアスを印加することによって行われる。シリコンマンドレルは、このステップ中に酸化ケイ素の保護層で覆われており、この保護層は、この化学反応によって明瞭にはエッチングされない。得られる構造が図3に示されており、ここでは、酸化ケイ素層103およびシリコンマンドレル101が露出されている。
次に、操作807で、シリコン突出フィーチャを除去し、それにより、図4に示されるようにSnOスペーサを形成する。この例では、基板にバイアスをかけることなく、HBrおよびO2から構成されるプロセスガス中で生成されるプラズマに基板を曝すことによって、またはSnO層のHBr/O2/N2エッチングで使用されるバイアスよりも低いバイアスを使用することによって、シリコンマンドレルが選択的にエッチングされる。いくつかの実施形態では、シリコンマンドレルの除去前に、例えばフルオロカーボンを含むプロセスガス中で生成されるプラズマに基板を短時間曝すことによって、保護酸化ケイ素層をシリコンの表面からエッチングする。
次のステップ809で、露出された酸化ケイ素層を除去し、下にあるハードマスク層を露出させる。酸化ケイ素は、1つまたは複数のフルオロカーボンを含むプロセスガス中で生成されるプラズマに基板を曝すことによって選択的にエッチングされる。
このステップの後、フルオロカーボンエッチングが行われたエッチングプロセスチャンバを洗浄して、スズを含有する任意の粒子を除去することができる。例えば、フッ化スズが、意図せずチャンバの表面に堆積されることがある。基板がプロセスチャンバから除去された後、H2、NH3、またはこれらのガスの混合物などの水素含有ガスがプロセスチャンバに流入されて、スズ含有粒子を揮発性の水素化スズに変換する。一例では、洗浄は、このプロセスガス中でプラズマを生成することによって行われる。他の実施形態では、チャンバは、プラズマがない状態でH2に曝される。酸化ケイ素層の除去後に得られる基板が図5に示されており、図5は、露出されたハードマスク層105を示す。次に、操作811で、露出されたハードマスク層およびSnOスペーサを除去する。一例では、TiNハードマスクおよびSnO層は、図5に示される基板をCl2およびCH4から構成されるプロセスガス中で生成されるプラズマに曝すことによって形成される。
酸化スズは、望ましいエッチング選択性と相関する比較的高い弾性率を特色とするので、TiO2およびSiO2などの他のスペーサ材料と比較して好ましい。バルクの酸化スズ(II)の弾性率は360GPaであり、これは、二酸化チタンの弾性率(210GPa)および二酸化ケイ素の弾性率(70GPa)よりも大きい。したがって、SnOスペーサを使用することによって、ピッチウォーキングなど、低いエッチング選択性に関連する問題が対処される。さらに、水素化スズは融点が-52℃であり、水素化チタンの融点は350℃よりも高い。酸化チタンがスペーサ材料として使用されるとき、チタン含有粒子(例えば塩化チタンまたはフッ化チタン)を水素化チタンに変換することによってプロセスチャンバを洗浄することは不可能である。なぜなら、水素化チタンは揮発性でないからである。一方、酸化スズがスペーサ材料として使用されるとき、プロセスチャンバからパージすることができる揮発性の水素化スズにスズ含有粒子を変換することによって、プロセスチャンバを容易に洗浄することができる。
装置
本明細書に開示される実装形態の別の態様は、本明細書で述べる方法を達成するために構成された装置およびシステムである。適切な装置は、プロセス操作を達成するためのハードウェアと、開示される実装形態に従ってプロセス操作を制御するための命令を有するシステム制御部とを含む。いくつかの実施形態では、SnO層を堆積するための堆積装置が提供される。いくつかの実施形態では、この堆積装置はALD装置(例えばPEALD装置)である。他の実施形態では、CVD装置、または酸化スズターゲットを含むスパッタリング装置でもよい。この装置は、プロセスチャンバと、堆積中に基板を所定位置に保持するための支持体と、プロセスガスをプロセスチャンバに流入するための入口とを含み、プロセスチャンバ内でプラズマを生成するためのシステムも含んでいてよい。さらに、この装置は、本明細書で提供される方法に従ってSnO層を堆積するためのプログラム命令を有する制御部を含む。
本明細書で提供されるドライエッチング操作は、気体状の試薬を送給するために構成された送給ラインおよび制御機構を備えた様々な装置で行うことができる。適切なプロセスチャンバの例としては、プラズマエッチングチャンバ、RIEチャンバ、等方性エッチングチャンバ、およびレジストストリップチャンバが挙げられる。いくつかの実施形態では、ドライエッチング装置は、基板を保持するための支持体を収容するプロセスチャンバと、プロセスチャンバに1つまたは複数のプロセスガスを送給するための送給ラインとを含む。いくつかの実施形態では、装置は、プロセスガス中でプラズマを生成するためのシステムをさらに含む。プロセスチャンバは、エッチングを行うためのプログラム命令を備える制御部をさらに含んでいてもよい。これらの命令は、プロセスガスの送給に関する命令、プロセスチャンバ内の温度および圧力の設定、ならびにプラズマパラメータに関する命令を含んでいてよい。
本明細書で提供されるウェットエッチング操作は、ウェットエッチャントを基板上に送給するために構成された様々な装置で行うことができる。これらの装置は、液体エッチャント中に基板を浸漬するため、基板上にエッチャントを噴霧するもしくは流すため、または他の接触法のために構成されてよい。いくつかの実施形態では、装置は、エッチャント送給中に基板を所定位置に保持するための支持体であって、基板を回転させるために構成された支持体と、基板上に液体エッチャントを噴霧するまたは流すために構成された1つまたは複数の送給ポート(例えばノズル)とを含む。装置は、ウェットエッチングプロセスに関するプログラム命令を含む制御部をさらに含んでいてもよい。
別の態様では、SnO層を堆積するために構成された堆積チャンバと、1つまたは複数の材料を基板上にエッチングするために構成された1つまたは複数のエッチングチャンバ(RIEチャンバやウェットエッチングチャンバなど)とを含むシステムが提供される。このシステムは、本明細書で開示される方法に従ってSnO層を堆積するためおよびSnOスペーサを形成するためのプログラム命令を有する制御部をさらに含む。
次に、本明細書で提供される方法に従ってSnO層を堆積するのに適した装置の一例として、PEALD装置を説明する。
いくつかの実施形態では、SnO層のコンフォーマルな堆積は、Lam Research Corp.(米国カリフォルニア州フレモント)から入手可能なVector Excel堆積モジュールの一部であるPEALDリアクタ内で行われる。適切なプロセスチャンバは、堆積中にウェハ基板を保持するための支持体(ウェハペデスタル)と、プロセスチャンバ内でプラズマを生成するための発生器と、プロセスガスの成分(スズ含有前駆体、酸素含有反応物、キャリアガスなど)をプロセスチャンバに送給するための管路とを含む。さらに、装置は、プロセスチャンバをパージおよび/または排気するため、ならびに堆積中にプロセスチャンバ内の所望の圧力および温度を維持するために構成される。
PEALDプロセスチャンバの例は、米国特許第6,416,822号、米国特許第6,428,859号、および米国特許第8,747,964号に記載されており、それらの特許文献の全体を参照により本明細書に援用する。
図9は、提供されたSnO被膜を堆積するために使用され得るPEALDプロセスステーション900の実施形態を概略的に示す。単純にするために、プロセスステーション900は、低圧環境を維持するためのプロセスチャンバ本体902を有する独立型のプロセスステーションとして示されている。しかし、複数のプロセスステーション900が共通のプロセスツール環境に含まれてもよいことを理解されたい。さらに、いくつかの実施形態では、以下に詳細に論じるパラメータを含めたプロセスステーション900の1つまたは複数のハードウェアパラメータが、1つまたは複数のコンピュータ制御部によってプログラムで調節されてもよいことを理解されたい。
プロセスステーション900は、プロセスガスを分散シャワーヘッド906に送給するための反応物送給システム901と流体連絡する。反応物送給システム901は、シャワーヘッド906に送給するためのプロセスガスを混合および/または調整するための混合容器904を含む。1つまたは複数の混合容器入口弁920が、混合容器904へのプロセスガスの導入を制御してよい。同様に、シャワーヘッド入口弁905は、シャワーヘッド906へのプロセスガスの導入を制御してよい。
いくつかの反応物は、プロセスステーションへの送給時および送給後の気化の前に液体状態で貯蔵されてもよい。例えば、図9の実施形態は、混合容器904に供給すべき液体反応物を気化するための気化点903を含む。いくつかの実施形態では、気化点903は加熱式気化器でよい。そのような気化器から生成される反応物蒸気は、下流の送給配管内で凝縮することがある。凝縮された反応物に不適合なガスが曝されることで、小さな粒子を生成することがある。これらの小さな粒子は、例えば配管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりすることがある。これらの問題に対処するいくつかの手法は、送給配管を掃引および/または排気して、残留反応物を除去することを含む。しかし、送給配管を掃引することは、プロセスステーションのサイクルタイムを増加し、プロセスステーションのスループットを低下させることがある。したがって、いくつかの実施形態では、気化点903の下流の送給配管がヒートトレースされてよい。いくつかの例では、混合容器904もヒートトレースされてよい。1つの非限定的な例では、気化点903の下流の配管は、約100℃から、混合容器904での約150℃に及ぶ増加する温度プロファイルを有する。
いくつかの実施形態では、反応物液体が液体注入器で気化されてよい。例えば、液体注入器は、液体反応物のパルスを、混合容器の上流のキャリアガス流に注入してよい。1つのシナリオでは、液体注入器は、液体をより高い圧力からより低い圧力に流すことによって反応物を気化してよい。別のシナリオでは、液体注入器は、液体を霧化して、分散された微小液滴にしてよく、これらの微小液滴は、その後、加熱された送給パイプ内で気化される。より小さい液滴は、より大きい液滴よりも速く気化することがあり、液体の注入と完全な気化との間の遅延を短縮させることを理解されたい。より速い気化は、気化点903から下流の配管の長さを短縮することがある。1つのシナリオでは、液体注入器は、混合容器904に直接取り付けられてもよい。別のシナリオでは、液体注入器は、シャワーヘッド906に直接取り付けられてもよい。
いくつかの実施形態では、気化およびプロセスステーション900に送給するための液体の質量流量を制御するために、気化点903の上流に液体フローコントローラが提供されてもよい。例えば、液体フローコントローラ(LFC)は、LFCの下流に位置する熱式質量流量計(MFM)を含んでいてよい。次いで、LFCのプランジャ弁は、MFMと電気的に通信する比例-積分-微分(PID)制御部によって提供されるフィードバック制御信号に応答して調節されてよい。しかし、フィードバック制御を使用して液体の流れを安定させるのには1秒以上かかることがある。これは、液体反応物をドージングするための時間を延ばすことがある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてもよい。いくつかの実施形態では、LFCは、LFCおよびPID制御部の検知管をディスエーブルにすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
シャワーヘッド906は、プロセスガスを基板912に向けて分散させる。図9に示される実施形態では、基板912はシャワーヘッド906の下に位置され、ペデスタル908上に載置した状態で示されている。シャワーヘッド906は、任意の適切な形状を有していてよく、プロセスガスを基板912に分散させるための任意の適切な数および配置のポートを有していてよいことを理解されたい。
いくつかの実施形態では、微小体積907がシャワーヘッド906の下に位置する。プロセスステーションの全体積内ではなく微小体積内でALDプロセスを行うことは、例えば、反応物の曝露および掃引時間を短縮することがあり、プロセス条件(例えば圧力や温度など)を変えるための時間を短縮することがあり、プロセスガスにプロセスステーションロボットが曝されるのを制限することがある。例示的な微小体積のサイズは、限定はしないが、0.1リットル~2リットルの体積を含む。この微小体積は、生産性スループットにも影響を及ぼす。1サイクル当たりの堆積速度は低下するが、サイクル時間も同時に短くなる。この効果は、場合によっては、被膜の所与の目標厚さについてモジュールの全体的なスループットを改良するのに十分に顕著である。
いくつかの実施形態では、ペデスタル908は、基板912を微小体積907に曝すため、および/または微小体積907の体積を変化させるために上昇または下降されてよい。例えば、基板移送段階で、基板912をペデスタル908上に装荷できるようにペデスタル908が下降されてよい。堆積プロセス段階中、ペデスタル908は、基板912を微小容積907内に位置決めするために上昇されてよい。いくつかの実施形態では、微小体積907は、堆積プロセス中に高流量インピーダンスの領域を作成するために、基板912およびペデスタル908の一部を完全に囲んでよい。
任意選択で、ペデスタル908は、堆積プロセスの途中で、微小体積907内部のプロセス圧力や反応物濃度などを変更するために下降および/または上昇されてもよい。堆積プロセス中にプロセスチャンバ本体902がベース圧に留まる1つのシナリオでは、ペデスタル908の下降により、微小体積907が排気されてよい。プロセスチャンバ体積に対する微小体積の例示的な比は、限定はしないが、1:900~1:10の体積比を含む。いくつかの実施形態では、ペデスタル高さは、適切なコンピュータ制御部によってプログラムで調節されてもよいことを理解されたい。
別のシナリオでは、堆積プロセスに含まれるプラズマ活性および/または処理サイクル中に、ペデスタル908の高さの調節により、プラズマ密度が変えられてもよい。堆積プロセス段階の終了時、ペデスタル908からの基板912の取外しを可能にするために、別の基板移送段階中にペデスタル908が下降されてよい。
本明細書で述べる例示的な微小体積変化は、高さ調節可能なペデスタルに関連しているが、いくつかの実施形態では、微小体積907の体積を変えるために、シャワーヘッド906の位置がペデスタル908に対して調節されてよい。さらに、ペデスタル908および/またはシャワーヘッド906の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変えてもよいことを理解されたい。いくつかの実施形態では、ペデスタル908は、基板912の向きを回転させるための回転軸を含んでいてよい。いくつかの実施形態では、これらの例示的な調節の1つまたは複数は、1つまたは複数の適切なコンピュータ制御部によってプログラムで行われてもよいことを理解されたい。
図9に示される実施形態に戻ると、シャワーヘッド906およびペデスタル908は、プラズマに電力を供給するためのRF電源914および整合ネットワーク916と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングのうちの1つまたは複数を制御することによって制御されてよい。例えば、RF電源914および整合ネットワーク916は、所望の組成のラジカル種を含むプラズマを生成するために任意の適切な電力で動作されてよい。適切な電力の例は上述した。同様に、RF電源914は、任意の適切な周波数のRF電力を提供してもよい。いくつかの実施形態では、RF電源914は、高周波RF電源および低周波RF電源を互いに独立して制御するように構成されてよい。例示的な低周波RF周波数は、限定はしないが、50kHz~900kHzの周波数を含んでいてよい。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHzの周波数を含んでいてよい。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータが離散的または連続的に変調されてよいことを理解されたい。1つの非限定的な例では、プラズマ電力は間断的にパルスされてもよく、連続的に電力を供給されるプラズマに比べて、基板表面でのイオン衝撃を低減する。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってインサイチュで監視されてよい。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧電流センサ(例えばVIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光分析センサ(OES)によって測定されてよい。いくつかの実施形態では、そのようなインサイチュプラズマモニタからの測定値に基づいて、1つまたは複数のプラズマパラメータがプログラムで調節されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためにフィードバックループで使用されてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために他のモニタが使用されてもよいことを理解されたい。そのようなモニタは、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力トランスデューサを含んでいてよい。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令によって制御されてよい。一例では、プラズマプロセス段階に関するプラズマ条件を設定するための命令は、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてよい。場合によっては、プロセスレシピ段階は順次に配置されてよく、それにより、堆積プロセス段階に関する全ての命令がそのプロセス段階と同時に実行される。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令は、プラズマプロセス段階に先行するレシピ段階に含まれてもよい。例えば、第1のレシピ段階は、プロセスガスおよび/またはその個々の成分の流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、および第1のレシピ段階に関する時間遅延命令を含んでいてよい。第2の後続のレシピ段階は、プラズマ発生器を使用可能にするための命令、および第2のレシピ段階に関する時間遅延命令とを含んでいてよい。第3のレシピ段階は、プラズマ発生器を使用不可にするための命令、および第3のレシピ段階に関する時間遅延命令を含んでいてよい。これらのレシピ段階は、本開示の範囲内の任意の適切な方法でさらに細分化および/または反復されてもよいことを理解されたい。
いくつかの実施形態では、ペデスタル908は、ヒータ910によって温度制御されてよい。さらに、いくつかの実施形態では、堆積プロセスステーション900のための圧力制御は、バタフライ弁918によって提供されてもよい。図9の実施形態に示されるように、バタフライ弁918は、下流の真空ポンプ(図示せず)によって提供される真空をスロットル調整する。しかし、いくつかの実施形態では、プロセスステーション900の圧力制御は、プロセスステーション900に導入される1つまたは複数のガスの流量を変えることによって調節されてもよい。
いくつかの実施形態では、本明細書で提供される基板は、マルチステーションツールで処理される。図10は、インバウンドロードロック1002およびアウトバウンドロードロック1004を有するマルチステーション処理ツール1000の一実施形態の概略図を示しており、ロードロック1002および1004のいずれかまたは両方が遠隔プラズマ源を備えていてよい。ロボット1006は、大気圧で、ポッド1008を通して装填されたカセットから大気ポート1010を介してインバウンドロードロック1002内にウェハを移動させるように構成される。ウェハは、ロボット1006によって、インバウンドロードロック1002内のペデスタル1012上に配置され、大気ポート1010が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック1002が遠隔プラズマ源を備える場合、ウェハは、処理チャンバ1014に導入される前にロードロック内での遠隔プラズマ処理に曝されてよい。さらに、ウェハは、例えば水分および吸着されたガスを除去するために、インバウンドロードロック1002内で加熱されてもよい。次に、処理チャンバ1014へのチャンバ輸送ポート1016が開かれ、別のロボット(図示せず)が、処理のために、リアクタ内に示される第1のステーションのペデスタル上でウェハをリアクタ内に配置する。
図示される処理チャンバ1014は、図10に示される実施形態では、1~4の番号が付された4つのプロセスステーションを備える。各ステーションは、加熱式ペデスタル(ステーション1に関して参照番号1018で示されている)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なるまたは複数の目的を有していてよいことを理解されたい。図示される処理チャンバ1014は4つのステーションを備えるが、本開示による処理チャンバは任意の適切な数のステーションを有していてよいことを理解されたい。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有していてよく、他の実施形態では、処理チャンバは3つ以下のステーションを有していてよい。
また、図10は、処理チャンバ1014内でウェハを移送するためのウェハハンドリングシステム1090の一実施形態も示す。いくつかの実施形態では、ウェハハンドリングシステム1090は、様々なプロセスステーション間、および/またはプロセスステーションとロードロックとの間でウェハを移送してよい。任意の適切なウェハハンドリングシステムが採用されてよいことを理解されたい。非限定的な例として、ウェハカルーセルおよびウェハハンドリングロボットが挙げられる。また、図10は、プロセスツール1000のプロセス条件およびハードウェア状態を制御するために採用されるシステム制御装置1050の一実施形態も示す。システム制御装置1050は、1つまたは複数のメモリデバイス1056、1つまたは複数の大容量記憶デバイス1054、および1つまたは複数のプロセッサ1052を含んでいてよい。プロセッサ1052は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボードなどを含んでいてよい。
いくつかの実施形態では、システム制御装置1050は、プロセスツール1000の全ての活動を制御する。システム制御装置1050は、大容量記憶デバイス1054に格納され、メモリデバイス1056にロードされ、プロセッサ1052上で実行されるシステム制御ソフトウェア1058を実行する。システム制御ソフトウェア1058は、タイミング、ガスの混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、パージ条件およびパージタイミング、ウェハ温度、RF電力レベル、RF周波数、基板、ペデスタル、チャックおよび/またはサセプタ位置、ならびにプロセスツール1000によって行われる特定のプロセスの他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェア1058は、任意の適切な様式で構成されてよい。例えば、様々なプロセスツール構成要素のサブルーチンまたは制御オブジェクトが、開示される実施形態に従って様々なプロセスツールプロセスを行うのに必要なプロセスツール構成要素の動作を制御するように書かれてよい。システム制御ソフトウェア1058は、任意の適切なコンピュータ可読プログラミング言語で符号化されてよい。
いくつかの実施形態では、システム制御ソフトウェア1058は、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含んでいてよい。例えば、PEALDプロセスの各段階は、システム制御装置1050による実行のための1つまたは複数の命令を含んでいてよい。
いくつかの実施形態では、システム制御装置1050に関連する大容量記憶デバイス1054および/またはメモリデバイス1056に記憶された他のコンピュータソフトウェアおよび/またはプログラムが採用されてもよい。この目的のためのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板をペデスタル1018上に装填し、基板とプロセスツール1000の他の部分との間隔を制御するために使用されるプロセスツールコンポーネント用のプログラムコードを含んでいてよい。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、ならびに、任意選択で、プロセスステーション内の圧力を安定させるために堆積前に1つまたは複数のプロセスステーション内にガスを流すためのコードを含んでいてよい。プロセスガス制御プログラムは、開示される任意の範囲内のガス組成および流量を制御するためのコードを含んでいてよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁や、プロセスステーション内へのガス流などを調整することによってプロセスステーション内の圧力を制御するためのコードを含んでいてよい。圧力制御プログラムは、開示される任意の圧力範囲内でプロセスステーション内の圧力を維持するためのコードを含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。代替として、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の送給を制御してもよい。ヒータ制御プログラムは、開示される任意の範囲内で基板の温度を維持するための命令を含んでいてもよい。
プラズマ制御プログラムは、例えば、本明細書で開示される任意のRF電力レベルを使用して、1つまたは複数のプロセスステーション内のプロセス電極に印加されるRF電力レベルおよび周波数を設定するためのコードを含んでいてよい。プラズマ制御プログラムは、各プラズマ曝露の持続時間を制御するためのコードを含んでいてもよい。
いくつかの実施形態では、システム制御装置1050に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでいてよい。
いくつかの実施形態では、システム制御装置1050によって調節されるパラメータは、プロセス条件に関係するものでよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および曝露時間など)などが挙げられる。これらのパラメータは、ユーザインターフェースを利用して入力され得るレシピの形でユーザに提供されてもよい。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置1050のアナログおよび/またはデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、プロセスツール1000のアナログおよびデジタル出力接続で出力されてよい。監視されることがあるプロセスツールセンサの非限定的な例として、マスフロー制御装置、圧力センサ(マノメータなど)、熱電対などが挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムが、プロセス条件を維持するためにこれらのセンサからのデータと共に使用されてもよい。
開示される実施形態を実施するために、任意の適切なチャンバが使用されてよい。例示的な堆積装置としては、限定はしないが、それぞれLam Research Corp.(米国カリフォルニア州フレモント)から入手可能であるALTUS(登録商標)製品ファミリ、VECTOR(登録商標)製品ファミリ、および/またはSPEED(登録商標)製品ファミリからの装置、または様々な他の市販されている処理システムの任意のものが挙げられる。2つ以上のステーションが同じ機能を行ってもよい。同様に、2つ以上のステーションが異なる機能を行ってもよい。各ステーションは、望みに応じて特定の機能/方法を行うように設計/構成することができる。
図11は、特定の実施形態による薄膜堆積プロセスを行うのに適した処理システムのブロック図である。システム1100は、移送モジュール1103を含む。移送モジュール1103は、処理される基板を、様々なリアクタモジュール間を移動させるときに汚染されるリスクを最小限に抑えるために、清浄な加圧環境を提供する。移送モジュール1103には、2つのマルチステーションリアクタ1109および1110が取り付けられ、それぞれ、特定の実施形態による原子層堆積(ALD)および/または化学気相成長(CVD)を行うことが可能である。他の実施形態では、1つのリアクタが、ALDを行うために構成されたステーションを含んでいてよく、別のリアクタが、エッチングを行うために構成されたステーションを含んでいてよい。リアクタ1109および1110は、開示される実施形態に従って操作を順次にまたは非順次に行うことがある複数のステーション1111、1113、1115、および1117を含んでいてよい。ステーションは、加熱式ペデスタルまたは基板支持体と、1つまたは複数のガス入口、シャワーヘッド、または分散プレートとを含んでいてよい。
また、移送モジュール1103には1つまたは複数のシングルまたはマルチステーションモジュール1107が取り付けられてもよく、モジュール1107は、プラズマもしくは化学(非プラズマ)事前洗浄、または開示される方法に関連して述べた任意の他のプロセスを行うことが可能である。モジュール1107は、場合によっては、例えば堆積プロセスのために基板を準備するための様々な処理に使用されてよい。また、モジュール1107は、エッチングまたは研磨など様々な他のプロセスを行うように設計/構成されてもよい。システム1100は、処理の前後にウェハが格納される1つまたは複数のウェハソースモジュール1101も含む。雰囲気移送チャンバ1119内の雰囲気ロボット(図示せず)は、まず、ウェハをソースモジュール1101からロードロック1121に取り外してよい。移送モジュール1103内のウェハ移送デバイス(一般にロボットアームユニット)は、ロードロック1121から移送モジュール1103に取り付けられたモジュールに、およびモジュール間でウェハを移動させる。
様々な実施形態において、システム制御装置1129は、堆積中のプロセス条件を制御するために採用される。制御装置1129は、典型的には、1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボードなどを含んでいてよい。
制御装置1129は、堆積装置の全ての活動を制御してよい。システム制御装置1129は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウェハ温度、無線周波(RF)電力レベル、ウェハチャックまたはペデスタル位置、および特定のプロセスの他のパラメータを制御するための命令セットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、制御装置1129に関連付けられたメモリデバイスに記憶された他のコンピュータプログラムが採用されてもよい。
典型的には、制御装置1129に関連付けられたユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、およびポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでいてよい。
システム制御論理は、任意の適切な様式で構成されてよい。一般に、論理は、ハードウェアおよび/またはソフトウェアとして設計または構成することができる。駆動回路構成を制御するための命令は、ハードコード化されてよく、またはソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングは、デジタル信号プロセッサ内のハードコード化された論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含めた、任意の形態の論理を含むものと理解される。また、プログラミングは、汎用プロセッサ上で実行されることがあるソフトウェアまたはファームウェア命令を含むものと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語で符号化されてよい。
ゲルマニウム含有還元剤パルス、水素流、およびタングステン含有前駆体パルス、ならびにプロセスシーケンスにおける他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、すなわち、例えばアセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムにおいて識別されたタスクを行うためにプロセッサによって実行される。また、上述したように、プログラムコードはハードコード化されてもよい。
制御装置パラメータは、例えばプロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、およびチャンバ壁温度などのプロセス条件に関係する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインターフェースを利用して入力されてよい。プロセスを監視するための信号は、システム制御装置1129のアナログおよび/またはデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、堆積装置1100のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる様式で設計または構成されてよい。例えば、様々なチャンバ構成要素のサブルーチンまたは制御オブジェクトが、開示される実施形態に従って堆積プロセス(および場合によっては他のプロセス)を行うのに必要なチャンバ構成要素の動作を制御するように書かれてよい。この目的のためのプログラムまたはプログラムの一部の例としては、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
いくつかの実装形態では、制御装置1129は、上述した例の一部でよいシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または特定の処理構成要素(ウェハペデスタルやガスフローシステムなど)を含めた半導体処理機器を含むことができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後のシステムの動作を制御するための電子機器と一体化されてよい。電子機器は「制御装置」と称されてよく、これは、システムの様々な構成要素またはサブパートを制御し得る。制御装置1129は、処理要件および/またはシステムのタイプに応じて、本明細書で開示される任意のプロセスを制御するようにプログラムされてよく、そのようなプロセスは、処理ガスの送給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、出力設定、いくつかのシステムでは無線周波(RF)発生器の設定、RFマッチング回路の設定、周波数設定、流量設定、流体送給設定、位置および動作の設定、ツール内外へのウェハ移送、および特定のシステムに接続またはインターフェースされた他の移送ツールおよび/またはロードロック内外へのウェハ移送を含む。
広範に言うと、制御装置は、例えば、命令を受信する、命令を送信する、動作を制御する、洗浄操作を可能にする、およびエンドポイント測定を可能にする様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態でのチップ、デジタル信号処理装置(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えばソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)の形態で制御装置に通信される命令でよく、半導体ウェハ上で、もしくは半導体ウェハ用に、またはシステムに対して特定のプロセスを実施するための動作パラメータを定義する。いくつかの実装形態では、動作パラメータは、ウェハの1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、表面、回路、および/またはダイの製造中に1つまたは複数の処理ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部でよい。
いくつかの実装形態では、制御装置は、コンピュータの一部でよく、またはコンピュータに結合されてよく、そのコンピュータは、システムと一体化される、システムに結合される、他の形でシステムにネットワーク化される、またはそれらの組合せで構成される。例えば、制御装置は、「クラウド」または工場ホストコンピュータシステムの全体もしくは一部でよく、ウェハ処理の遠隔アクセスを可能にすることができる。コンピュータは、システムへの遠隔アクセスを可能にしてよく、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を検査し、複数の製造操作から傾向または性能規準を検査して、現在の処理のパラメータを変更する、現在の処理に続くように処理ステップを設定する、または新たなプロセスを開始する。いくつかの例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワークまたはインターネットを含んでいてよいネットワークを介してシステムにプロセスレシピを提供することができる。遠隔コンピュータはユーザインターフェースを含んでいてよく、ユーザインターフェースは、パラメータおよび/または設定の入力またはプログラミングを可能にし、これらのパラメータおよび/または設定は、次いで遠隔コンピュータからシステムに通信される。いくつかの例では、制御装置は、1つまたは複数の操作中に行うべき各処理ステップに関するパラメータを指定する命令を、データの形態で受信する。パラメータが、実施すべきプロセスのタイプ、および制御装置がインターフェースまたは制御するために構成されたツールのタイプに特有のものでよいことを理解すべきである。したがって、上述したように、制御装置は、例えば1つまたは複数のディスクリート制御装置を含むことによって分散されてよく、それらの制御装置は、互いにネットワーク化され、本明細書で述べるプロセスや制御など共通の目的に向けて協働する。そのような目的のための分散型制御装置の一例は、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に位置された1つまたは複数の集積回路と通信するチャンバにある1つまたは複数の集積回路であり、これらが組み合わさってチャンバでのプロセスを制御する。
限定はしないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに、半導体ウェハの作製および/または製造に関連付けられてよいまたは使用されてよい任意の他の半導体処理システムを含んでいてよい。
上記のように、ツールによって行うべきプロセスステップに応じて、制御装置は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近隣のツール、工場全体にわたって位置されたツール、メインコンピュータ、別の制御装置、または、ウェハのコンテナを半導体製造工場内のツール位置および/または装填ポートに/から導く材料輸送で使用されるツールの1つまたは複数と通信してよい。
さらなる実装形態
本明細書で述べた装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光発電パネルなどの作製または製造のためのリソグラフィパターン形成ツールまたはプロセスと共に使用されてもよい。必須ではないが、典型的には、そのような装置およびプロセスは、共通の製造施設で一緒に使用または実施される。被膜のリソグラフィパターン形成は、典型的には、以下のステップのいくつかまたは全てを含み、各ステップが、いくつかの可能なツールによって実現可能にされる:(1)スピンオンまたはスプレーオンツールを使用してワークピース(すなわち基板)にフォトレジストを塗布するステップ;(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ;(4)ウェットベンチなどのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成するステップ;(5)ドライエッチングまたはプラズマエッチングツールを使用することによって、下にある被膜またはワークピースにレジストパターンを転写するステップ;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
半導体基板を処理する方法であって、
(a)第1の材料を含む露出層と、前記第1の材料とは異なる第2の材料を含む少なくとも1つの突出フィーチャとを有する半導体基板を提供するステップと、
(b)前記少なくとも1つの突出フィーチャの側壁を含め、前記第1の材料と前記第2の材料との両方の上にSnO層を堆積するステップとを含み、
前記第1の材料および前記第2の材料が、第1のエッチング化学反応に関して、SnOのエッチングレートに対する前記第1の材料のエッチングレートの比が1よりも大きく、第2のエッチング化学反応に関して、SnOのエッチングレートに対する前記第2の材料のエッチングレートの比が1よりも大きくなるように選択される
方法。
適用例2:
適用例1の方法であって、前記SnO層がコンフォーマルに堆積される方法。
適用例3:
適用例1の方法であって、前記SnO層が原子層堆積(ALD)によって堆積される方法。
適用例4:
適用例1の方法であって、前記SnO層が約5~30nmの厚さに堆積される方法。
適用例5:
適用例1の方法であって、前記SnO層が約10~20nmの厚さに堆積される方法。
適用例6:
適用例1の方法であって、前記第1の材料が、酸化ケイ素および窒化ケイ素からなる群から選択される材料を含む方法。
適用例7:
適用例1の方法であって、前記第2の材料が、非晶質シリコンおよび炭素からなる群から選択される材料を含む方法。
適用例8:
適用例1の方法であって、前記第1の材料が酸化ケイ素を含み、前記第1のエッチング化学反応がプラズマ・フルオロカーボン・エッチングである方法。
適用例9:
適用例1の方法であって、前記第2の材料が非晶質シリコンを含み、前記第2のエッチング化学反応が酸化性の酸素含有化学反応を含む方法。
適用例10:
適用例9の方法であって、前記第2の化学反応がプラズマエッチングであり、前記プラズマが、HBrおよびO 2 を含むプロセスガス中で生成される方法。
適用例11:
適用例1の方法であって、
(c)前記SnO層を堆積した後、前記少なくとも1つの突出部の前記側壁を覆う前記SnO層を完全には除去することなく、前記半導体基板の水平面から前記SnO層を完全に除去するステップ
をさらに含む方法。
適用例12:
適用例11の方法であって、
(d)前記半導体基板の水平面から前記SnO層を除去した後、前記第2のエッチング化学反応を使用して、前記少なくとも1つの突出部の前記側壁を覆っていた前記SnO層を完全には除去することなく前記少なくとも1つの突出部を完全に除去し、それによりSnOスペーサを形成するステップ
をさらに含む方法。
適用例13:
適用例12の方法であって、
(e)前記SnOスペーサを形成した後、前記第1のエッチング化学反応を使用して、前記SnOスペーサを完全には除去することなく前記第1の材料の露出部分を除去し、それにより、前記第1の材料の層の下にあるハードマスク層の一部を露出するステップ
をさらに含む方法。
適用例14:
適用例13の方法であって、
ステップ(e)の後、前記SnO層の下にあった第1の材料の前記層を完全には除去することなく、前記SnO層と前記ハードマスク層の露出部分との両方を除去するステップ
をさらに含む方法。
適用例15:
適用例13の方法であって、ステップ(e)が、前記基板をプラズマ・フルオロカーボン・エッチングに曝すステップを含む方法。
適用例16:
適用例15の方法であって、ステップ(e)の後、水素含有ガスを含むプロセスガス中でプラズマを生成して、揮発性の水素化スズを生成することによって、エッチングチャンバを洗浄するステップをさらに含む方法。
適用例17:
適用例16の方法であって、前記水素含有ガスが、H 2 および/またはNH 3 である方法。
適用例18:
適用例1の方法であって、前記半導体基板が、前記第2の材料を含む複数の突出フィーチャを備え、最も近い突出フィーチャ間の距離が約10~100nmである方法。
適用例19:
適用例1の方法であって、前記半導体基板が、前記第2の材料を含む複数の突出フィーチャを備え、最も近い突出フィーチャ間の距離が約40~100nmである方法。
適用例20:
適用例1の方法であって、前記半導体基板が、前記第2の材料を含む複数の突出フィーチャを備え、最も近い突出フィーチャ間の距離が約10~30nmである方法。
適用例21:
適用例1の方法であって、
フォトレジストを前記半導体基板に塗布するステップと、
前記フォトレジストを露光するステップと、
前記フォトレジストをパターン形成し、前記パターンを前記半導体基板に転写するステップと、
前記半導体基板から前記フォトレジストを選択的に除去するステップと
をさらに含む方法。
適用例22:
適用例1の方法であって、前記第1の材料が、窒化ケイ素および酸化ケイ素からなる群から選択される材料を含み、前記第2の材料が、非晶質シリコンおよび炭素からなる群から選択される材料を含む方法。
適用例23:
半製造された半導体デバイスであって、第1の材料の露出層と、前記第1の材料の前記層上にある複数のSnOスペーサとを備える半導体デバイス。
適用例24:
半導体基板上にSnO層を堆積するための装置であって、
SnO堆積中に前記半導体基板を保持するために構成された基板ホルダを有するプロセスチャンバと、
反応物を導入するための入口と、
プログラム命令を含む制御部とを備え、前記プログラム命令が、
第1の材料の露出層と、第2の材料からなる複数の突出部とを有する半導体基板上にSnO層をコンフォーマルに堆積するためのものであり、前記第1の材料が、窒化ケイ素と酸化ケイ素からなる群から選択され、前記第2の材料が、非晶質シリコンおよび炭素からなる群から選択される
装置。
適用例25:
半導体基板を処理するためのシステムであって、
1つまたは複数の堆積プロセスチャンバと、
1つまたは複数のエッチングプロセスチャンバと、
プログラム命令を含むプロセス制御部とを備え、前記プログラム命令が、
(a)第1の材料を含む露出層と、前記第1の材料とは異なる第2の材料を含む少なくとも1つの突出フィーチャとを有する半導体基板を提供するため、および
(b)前記少なくとも1つの突出フィーチャの側壁を含め、前記第1の材料と前記第2の材料との両方の上にSnO層を堆積するためのものであり、
前記第1の材料および前記第2の材料が、第1のエッチング化学反応に関して、SnOのエッチングレートに対する前記第1の材料のエッチングレートの比が1よりも大きく、第2のエッチング化学反応に関して、SnOのエッチングレートに対する前記第2の材料のエッチングレートの比が1よりも大きくなるように選択される
システム。
適用例26:
適用例25のシステムであって、さらにステッパを備えるシステム。
適用例27:
コードを含む非一時的なコンピュータ可読媒体であって、前記コードが、
(a)第1の材料を含む露出層と、前記第1の材料とは異なる第2の材料を含む少なくとも1つの突出フィーチャとを有する半導体基板を提供するため、および
(b)前記少なくとも1つの突出フィーチャの側壁を含め、前記第1の材料と前記第2の材料との両方の上にSnO層を堆積するためのものであり、
前記第1の材料および前記第2の材料が、第1のエッチング化学反応に関して、SnOのエッチングレートに対する前記第1の材料のエッチングレートの比が1よりも大きく、第2のエッチング化学反応に関して、SnOのエッチングレートに対する前記第2の材料のエッチングレートの比が1よりも大きくなるように選択される
非一時的なコンピュータ可読媒体。

Claims (19)

  1. 方法であって、
    (a)プロセスチャンバにおいて、半導体基板上にスズ含有材料を堆積する工程、または、半導体基板からスズ含有材料をエッチングする工程と、
    (b)(a)の後に、前記プロセスチャンバを水素含有ガスと接触させることにより前記プロセスチャンバを洗浄して、揮発性のスズ含有生成物を形成する工程と、
    を含み、
    (b)は、フッ化スズを水素化スズに変換する工程を含む、方法。
  2. 請求項1に記載の方法であって、
    (b)は、プラズマ中で前記水素含有ガスを活性化させる工程を含む、方法。
  3. 請求項1に記載の方法であって、さらに、
    (a)の後に、前記半導体基板を前記プロセスチャンバから取り出す工程を含む、方法。
  4. 請求項1に記載の方法であって、
    (a)は、前記スズ含有材料を堆積する工程を含む、方法。
  5. 請求項1に記載の方法であって、
    (a)は、前記スズ含有材料をエッチングする工程を含む、方法。
  6. 請求項1に記載の方法であって、
    前記水素含有ガスは、H2を含む、方法。
  7. 請求項1に記載の方法であって、
    前記水素含有ガスは、NH3を含む、方法。
  8. 請求項1に記載の方法であって、
    前記水素含有ガスは、H2およびNH3を含む、方法。
  9. 請求項1に記載の方法であって、
    前記揮発性のスズ含有生成物は、水素化スズを含む、方法。
  10. 請求項1に記載の方法であって、
    (a)は、酸化スズをハロゲン系化学反応でエッチングする工程を含む、方法。
  11. 請求項1に記載の方法であって、
    (b)は、前記プロセスチャンバをパージおよび/または排気して前記揮発性のスズ含有生成物を除去する工程を含む、方法。
  12. 半導体基板を処理するための装置であって、
    処理中に前記半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、
    反応物を導入するための入口と、
    コントローラであって、
    (i)前記プロセスチャンバにおいて、前記半導体基板上へのスズ含有材料の堆積、または、前記半導体基板からのスズ含有材料のエッチングを行わせ、
    (ii)(i)の後に、前記プロセスチャンバを水素含有ガスと接触させることにより前記プロセスチャンバを洗浄して、揮発性のスズ含有生成物の形成を行わせる
    ためのプログラム命令を有する、コントローラと、
    を備え
    ii)は、フッ化スズを水素化スズに変換する処理を含む装置。
  13. 請求項12に記載の装置であって、
    (ii)は、さらに、プラズマ中での前記水素含有ガスの活性化を含む、装置。
  14. 請求項12に記載の装置であって、
    前記水素含有ガスは、H2、NH3、およびこれらの組み合わせを含む、装置。
  15. 請求項12に記載の装置であって、
    前記スズ含有材料は、酸化スズである、装置。
  16. 請求項12に記載の装置であって、
    (i)は、前記半導体基板上への前記スズ含有材料の前記堆積を含む、装置。
  17. 請求項12に記載の装置であって、
    (i)は、前記半導体基板からの前記スズ含有材料の前記エッチングを含む、装置。
  18. 請求項12に記載の装置であって、
    (ii)は、さらに、前記プロセスチャンバの排気および/またはパージによる前記揮発性のスズ含有生成物の除去を含む、装置。
  19. 請求項12に記載の装置であって、
    前記水素含有ガスは、H2を含む、装置。
JP2022093370A 2016-06-28 2022-06-09 半導体基板を処理するための装置および方法 Active JP7414891B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023222187A JP2024029147A (ja) 2016-06-28 2023-12-28 半導体デバイス製造における酸化スズ被膜スペーサ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/195,348 US9824893B1 (en) 2016-06-28 2016-06-28 Tin oxide thin film spacers in semiconductor device manufacturing
US15/195,348 2016-06-28
JP2017120945A JP7096537B2 (ja) 2016-06-28 2017-06-21 半導体デバイス製造における酸化スズ被膜スペーサ

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017120945A Division JP7096537B2 (ja) 2016-06-28 2017-06-21 半導体デバイス製造における酸化スズ被膜スペーサ

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023222187A Division JP2024029147A (ja) 2016-06-28 2023-12-28 半導体デバイス製造における酸化スズ被膜スペーサ

Publications (2)

Publication Number Publication Date
JP2022120077A JP2022120077A (ja) 2022-08-17
JP7414891B2 true JP7414891B2 (ja) 2024-01-16

Family

ID=60320531

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017120945A Active JP7096537B2 (ja) 2016-06-28 2017-06-21 半導体デバイス製造における酸化スズ被膜スペーサ
JP2022093370A Active JP7414891B2 (ja) 2016-06-28 2022-06-09 半導体基板を処理するための装置および方法
JP2023222187A Pending JP2024029147A (ja) 2016-06-28 2023-12-28 半導体デバイス製造における酸化スズ被膜スペーサ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017120945A Active JP7096537B2 (ja) 2016-06-28 2017-06-21 半導体デバイス製造における酸化スズ被膜スペーサ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023222187A Pending JP2024029147A (ja) 2016-06-28 2023-12-28 半導体デバイス製造における酸化スズ被膜スペーサ

Country Status (6)

Country Link
US (4) US9824893B1 (ja)
JP (3) JP7096537B2 (ja)
KR (4) KR102416350B1 (ja)
CN (3) CN111769038A (ja)
SG (2) SG10201704783YA (ja)
TW (2) TWI770033B (ja)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100069614A1 (en) 2008-06-27 2010-03-18 Merus B.V. Antibody producing non-human mammals
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019098208A1 (ja) 2017-11-17 2019-05-23 三井化学株式会社 半導体素子中間体、金属含有膜形成用組成物、半導体素子中間体の製造方法、半導体素子の製造方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11355353B2 (en) * 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102560240B1 (ko) * 2018-05-01 2023-07-28 어플라이드 머티어리얼스, 인코포레이티드 선택적 식각 프로세스들을 위해 선택성을 증가시키는 방법들
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020061484A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN112368808A (zh) * 2018-12-20 2021-02-12 玛特森技术公司 天然氧化物穿通后的硅芯轴刻蚀
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20240031441A (ko) * 2019-06-27 2024-03-07 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110504482A (zh) * 2019-08-08 2019-11-26 南开大学 固态锂电池石榴石型固态电解质材料的制备及表面处理方法与应用
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202129832A (zh) * 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230041972A (ko) * 2020-07-23 2023-03-27 램 리써치 코포레이션 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022163526A (ja) 2021-04-14 2022-10-26 東京エレクトロン株式会社 基板処理方法
US20240191350A1 (en) * 2021-04-21 2024-06-13 Lam Research Corporation Minimizing tin oxide chamber clean time
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113481485B (zh) * 2021-07-13 2023-09-05 南方科技大学 锡氧化物薄膜及其制备方法、太阳能电池及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20240006188A1 (en) 2022-06-29 2024-01-04 Tokyo Electron Limited Plasma processing method and plasma processing system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007096297A (ja) 2005-09-16 2007-04-12 Asml Netherlands Bv 放電発生器を備えたリソグラフィ装置及びリソグラフィ装置の素子を洗浄する方法

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) * 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
JP4127869B2 (ja) * 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
AU2001259119A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
CN100416840C (zh) * 2002-11-01 2008-09-03 株式会社半导体能源研究所 半导体装置及半导体装置的制作方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) * 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
KR100968389B1 (ko) * 2004-02-09 2010-07-07 아사히 가라스 가부시키가이샤 투명전극의 제조 방법
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) * 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) * 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) * 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
JP5642967B2 (ja) 2007-11-22 2014-12-17 関東化学株式会社 エッチング液組成物
WO2009073857A1 (en) 2007-12-06 2009-06-11 Intevac, Inc. System and method for commercial fabrication of patterned media
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) * 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
WO2010071034A1 (en) 2008-12-19 2010-06-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing transistor
CN102428544B (zh) 2009-05-20 2014-10-29 株式会社东芝 凹凸图案形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) * 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
WO2014010310A1 (ja) 2012-07-10 2014-01-16 シャープ株式会社 半導体素子の製造方法
WO2014039420A1 (en) * 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ tco chamber clean
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9607834B2 (en) 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
WO2016172116A1 (en) 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
CN107924816B (zh) 2015-06-26 2021-08-31 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US20210249273A1 (en) 2018-05-08 2021-08-12 Sony Semiconductor Solutions Corporation Etching method of oxide semiconductor film, oxide semiconductor workpiece, and electronic device
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
KR20240031441A (ko) 2019-06-27 2024-03-07 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007096297A (ja) 2005-09-16 2007-04-12 Asml Netherlands Bv 放電発生器を備えたリソグラフィ装置及びリソグラフィ装置の素子を洗浄する方法

Also Published As

Publication number Publication date
SG10201704783YA (en) 2018-01-30
JP2022120077A (ja) 2022-08-17
TWI770033B (zh) 2022-07-11
KR20230074079A (ko) 2023-05-26
KR102439391B1 (ko) 2022-09-01
JP7096537B2 (ja) 2022-07-06
US20180012759A1 (en) 2018-01-11
TWI824577B (zh) 2023-12-01
KR102533971B1 (ko) 2023-05-18
JP2018006742A (ja) 2018-01-11
CN107546106B (zh) 2020-12-25
KR20220099524A (ko) 2022-07-13
TW201812834A (zh) 2018-04-01
US9824893B1 (en) 2017-11-21
SG10202012976TA (en) 2021-01-28
KR20200034699A (ko) 2020-03-31
US11183383B2 (en) 2021-11-23
KR102416350B1 (ko) 2022-07-01
CN107546106A (zh) 2018-01-05
US20200219725A1 (en) 2020-07-09
US11784047B2 (en) 2023-10-10
KR20180002026A (ko) 2018-01-05
US11031245B2 (en) 2021-06-08
TW202240656A (zh) 2022-10-16
CN111769038A (zh) 2020-10-13
JP2024029147A (ja) 2024-03-05
US20210242019A1 (en) 2021-08-05
CN112701029A (zh) 2021-04-23

Similar Documents

Publication Publication Date Title
JP7414891B2 (ja) 半導体基板を処理するための装置および方法
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR20180054436A (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
US11987876B2 (en) Chamfer-less via integration scheme
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
CN109791914B (zh) 用于互连结构的复合介电界面层
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
JP7396998B2 (ja) 炭素膜の原子層堆積
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220704

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230718

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231016

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231228

R150 Certificate of patent or registration of utility model

Ref document number: 7414891

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150