TWI824577B - 在半導體裝置製造中之錫氧化物薄膜間隔件 - Google Patents

在半導體裝置製造中之錫氧化物薄膜間隔件 Download PDF

Info

Publication number
TWI824577B
TWI824577B TW111123354A TW111123354A TWI824577B TW I824577 B TWI824577 B TW I824577B TW 111123354 A TW111123354 A TW 111123354A TW 111123354 A TW111123354 A TW 111123354A TW I824577 B TWI824577 B TW I824577B
Authority
TW
Taiwan
Prior art keywords
processing
tin
semiconductor substrate
processing chamber
substrate
Prior art date
Application number
TW111123354A
Other languages
English (en)
Other versions
TW202240656A (zh
Inventor
大衛 查爾斯 史密斯
理查 威茲
阿爾潘 馬侯羅瓦拉
克林帕 派崔克 A 凡
史貴凡迪 巴頓 J 凡
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202240656A publication Critical patent/TW202240656A/zh
Application granted granted Critical
Publication of TWI824577B publication Critical patent/TWI824577B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Hall/Mr Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

薄的錫氧化物膜係在半導體元件製造中用作間隔件。在一實施方式中,薄的錫氧化物膜係保形地沉積至一半導體基板之上,該半導體基板具有第一材料(例如矽氧化物或矽氮化物)之曝露層及包含第二材料(例如矽或碳)的複數突出特徵部。舉例而言,10-100 nm厚的錫氧化物層可使用原子層沉積加以沉積。接著,錫氧化物膜係自水平表面加以移除而沒有自突出特徵部的側壁完全移除。接著,突出特徵部的材料係被蝕刻掉,在基板上留下錫氧化物間隔件。此係接著蝕刻第一材料之未受保護的部分而沒有移除間隔件。接著,底層係加以蝕刻,及間隔件係加以移除。含錫顆粒可藉由被轉變成揮發性的錫氫化物而自處理腔室加以移除。

Description

在半導體裝置製造中之錫氧化物薄膜間隔件
本發明關於在半導體裝置製造中的圖案化方法。具體而言,本發明關於在半導體處理中使用薄的錫氧化物薄膜作為間隔件的方法。
在積體電路(IC)製造中,沉積及蝕刻技術係用於形成材料的圖案,諸如用於形成在介電層中嵌入的金屬線。一些圖案化方案包含間隔件的使用,該等間隔件允許小尺寸特徵部的精確圖案化及形成。間隔件係在基板上加以形成,使得該等間隔件係藉由定義的距離加以分開(通常由先前的圖案化加以決定),且係用作底層圖案化的遮罩。間隔件及周圍的層之材料係加以選擇以具有適當的蝕刻選擇性,其將允許間隔件的形成及底層的圖案化。在圖案化係完成之後,該等間隔件係藉由蝕刻加以移除,不是最終製造的半導體裝置的一部分。
間隔件係用於各種應用中的圖案化,包含動態隨機存取記憶體(DRAM)的形成、在鰭式場效電晶體(finFET)中之圖案化的鰭、及後段製程(BEOL)處理。
吾人發現許多間隔件材料(諸如矽氧化物或鈦氧化物)在圖案化期間導致節距擺動(pitch walking)及/或顆粒污染的問題。舉例而言,矽氧化物的特徵在於,相對於在半導體處理中經常使用的許多材料之相對低的蝕刻選擇性,其使得必需使用較厚的間隔件。此又導致在過程中不一致的橫向間隔件側壁消耗,且最終可能導致節距擺動(間隔件之間不一致的距離)。當鈦氧化物係用作間隔件材料時,蝕刻選擇性可為足夠的,但含鈦顆粒可能污染處理腔室。舉例而言,在氟碳化物電漿蝕刻之後,鈦氟化物顆粒可能污染蝕刻腔室。此導致需要經常性的蝕刻腔室清潔,並且導致降低生產率。
這些問題在本文係藉由使用錫氧化物作為間隔件材料而加以處理。錫氧化物具有較高的模量,其相關於降低節距擺動及邊緣粗糙度所需的良好蝕刻選擇性。此外,與鈦不同,錫形成高揮發性的氫化物,其可自處理腔室輕易地加以移除。因此,在一些實施例中,所提供的處理方法包含將任何含錫材料(諸如錫氟化物)轉變為錫氫化物(例如藉由在含氫處理氣體中的電漿處理),及藉由沖洗及/或排空自處理腔室移除形成的揮發性錫氫化物。自腔室內部移除含錫顆粒的清潔製程可在蝕刻或沉積腔室中(通常在沒有基板的情況下)加以執行。
在本發明的一實施態樣中,提供一種處理半導體基板的方法。該方法包含:提供一半導體基板,該半導體基板具有包含第一材料的曝露層及包含第二材料的至少一突出特徵部,該第二材料係不同於該第一材料;及在該第一材料及包含該至少一突出特徵部之側壁的該第二材料之上沉積SnO層。該第一材料及該第二材料係加以選擇,使得對於第一蝕刻化學品,該第一材料的蝕刻速率對SnO的蝕刻速率之比例係大於1,及對於第二蝕刻化學品,該第二材料的蝕刻速率對SnO的蝕刻速率之比例係大於1。舉例而言,在一些實施例中,該第一材料係矽氧化物及/或矽氮化物,及該第一蝕刻化學品係氟碳化物電漿蝕刻。在一些實施例中,第二材料包含非晶矽及/或碳,及該第二蝕刻化學品係氧化性的含氧化學品(例如在包含HBr及O 2之處理氣體中的電漿處理)。
在一些實施方式中,基板包含複數突出特徵部,且在SnO的沉積之前,在最接近的突出特徵部之間的距離係在約10-100 nm之間。在一些實施方式中,在最接近的突出特徵部之間的距離係在約40-100 nm之間。在其他實施方式中,在最接近的突出特徵部之間的距離係在約10-30 nm之間。在一些實施例中,SnO層係保形地加以沉積,例如藉由原子層沉積(ALD)至約5-30 nm之間的厚度,諸如在約10-20 nm之間的厚度。
在SnO層已加以沉積之後,間隔件係自SnO層加以形成。在一些實施例中,間隔件的形成包含:在沉積SnO層之後,自該半導體基板的水平表面完全移除該SnO層而沒有完全移除覆蓋該至少一突出部之側壁的該SnO層。此係接著使用該第二蝕刻化學品完全移除該至少一突出部,而沒有完全移除覆蓋該至少一突出特徵部之側壁的該SnO層,從而形成SnO間隔件。
在SnO間隔件已加以形成之後,該製程可繼續,藉由使用該第一蝕刻化學品(例如使用電漿氟碳化物蝕刻)移除該第一材料之曝露的部分,而沒有完全移除該等SnO間隔件,從而曝露在第一材料層下方之一硬遮罩層的部分。該製程可接著移除該SnO層及該硬遮罩層之曝露的部分,而沒有完全移除位在該SnO層下方之該第一材料的層。
本文提供的半導體處理方法,在一些實施例中包含在此處提供的沉積及蝕刻操作之任一者之後,將殘留在處理腔室中的含錫顆粒轉變成錫氫化物。此轉變係藉由將處理腔室曝露於在包括含氫氣體的處理氣體中形成的電漿而加以執行。在一些實施例中,含氫氣體係H 2及/或NH 3。在一些實施例中,蝕刻腔室係在氟碳化物電漿蝕刻之後,藉由將含錫顆粒(例如錫氟化物)轉變成錫氫化物及藉由自該蝕刻腔室移除揮發性的錫氫化物而加以清潔。
在一些實施例中,本文提供的方法係結合光微影處理加以使用,且包含:將光阻塗佈至半導體基板;使該光阻曝露於光;圖案化該光阻及將該圖案轉移至半導體基板;及自該半導體基板選擇性地移除該光阻。舉例而言,光微影術可用以在基板上之SnO層的沉積之前形成突出特徵部的圖案。
在另一實施態樣中,一種部分製造的半導體裝置係加以提供,其中該裝置包含第一材料(例如矽氧化物或矽氮化物)的曝露層及位在該第一材料之該層上的複數SnO間隔件。在一些實施例中,在該等間隔件之間的距離係在約5-90 nm之間。
根據另一實施態樣,一種用於沉積SnO層的設備係加以提供。該設備包含:具有一基板固持器的一處理腔室,該基板固持器係配置成在沉積期間將該基板固定在適當位置;及用於引入反應物的入口。該設備更包含一控制器,該控制器包含用於根據本文提供之方法沉積SnO層的程式指令。
根據另一實施態樣,一種使用SnO間隔件處理半導體基板的系統係加以提供。該系統包含一個以上沉積處理腔室及一個以上蝕刻處理腔室,以及一控制器,該控制器包含根據本文提供之方法處理半導體基板的程式指令。
根據另一實施態樣,本文提供一種系統,其包含本文提供的任何設備或系統,及一步進器。
根據另一實施態樣,一種非暫態電腦可讀媒體係加以提供,其包含用於控制本文提供之任何設備或系統的程式指令。該等指令包含用於處理本文提供之方法的程式碼。
在本說明書中描述的申請標的之實施方式的這些及其他實施態樣,係在以下隨附圖式及說明中加以說明。
為了透徹理解所揭示的實施方式,在以下詳細的描述中說明許多特定的實施方式。然而,顯然地,對於在此技術領域中具有通常技術者而言,所揭示的實施方式可在沒有這些具體細節的情況下或藉由使用替代的元件或製程而加以實施。另一方面,為了不要不必要地模糊所揭示之實施方式的實施態樣,未詳細說明眾所周知的製程、程序、及元件。
在本申請案中,術語「半導體基板」、「晶圓」、「基板」、「晶圓基板」及「部分製造的積體電路」係可互換地加以使用。在此技術領域中具有通常技術者應理解術語「部分製造的積體電路」可意指在其上積體電路製造的許多階段之任一者期間的矽晶圓。此外,術語「半導體基板」意指包含在基板內任何地方的半導體材料、且可能包含其他材料的層之基板。下文的詳細描述假設所揭示的實施方式係在晶圓上加以實施。然而,所揭示的實施方式係非如此限制性的。工件可具有各種形狀、尺寸、及材料。除了半導體晶圓之外,可利用所揭示之實施方式的其他工件包含諸如印刷電路板等的各種物件。
本文提供使用錫氧化物(SnO)間隔件處理半導體基板的方法。如本文使用的錫氧化物(此處亦稱為SnO)意指包含錫(Sn)及氧(O)的材料,且可選用性地包含氫。如本文使用的錫氧化物(SnO)可更包含少量的其他元素(諸如碳及氮),其中其他元素的總量係10原子%以下(其中氫係不包含在含量的計算中)。舉例而言,ALD沉積的SnO可包含約0.5-5原子%的碳。如本文使用的術語「SnO」並非標示氧化物的化學計量,其可能加以改變。在一些特定的實施例中,SnO的化學計量係每兩個氧原子約1個錫原子。
應理解本文討論之諸如矽(Si)、碳(C)、矽氧化物(SiO 2)、及矽氮化物(SiN)的其他材料可選用性地包含氫。其他元素可小量存在這些材料中,諸如具有10原子%以下(不包含氫)之其他元素的加總含量。舉例而言,術語「矽氧化物」包含碳摻雜的矽氧化物及其他摻雜形式的矽氧化物。
錫氧化物間隔件的使用係參照圖1-6加以說明,該圖1-6提供在處理的不同階段之半導體基板的示意性橫剖面圖。圖7及8提供半導體基板處理方法的製程流程圖。
參照圖7,製程始於701,提供一基板,該基板具有第一材料的曝露層及包含第二材料的至少一突出特徵部。該第一材料的層係稱為蝕刻停止層(ESL),而該突出特徵部係稱為心軸。示例性的基板係在圖1中加以顯示,其顯示位在ESL 103上的兩個心軸101。在一些實施例中,在相鄰心軸之間的距離d1係在約10-100 nm之間。在一些實施例中,約40-100 nm之相對較大的距離係加以使用。在其他應用中,在最接近的心軸之間的距離係在約10-30 nm之間。在一些實施例中,在最接近的心軸之中心之間的距離d2(其係亦稱為節距)係在約30-130 nm之間。在一些實施例中,節距係在約80-130 nm之間。在其他實施例中,節距係在約30-40 nm之間。心軸d3的高度係通常在約20-200 nm之間,諸如在約50-100 nm之間。
心軸及ESL的材料係加以選擇,以例如允許在曝露的錫氧化物存在的情況下心軸材料的後續選擇性地蝕刻,及在曝露的錫氧化物存在的情況下ESL材料的選擇性蝕刻。因此,對於第一蝕刻化學品,ESL材料的蝕刻速率相對於錫氧化物的蝕刻速率之比例係大於1,更佳是大於約1.5,諸如大於約2。類似地,對於第二蝕刻化學品,心軸材料的蝕刻速率相對於錫氧化物的蝕刻速率之比例係大於1,更佳是大於約1.5,諸如大於約2。
在一些實施例中,ESL材料係選自由基於矽氧化物的材料、矽氮化物、及其組合所組成的群組,而心軸材料係非晶矽(摻雜或未摻雜)或碳(摻雜或未摻雜)。用於矽及碳之摻雜劑的例子包含但不限於N、S、B、及W。ESL層及心軸可藉由物理氣相沉積(PVD)、化學氣相沉積(CVD)、ALD(無電漿或藉由PEALD)、或電漿加強化學氣相沉積(PECVD)加以形成,而心軸的圖案可使用光微影技術加以界定。
再次參照圖1顯示的基板,ESL層103位於目標層105之上且與其接觸。目標層105係需要加以圖案化的層。目標層105可為半導體、介電質或其他的層,且可例如由矽(Si)、矽氧化物(SiO 2)、矽氮化物(SiN)、或鈦氮化物(TiN)所製成。在一些實施例中,目標層係稱為硬遮罩層且包含金屬氮化物,諸如鈦氮化物。目標層105可藉由ALD(無電漿或藉由PEALD)、CVD、或其他適合的沉積技術加以沉積。
目標層105位在層107之上且與其接觸,該層在一些實施例中係BEOL層,其包含嵌入於介電材料層中的複數金屬線。
再次參照圖7,該製程接著進行步驟703,在第一及第二材料兩者之上沉積SnO層。參照圖2中顯示的結構,SnO層109係在ESL 103之上及心軸101之上(包含心軸的側壁)加以沉積。SnO層係藉由任何適合的方法加以沉積,諸如CVD(包含PECVD)、ALD(包含PEALD)、濺鍍等。在一些實施例中,較佳是保形地沉積SnO膜,使得其遵循層103及心軸101的表面,如圖2所示。在一些實施例中,SnO層係保形地加以沉積至約5-30 nm之間(諸如在約10-20 nm之間)的厚度。保形的SnO膜之合適沉積方法的其中一者係ALD。熱或電漿加強的ALD可加以使用。在典型的熱ALD方法中,基板係加以提供至ALD處理腔室且係依序曝露於含錫前驅物及含氧反應物,其中該含錫前驅物及該含氧反應物係被允許在基板的表面上加以反應以形成SnO。在基板係曝露於含錫前驅物之後且在含氧反應物係被允許進入處理腔室之前,ALD處理腔室係通常使用惰性氣體加以沖洗以防止在處理腔室之主體內的反應。此外,在基板已使用含氧反應物加以處理之後,ALD處理腔室係通常使用惰性氣體加以沖洗。連續的曝露係重複幾個循環,例如,可執行約10-100個循環,直到沉積具有期望厚度的SnO層。適合的含錫前驅物之例子包含鹵化的含錫前驅物(諸如SnCl 4及SnBr 4)及非鹵化的含錫前驅物(諸如有機錫化合物,其包含烷基取代的錫醯胺等)。適合用於ALD之烷基取代的錫醯胺之特定例子係肆(二甲基胺基)錫、肆(乙基甲基胺基)錫、N 2,N 3-二叔丁基-丁烷-2,3-二胺基錫(II)、及1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮雜錫戊環-2-亞基(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene)。含氧反應物包含但不限於氧、臭氧、水、過氧化氫、及NO。含氧反應物的混合物亦可加以使用。沉積條件將依據ALD反應物的選擇而加以變化,其中較具反應性的前驅物通常與較低反應性的前驅物相比在較低溫度下反應。製程通常在約20-500℃之間的溫度下及在低於大氣壓力下加以執行。溫度及壓力係加以選擇,使得反應物在處理腔室中保持氣態以避免凝結。各反應物係呈氣態形式單獨或與載體氣體(諸如氬、氦、或氮)混合提供至處理腔室。這些混合物的流率將取決於處理腔室的尺寸,且在一些實施例中在約10-10,000 sccm之間。
適合用於沉積本文提供的保形SnO層之熱ALD製程條件的特定示例係在Li等人發表的文章中加以描述,該文章的標題為“Tin Oxide with Controlled Morphology and Crystallinity by Atomic Layer Deposition onto Graphene Nanosheets for Enhanced Lithium Storage”( Advanced Functional Materials, 2012, 22, 8, 1647-1654),其全部內容於此藉由參照納入本案揭示內容。該製程包含在200-400℃的溫度下在ALD真空室中,將基板依序地及交替地曝露於SnCl 4(含錫前驅物)及去離子水(含氧反應物)。在ALD循環的特定示例中,SnCl 4蒸氣與N 2載體氣體的混合物係引入ALD處理腔室0.5秒,且係接著曝露於基板3秒。接著,ALD處理腔室係使用N 2沖洗10秒以自處理腔室的主體移除SnCl 4,且H 2O蒸氣與N 2載體氣體的混合物係流入處理腔室1秒且係曝露於基板3秒。接著,ALD處理腔室係使用N 2沖洗且該循環係加以重複。ALD製程係在低於大氣壓力(例如0.4托)下及在200-400℃的溫度下加以執行。
適合用於沉積在本文提供之方法中的SnO膜之熱ALD製程條件的另一示例係在Du等人發表的文章中加以描述,該文章的標題為“In situ Examination of Tin Oxide Atomic Layer Deposition using Quartz Crystal Microbalance and Fourier Transform Infrared Techniques”( J. Vac. Sci. Technol.A 23, 581 (2005)),其全部內容於此藉由參照納入本案揭示內容。在此製程中,基板係在ALD處理腔室中在約150-430℃之間的溫度下依序曝露於SnCl 4及H 2O 2
雖然在ALD中使用鹵化的錫前驅物在許多實施例中係適合的,但在一些實施例中,更佳是使用非鹵化的有機錫前驅物以避免可能與使用鹵化的前驅物(諸如SnCl 4)一起發生的腐蝕問題。適合之非鹵化的有機錫前驅物之例子包含烷基胺錫(烷基化的錫醯胺)前驅物,諸如肆(二甲基胺基)錫。使用此前驅物之適合的熱ALD沉積方法的例子係在Elam等人發表的文章中加以提供,該文章的標題為“Atomic Layer Deposition of Tin Oxide Films using Tetrakis(dimethylamino) tin” ( J. Vac. Sci. Technol.A 26, 244 (2008)),其全部內容於此藉由參照納入本案揭示內容。在此方法中,基板係在ALD腔室中在約50-300℃的溫度下依序曝露於肆(二甲基胺基)錫及H 2O 2。有利地,此前驅物的使用允許在100℃以下的低溫沉積SnO膜。舉例而言,SnO膜可在沒有使用電漿的情況下在50℃加以沉積以增加反應速率。使用肆(二甲基胺基)錫及H 2O 2之SnO的熱ALD之另一例子係在Elam等人發表的文章中加以提供,該文章的標題為“Atomic Layer Deposition of Indium Tin Oxide Thin Films Using Nonhalogenated Precursors”( J. Phys.Chem.C 2008, 112, 1938-1945),其係於此藉由參照納入本案揭示內容。
使用反應性有機錫前驅物的低溫熱ALD製程的另一例子係在Heo等人發表的文章中加以提供,該文章的標題為“Low temperature Atomic Layer Deposition of Tin Oxide”( Chem. Mater., 2010, 22(7) 4964-4973),其全部內容於此藉由參照納入本案揭示內容。在此沉積製程(其係適合用於沉積本文提供的SnO膜)中,基板係在ALD真空處理腔室中依序曝露於N 2,N 3-二叔丁基-丁烷-2,3-二胺基-錫(II)及50%的H 2O 2。這些反應物係加以汽化且各者係與N 2載體氣體混合提供至處理腔室。在基板每次曝露於反應物之後,腔室係使用N 2加以沖洗。沉積可在約50-150℃之間的溫度下加以執行。
雖然在ALD製程中過氧化氫作為含氧反應物對於SnO的形成通常作用良好,但由於H 2O 2分解,所以過氧化氫可能有時無法對SnO膜生長提供足夠的控制。在一些實施例中,較安定的含氧前驅物(諸如NO)係加以使用。使用NO作為含氧反應物之合適製程條件的例子係在Heo等人發表的文章中加以提供,該文章的標題為“Atomic Layer Deposition of Tin Oxide with Nitric Oxide as an Oxidant Gas”( J. Mater. Chem., 2012, 22, 4599),其係於此藉由參照納入本案揭示內容。沉積包含在約130-250℃的溫度下將基板依次曝露於NO及環狀的Sn(II)醯胺(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮雜錫戊環-2-亞基(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)- 1,3,2-diazastannolidin-2-ylidene))。
在一些實施例中,SnO膜係藉由PEALD加以沉積。如上所述用於熱ALD之相同類型的含錫前驅物及含氧反應物可加以使用。在PEALD中,ALD設備係配備有用於在處理腔室中產生電漿及使用該電漿處理基板的系統。在典型的PEALD製程序列中,基板係提供至PEALD處理腔室且係曝露於吸附在基板表面上的含錫前驅物。處理腔室係使用惰性氣體(例如氬或氦)加以沖洗以自處理腔室移除前驅物,且基板係曝露於被引入處理腔室的含氧反應物。在引入含氧反應物的同時或一延遲之後,電漿係在處理腔室內加以形成。電漿促進在基板表面上之在含錫前驅物與含氧反應物之間導致SnO形成的反應。接著,處理腔室係使用惰性氣體加以沖洗,且包含錫前驅物用劑、沖洗、含氧反應物用劑、電漿處理、及第二沖洗的循環係依需要重複多次,以形成期望厚度的SnO膜。
適合用於SnO膜之PEALD形成之製程條件的例子係在Seop等人發表的文章中加以提供,該文章的標題為“The Fabrication of Tin Oxide Films by Atomic Layer Deposition using Tetrakis(ethylmethylamino) tin Precursor”( Transactions on Electrical and Electronic Materials, 2009,10, 5, 173-176),其係於此藉由參照納入本案揭示內容。基板係提供至PEALD處理腔室內,且係在沒有電漿的情況下曝露於肆(乙基甲基胺基)錫4秒。接著,含錫前驅物係藉由將氬流經處理腔室20秒而自處理腔室加以排淨。接著,O 2係注入2秒,及額外2秒使用100 W的射頻(RF)功率。在此之後係接著進行氬沖洗,其完成一個PEALD循環。在此例子中,製程係在50-200℃的溫度範圍內及在0.8托的壓力下加以執行。
雖然ALD(熱ALD及電漿加強的ALD兩者)係用於沉積SnO膜之較佳方法的其中之一,但應理解其他SnO沉積方法(諸如CVD、PECVD、及濺鍍)亦可加以使用。
參照圖7的製程流程圖,在SnO層已加以沉積之後,該製程接著進行步驟705,在基板上形成SnO間隔件。SnO間隔件的形成係藉由圖3及圖4加以說明。首先,SnO層109係自層103之上及心軸101之上的水平表面加以蝕刻,而附著在心軸101之側壁的位置係沒有完全被蝕刻。此蝕刻使層103各處加以曝露,除了心軸101之側壁附近的位置之外。此外,此蝕刻使心軸的頂部部分曝露。所得到的結構係在圖3中加以顯示。此蝕刻的化學性質將取決於用於心軸101及層103之材料的類型。在此步驟中用於SnO層移除的蝕刻係加以選擇,使得SnO蝕刻速率對心軸材料蝕刻速率的比例係大於1,且使得SnO蝕刻速率對層103之材料蝕刻速率的比例係大於1。SnO可使用若干濕蝕刻及乾蝕刻技術加以蝕刻。在濕蝕刻中,基板係與濕蝕刻劑接觸,其可例如被噴灑至基板之上。或者,基板可被浸入濕(水性)蝕刻劑中。在乾蝕刻中,基板係在乾蝕刻腔室中加以配置,其中基板係在使用或沒有使用電漿的情況下與氣體蝕刻劑接觸。如此處使用的「濕蝕刻」意指使用液體蝕刻劑加以蝕刻,而「乾蝕刻」意指使用氣態(包含汽化)的蝕刻劑加以蝕刻,僅管有水的使用。適合用於蝕刻SnO之濕蝕刻的一個例子係酸蝕刻,其中基板係與酸(諸如HCl)的水溶液接觸。
在HCl蝕刻的一實施方式中,基板係與一水溶液接觸,該水溶液係自鉻金屬及HCl的水溶液加以製備。此蝕刻化學品係在Wu等人發表的文章中加以描述,該文章的標題為“Texture Etched SnO 2Glasses Applied to Silicon Thin-film Solar Cells”( Journal of Nanomaterials,vol. 2014, 1-9),其全部內容於此藉由參照納入本案揭示內容。在此實施例中,SnO層係藉由含有HCl及Cr(II)離子之預先形成的混合物加以蝕刻,該混合物將Sn(IV)還原成Sn(II)及有助於氧化物的溶解。HCl:Cr蝕刻溶液係在一實施方式中藉由將鉻金屬(20 g)溶在90℃之50%的HCl水溶液(5 L)中加以製備。鉻濃度可自0.05至1 wt%加以變化。在一些實施例中,蝕刻係在20-100℃的溫度範圍內加以執行。
在濕蝕刻製程的另一例子中,SnO層係在鋅粉的存在下使用HX水溶液(其中X係Cl、Br、或I)加以處理。在此方法中,氧化物係藉由在鋅與HX之反應中形成的氫直接被還原。在另一濕蝕刻實施例中,SnO係藉由磷酸水溶液(例如以1:3之H 3PO 4:H 2O的比例提供)加以蝕刻。此外,SnO膜可在約60℃的溫度下藉由HNO 3及HCl水溶液之混合物或藉由HI水溶液加以蝕刻。
用於SnO移除之乾蝕刻化學品的一例子包含在電漿中使用HBr的處理。此處理係在Kwon等人發表的文章中加以描述,該文章的標題為“Etch Mechanism of In 2O 3and SnO 2thin films in HBr-based inductively coupled plasmas”( J. Vac. Sci. Technol.A 28, 226 (2010)),其全部內容於此藉由參照納入本案揭示內容。基板係使用在含有HBr及氬之處理氣體中形成的感應耦合電漿加以處理。
在另一實施例中,含HBr的處理氣體更包括含氧化合物,諸如O 2。在一些實施例中,蝕刻係藉由將基板曝露於在含有HBr、O 2、及N 2之處理氣體中形成的電漿而加以執行。此類型的蝕刻可相對於諸如矽及矽氧化物的材料而選擇性地移除SnO材料。吾人注意到矽心軸的表面係通常覆蓋一層二氧化矽,其保護該矽心軸免受此蝕刻化學品的蝕刻。在一些實施例中,此蝕刻步驟的製程條件包含對基板固持器施加相對高的射頻(RF)偏壓,以例如增加電漿中之離子的能量及增加SnO材料的蝕刻速率。適合用於SnO移除的其他乾蝕刻化學品包含在Cl 2及烴之混合物中的電漿處理,及在包含氯烴(諸如CH 2Cl 2或CHCl 3)之處理氣體中的電漿處理。在一些實施例中,含有曝露之SnO層的基板係與在含有CH 4及Cl 2的處理氣體中形成的電漿接觸。
適合用於SnO膜之移除的乾蝕刻化學品之另一者係基於氫的電漿。在一些實施例中,SnO係藉由將基板曝露於在含有H 2之處理氣體中形成的電漿而加以蝕刻。在一些實施例中,電漿係在H 2與烴(例如CH 4)之混合物中形成的處理氣體中加以形成。
在一些實施例中,自基板的水平部分移除SnO層包含使用具有兩種不同化學品的兩個步驟。在稱為主蝕刻的第一步驟中,SnO層的主體係自水平表面加以移除,而沒有完全曝露心軸及ESL材料的底層。因此,主蝕刻的蝕刻化學品不需為選擇性的。在一些實施例中,主蝕刻係藉由使用在含有Cl 2及烴(例如Cl 2及CH 4)之處理氣體中形成的電漿處理基板而加以執行。在該主蝕刻蝕穿SnO膜或蝕穿SnO膜之前不久,蝕刻化學品係加以切換成過蝕刻化學品。主蝕刻的終點可藉由使用光學探針加以偵測,該光學探針當心軸材料或ESL材料變為曝露時將發出訊號。過蝕刻化學品係用以移除剩餘SnO膜而實基上沒有蝕刻心軸及ESL的材料。對於過蝕刻化學品,SnO的蝕刻速率對心軸材料的蝕刻速率之比例較佳是大於1。對於過蝕刻化學品,SnO的蝕刻速率與ESL材料的蝕刻速率之比例亦較佳是大於1。在一些實施例(例如當矽心軸及矽氧化物ESL係加以使用的情況)中,過蝕刻包含將具有剩餘SnO膜的基板、曝露的心軸、及曝露的ESL曝露於在含有HBr、N 2、及O 2之處理氣體中形成的電漿。
在此步驟中的SnO蝕刻自水平表面移除SnO,但在心軸的側壁之SnO層的垂直部分仍保留在基板上。接著,心軸101係自基板加以移除,留下曝露的SnO間隔件109及曝露的ESL 103,如圖4所示。心軸的移除係藉由將基板曝露於選擇性蝕刻心軸材料的蝕刻化學品而加以執行。因此,在此步驟中,心軸材料的蝕刻速率對SnO的蝕刻速率之比例係大於1,且更佳是大於1.5。此外,在此步驟中使用的蝕刻化學品應該相對於ESL材料選擇性地蝕刻心軸材料。各種蝕刻方法可加以使用,且化學品的特定選擇係取決於心軸的材料及ESL層的材料。當心軸係由非晶矽製成且ESL材料係矽氧化物時,心軸可藉由使用氧化性的含氧電漿而加以移除。舉例而言,矽心軸可藉由將基板曝露於在由HBr及O 2所構成之處理氣體中形成的電漿而選擇性地加以蝕刻。此化學品將在SnO及矽氧化物的存在下選擇性地蝕刻矽材料。在一些實施例中,在蝕刻開始之前,矽氧化物的薄保護層係自矽心軸的表面加以移除。此可藉由簡短地將基板曝露於在含有氟碳化物之處理氣體中形成的電漿而加以進行。在自心軸移除保護性的矽氧化物層之後,矽係選擇性地加以蝕刻。在一些實施例中,較佳是在此步驟中對於基板使用相對小的RF偏壓,或根本沒有使用外部偏壓。若沒有使用外部偏壓,則基板的自偏壓(10-20 V)係足夠的。在沒有偏壓或低偏壓的條件下,HBr/O 2電漿將在SnO及矽氧化物的存在下選擇性地蝕刻矽。顯示移除心軸之後的SnO間隔件之所得的結構係在圖4中加以顯示。
接著,曝露的ESL層103係加以蝕刻,以在沒有被SnO間隔件109保護的所有位置處曝露下面的目標層105。所得的結構係在圖5中加以顯示。在此步驟中使用的蝕刻化學品在SnO的存在下選擇性地蝕刻ESL材料。換句話說,ESL材料的蝕刻速率對SnO的蝕刻速率之比例係大於1,且更佳是大於1.5。在此步驟中使用之化學品的特定類型將取決於ESL材料的類型。當矽氧化物與基於矽氧化物的材料係加以使用時,選擇性蝕刻可藉由將基板曝露於在含有氟碳化物之處理氣體中形成的電漿而加以實現。舉例而言,ESL膜可藉由在含有CF 4、C 2F 6、及C 3F 8之其中一者以上之處理氣體中形成的電漿而加以蝕刻。
在下一步驟中,目標層105係在沒有被ESL層103保護的所有位置處加以蝕刻,以曝露底層107。SnO間隔件109係亦在此蝕刻步驟中加以移除,提供圖6顯示的圖案化結構。在一些實施例中,在此步驟中使用的蝕刻化學品係加以選擇以移除目標材料及SnO間隔件材料兩者。在其他實施例中,具有不同化學品之兩個不同的蝕刻步驟可加以使用,以分別圖案化目標層105及移除SnO間隔件109。若干蝕刻化學品可依據目標層的化學品而加以使用。在一實施例中,目標層105係金屬氮化物(例如TiN)層。在此實施例中,金屬層係加以蝕刻,且SnO間隔件可使用單一蝕刻化學品藉由將基板曝露於在含有Cl 2及烴(例如CH 4)之處理氣體中形成的電漿而加以移除。通常,SnO間隔件可使用上述SnO蝕刻方法的任一者加以移除。
在所描述的製程序列期間的任何時間點,蝕刻及/或沉積腔室可藉由將含錫顆粒轉變成揮發性的氫化錫(其可藉由沖洗及/或排空輕易地加以移除)而移除含錫粒子。在一些實施例中,此轉變係藉由使基板接觸在含氫氣體(諸如H 2、NH 3、或其混合物)中形成的電漿而加以執行。
使用SnO間隔件之半導體基板圖案化的特定示例係在圖8的製程流程圖中加以提供。參照圖1-6中顯示的元件結構。製程始於801,提供一基板,具有包含矽氧化物層的曝露層及複數突出的矽特徵部。在此示例中,參照圖1,基板包含曝露的矽氧化物層103及由非晶矽製成的複數突出特徵部(心軸)101。硬遮罩層105位在矽氧化物層103下方。在此例子中,硬遮罩層係由鈦氮化物所製成。硬遮罩層105覆蓋BEOL層107。
接著在操作803中,SnO層係在矽氧化物層及矽突出特徵部上保形地加以沉積。在一些實施例中,保形沉積係藉由ALD(熱ALD或電漿加強的ALD)加以執行,如前所述。圖2說明覆蓋矽心軸及矽氧化物層的表面之保形的SnO層109。在操作805中,位在水平表面上的SnO係加以移除,而沒有移除位在矽突出特徵部之側壁上的SnO層。在此例子中,移除係藉由兩步驟的蝕刻加以執行。在第一步驟中,主蝕刻係加以執行,將圖2顯示的基板曝露於在含有Cl 2及CH 4之處理氣體中形成的電漿。接著,在大部分的SnO膜係自水平表面加以移除之後,其餘的SnO膜係藉由將基板曝露於過蝕刻化學品(其包含在由HBr、O 2、及N 2所構成的處理氣體中形成的電漿)而自水平表面加以移除。此步驟係在對基板底座施加相對高之偏壓的情況下而加以執行。矽心軸在此步驟期間係使用矽氧化物的保護層加以覆蓋,該矽氧化物的保護層係不會被此化學品明顯地蝕刻。所得的結構係在圖3中加以顯示,其中矽氧化物層103及矽心軸101係加以曝露。
接著,在操作807中,矽突出特徵部係加以移除,及SnO間隔件係從而加以形成,如圖4所示。在此例子中,矽心軸係選擇性地加以蝕刻,其係藉由將基板曝露在由HBr及O 2所構成的處理氣體中形成的電漿而沒有偏壓基板,或藉由使用相較於在SnO層的HBr/O 2/N 2蝕刻中使用的偏壓較低的偏壓。在一些實施例中,在矽心軸的移除之前,保護性的矽氧化物層係例如藉由將基板短暫地曝露於在含有氟碳化物之處理氣體中形成的電漿而自矽的表面加以蝕刻。
在後續步驟809中,曝露的矽氧化物層係加以移除,且下面的硬遮罩層係加以曝露。矽氧化物係藉由將基板曝露於在含有一種以上氟碳化物的處理氣體中形成的電漿而選擇性地加以蝕刻。
在此步驟之後,執行氟碳化物蝕刻之處的蝕刻處理腔室係加以清潔以移除任何含錫的顆粒。舉例而言,錫氟化物可能不經意地在腔室的表面上加以沉積。在基板係自處理腔室加以移除之後,含氫氣體(諸如H 2、NH 3、或這些氣體的混合物)係流入處理腔室中以將含錫顆粒轉變成揮發性的錫氫化物。在一例子中,清潔係藉由在此處理氣體中形成電漿而加以執行。在其他實施例中,腔室係在沒有電漿的情況下曝露於H 2。在矽氧化物層的移除之後獲得的基板係在圖5中加以顯示,其顯示曝露的硬遮罩層105。接著,在操作811中,曝露的硬遮罩層及SnO間隔件係加以移除。在一例子中,TiN硬遮罩及SnO層係藉由將圖5顯示的基板曝露於在由Cl 2及CH 4構成的處理氣體中形成的電漿而加以形成。
錫氧化物相較於其他間隔件材料(諸如TiO 2及SiO 2)較有優勢,因為錫氧化物的特徵在於與期望之蝕刻選擇性的特性相關之相對高的模量。主體氧化錫(II)的模量係360 GPa,其係大於二氧化鈦(210 GPa)及二氧化矽(70 GPa)的模量。因此,關於低蝕刻選擇性的問題(諸如節距擺動)係藉由使用SnO間隔件加以處理。此外,錫氫化物的熔點為-52℃,而鈦氫化物的熔點係大於350℃。當鈦氧化物係作為間隔件材料時,不可能藉由將含鈦顆粒(例如鈦氯化物或氟化物)轉變成鈦氫化物而清潔處理腔室,因為鈦氫化物係不具揮發性的。相反地,當錫氧化物係用作間隔件材料時,處理腔室可藉由將含錫顆粒轉變成可自處理腔室排淨之揮發性的錫氫化物而容易地加以清潔。 設備
此處揭示的實施方式之另一實施態樣,係配置成實現本文描述之方法的設備及系統。適合的設備包含用於完成製程操作的硬體及具有用於根據所揭示的實施方式控制製程操作之指令的系統控制器。在一些實施例中,用於沉積SnO層的沉積設備係加以提供。在一些實施例中,這是ALD設備(例如PEALD設備)。在其他實施例中,其可為CVD設備或包含錫氧化物目標的濺鍍設備。該設備包含處理腔室、用於在沉積期間將基板固定在適當位置的支座、用於將處理氣體流進處理腔室的入口,及亦可包含用於在處理腔室內形成電漿的系統。此外,根據本文提供的方法,該設備包含具有用於沉積SnO層之程式指令的控制器。
本文提供的乾蝕刻操作可在配備有配置成遞送氣體試劑的遞送管線及控制機構的各種設備中加以執行。適合的處理腔室之例子包含電漿蝕刻腔室、RIE腔室、等向性蝕刻腔室、及光阻剝離腔室。在一些實施例中,乾蝕刻設備包含容納用於固持基板之支座的處理腔室、及用於將一種以上處理氣體遞送至該處理腔室的遞送管線。在一些實施例中,該設備更包含用於在處理氣體中產生電漿的系統。處理腔室可更包含控制器,該控制器包含用於執行蝕刻的程式指令。該等指令可包含用於遞送處理氣體、設定處理腔室中之溫度和壓力的指令、及關於電漿參數的指令。
本文提供的濕蝕刻操作可在配置成將濕蝕刻劑遞送至基板之上的各種設備中加以執行。這些操作可配置成將基板浸入液體蝕刻劑中,將該蝕刻劑噴灑或流動於基板之上或應用其他接觸方法。在一些實施例中,該設備包含:一支座,在蝕刻劑遞送期間將基板固定在適當位置,其中該支座可配置成用於旋轉基板;及一個以上遞送埠(例如噴嘴),該一個以上遞送埠係配置成將液體蝕刻劑噴灑或流動於基板之上。該設備可更包含具有用於濕蝕刻製程之程式指令的控制器。
在另一實施態樣中,提供一種系統,該系統包含配置成用於沉積SnO層的沉積腔室,及配置成用於蝕刻基板上之一種以上材料的一個以上蝕刻腔室(諸如RIE腔室、濕蝕刻腔室)。該系統更包含一控制器,該控制器具有根據本文揭示的方法用於沉積SnO層及用於形成SnO間隔件的程式指令。
PEALD設備現將根據本文提供的方法描述成適於沉積SnO層之設備的例子。
在一些實施例中,SnO層的保形沉積係在PEALD反應器中加以執行,該PEALD反應器係自Lam Research Corp. of Fremont, CA市售之Vector Excel沉積模組的一部分。適合的處理腔室包含在沉積期間固持晶圓基板的支座(晶圓底座)、在處理腔室中形成電漿的產生器、及將處理氣體的成分(含錫前驅物、含氧反應物、載體氣體等)遞送至處理腔室的導管。該設備係進一步配置成用於沖洗及/或排空處理腔室,及用於在沉積期間在處理腔室中維持期望的壓力及溫度。
PEALD處理腔室的例子係在美國專利第6,416,822號、美國專利第6,428,859號、及美國專利第8,747,964號中加以描述,其全部內容於此藉由參照納入本案揭示內容。
圖9示意性地顯示可用以沉積所提供的SnO膜之一PEALD處理工作站900的一實施例。為簡單起見,處理工作站900係描繪成獨立的處理工作站,其具有用於維持低壓環境的處理腔體902。然而,應理解複數處理工作站900可被包含在一般的處理工具環境中。此外,應理解在一些實施例中,處理工作站900的一個以上硬體參數(包含以下詳細討論者)可由一個以上電腦控制器以編程方式加以調整。
處理工作站900與反應物遞送系統901呈流體連通,該反應物遞送系統901用於將處理氣體遞送至分配噴淋頭906。反應物遞送系統901包含混合容器904,該混合容器904用於混合及/或調整處理氣體以遞送至噴淋頭906。一個以上混合容器入口閥920可控制處理氣體導入混合容器904。類似地,噴淋頭入口閥905可控制處理氣體導入噴淋頭906。
一些反應物可在氣化及隨後遞送至處理工作站之前以液體形式加以儲存。舉例而言,圖9的實施例包含一氣化點903,用於將待供應至混合容器904的液體反應物氣化。在一些實施例中,氣化點903可為加熱的氣化器。從此等氣化器產生的反應物蒸氣可能在下游遞送管路內凝結。不相容的氣體曝露於凝結的反應物可能產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些方法包含清掃及/或排空遞送管路以移除殘留的反應物。然而,清掃遞送管路可能增加處理工作站循環時間、降低處理工作站生產率。因此,在一些實施例中,氣化點903下游的遞送管路可為伴熱的(heat traced)。在一些例子中,混合容器904亦可為伴熱的。在一非限制性的例子中,氣化點903下游的管路具有從約100℃延伸至在混合容器904處約150℃的漸增溫度分布。
在一些實施例中,反應物液體可在液體注射器加以氣化。舉例而言,液體注射器可將液體反應物的脈衝注入至混合容器上游的載體氣流內。在一情況下,液體注射器可藉由將液體自一較高壓力至較低壓力驟沸而氣化反應物。在另一情況下,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的遞送管內加以氣化。應理解較小的液滴可相較於較大的液滴更快氣化,縮短液體注入與完全氣化之間的延遲。較快的氣化可降低自氣化點903下游之管路的長度。在一情況下,液體注射器可直接安裝至混合容器904。在另一情況下,液體注射器可直接安裝至噴淋頭906。
在一些實施例中,氣化點903上游的液體流量控制器可加以設置,以控制用於氣化及遞送至處理工作站900之液體的質量流量。舉例而言,液體流量控制器(LFC)可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著響應回授控制訊號而加以調整,該回授控制訊號係由與MFM電連通之一比例-積分-微分作用(PID, proportional-integral-derivative)控制器加以提供。然而,使用回授控制可能耗用一秒以上以穩定液體流量。此可能延長供給液體反應物的時間。因此,在一些實施例中,LFC可在回授控制模式與直接控制模式之間動態地切換。在一些實施例中,LFC可藉由將PID控制器和LFC的感測管去能而自回授控制模式動態切換至直接控制模式。
噴淋頭906將處理氣體朝基板912分布。在圖9顯示的實施例中,基板912係位於噴淋頭906下方,且係顯示配置在底座908上。應理解噴淋頭906可具有任何適合的形狀,且可具有任何適合數量及排列的埠,該等埠用於將處理氣體分布至基板912。
在一些實施例中,微容積907係位在噴淋頭906下方。在微容積而非在處理工作站的整個容積中執行ALD製程,可減少反應物曝露及清掃時間、可減少修改製程條件(例如壓力、溫度等)的時間,可限制處理工作站機器人對處理氣體的曝露等。示例的微容積尺寸包含但不限於在0.1升與2升之間的容積。此微容積亦影響生產生產率。每循環的沉積速率下降,而循環時間亦同時減少。在某些情況下,後者的影響係相當足以改善用於膜的給定目標厚度之模組的整體生產率。
在一些實施例中,底座908可加以升高或降低,以將基板912曝露於微容積907及/或以改變微容積907的容積。舉例而言,在基板傳送階段中,底座908可加以降低以允許基板912被裝載至底座908之上。在沉積製程階段期間,底座908可加以升高以將基板912置放於微容積907之內。在一些實施例中,微容積907可完全包圍基板912及底座908的一部分,以建立在沉積製程期間之高流量阻抗的區域。
選用性地,底座908可在部分的沉積製程期間加以降低及/或升高,以調節在微容積907之內的製程壓力、反應物濃度等。在處理腔體902於沉積製程期間保持在基礎壓力的一個情況下,降低底座908可允許微容積907被排空。微容積對處理腔室容積的示例比例包含但不限於在1:900與1:10之間的容積比例。應理解在一些實施例中,底座高度可藉由適合的電腦控制器以編程方式加以調整。
在另一情況下,調整底座908的高度可能允許電漿密度在包含於沉積製程的電漿活化及/或處理循環期間加以改變。在沉積製程階段結束時,底座908在另一基板傳送階段期間可加以降低,以允許自底座908移除基板912。
雖然此處描述的示例微容積變化係關於一高度可調整的底座,但應理解在一些實施例中,噴淋頭906的位置可相對於底座908加以調整,以改變微容積907的容積。此外,應理解底座908及/或噴淋頭906的垂直位置可藉由在本揭示內容範圍內之任何適合的機構加以變化。在一些實施例中,底座908可包含用於旋轉基板912之方向的旋轉軸。應理解在一些實施例中,這些示例調整的其中一者以上可藉由一個以上適合的電腦控制器以編程方式加以執行。
返回圖9顯示的實施例,噴淋頭906及底座908係與用於對電漿供電的RF電源供應器914及匹配網路916電連通。在一些實施例中,電漿能量可藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序的其中一者以上而加以控制。舉例而言,RF電源供應器914及匹配網路916可在任何適合的功率下加以操作,以形成具有期望之自由基物種成分的電漿。適合功率的例子係包含於前面敘述。同樣地,RF電源供應器914可提供任何適合頻率的RF功率。在一些實施例中,RF電源供應器914可配置成將高頻及低頻RF功率源彼此獨立地控制。示例的低頻RF頻率可包含但不限於在50 kHz與900 kHz之間的頻率。示例的高頻RF頻率可包含但不限於在1.8 MHz與2.45 GHz之間的頻率。應理解任何適合的參數可不連續地或連續地加以調整,以提供用於表面反應的電漿能量。在一非限制性的例子中,電漿功率可間歇地脈衝輸送以相對於連續供電的電漿降低對基板表面的離子轟擊。
在一些實施例中,電漿可藉由一個以上電漿監視器加以原位監控。在一情況下,電漿功率可藉由一個以上電壓、電流感測器(例如VI探針)加以監控。在另一情況下,電漿密度及/或處理氣體濃度可藉由一個以上光學發射光譜感測器(OES)加以量測。在一些實施例中,一個以上電漿參數可基於來自此等原位電漿監視器的量測而以編程方式加以調整。舉例而言,OES感測器可在回授迴路中加以使用,該回授迴路用於提供電漿功率的編程控制。應理解在一些實施例中,其他監視器可用以監控電漿及其他製程特性。此等監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,電漿可透過輸入/輸出控制(IOC)序列指令加以控制。在一示例中,用於設定電漿製程階段之電漿條件的指令,可被包含於沉積製程配方之對應的電漿活化配方階段中。在某些情況下,製程配方階段可依序地加以排列,使得沉積製程階段的所有指令係與該製程階段同時執行。在一些實施例中,用於設定一個以上電漿參數的指令可被包含在電漿製程階段之前的一配方階段中。舉例而言,第一配方階段可包含用於設定處理氣體及/或其個別成分之流率的指令、用於將電漿產生器設定至一功率設定點的指令、及該第一配方階段的時間延遲指令。第二後續的配方階段可包含賦能電漿產生器的指令及第二配方階段的時間延遲指令。第三配方階段可包含去能電漿產生器的指令及第三配方階段的時間延遲指令。應理解這些配方階段可在本揭示內容的範圍內以任何適合的方式進一步再分割及/或加以重複。
在一些實施例中,底座908可透過加熱器910加以溫度控制。此外,在一些實施例中,沉積處理工作站900的壓力控制可透過蝶形閥918加以提供。如圖9的實施例所示,蝶形閥918調節由下游真空泵(未顯示)提供的真空。然而,在一些實施例中,處理工作站900的壓力控制亦可藉由改變被導入處理工作站900之一個以上氣體的流率而加以調整。
在一些實施例中,此處提供的基板係在多工作站式工具內加以處理。圖10顯示多工作站式處理工具1000之實施例的示意圖,該多工作站式處理工具1000具有入站裝載鎖定部1002及出站裝載鎖定部1004,其中的一者或兩者可包含遠程電漿源。處於大氣壓力下的機器人1006係配置以將晶圓從卡匣(經由晶圓傳送盒(pod)1008裝載)通過大氣埠1010而移動到入站裝載鎖定部1002中。晶圓係由機器人1006放置在入站裝載鎖定部1002中的底座1012上,大氣埠1010係加以關閉,且裝載鎖定部係加以抽真空。若入站裝載鎖定部1002包含遠端電漿源,則晶圓在被引入處理腔室1014之前,可在裝載鎖定部中曝露於遠程電漿處理。此外,基板亦可同樣在入站裝載鎖定部1002中被加熱,以例如將水分及吸附的氣體移除。接著,通往處理腔室1014的腔室傳送埠1016係加以開啟,且另一機器人(未顯示)將晶圓放進反應器中,在反應器中顯示之第一工作站的底座上進行處理。
在圖10顯示的實施例中,所描繪的處理腔室1014包含四個處理工作站(編號從1到4)。各工作站具有加熱的底座(對於工作站1顯示為1018)及氣體管線入口。應理解在一些實施例中,各處理工作站可具有不同或多個用途。雖然所描繪的處理腔室1014包含四個工作站,但應理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。例如在一些實施例中,處理腔室可具有五個或更多的工作站,而在其他的實施例中,處理腔室可具有三個或更少的工作站。
圖10亦描繪晶圓搬運系統1090的實施例,其在處理腔室1014之內傳送晶圓。在一些實施例中,晶圓搬運系統1090可在各種處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。應理解任何適當的晶圓搬運系統可加以使用。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖10亦描繪系統控制器1050的一實施例,該系統控制器1050用於控制處理工具1000的製程條件及硬體狀態。系統控制器1050可包含一個以上記憶體裝置1056、一個以上大量儲存裝置1054、及一個以上處理器1052。處理器1052可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器1050控制處理工具1000的所有活動。系統控制器1050執行系統控制軟體1058,該系統控制軟體1058在大量儲存裝置1054內加以儲存,加載至記憶體裝置1056,並在處理器1052上加以執行。系統控制軟體1058可包含用於控制下述的指令:時序、氣體的混合、腔室及/或工作站壓力、腔室及/或工作站溫度、沖洗條件及時序、晶圓溫度、RF功率位準、RF頻率、基板、底座、夾盤、及/或基座的位置、及由處理工具1000執行之特殊製程的其他參數。系統控制軟體1058可以任何適合的方式加以配置。舉例而言,各種處理工具元件的副程式或控制物件可被撰寫,以根據所揭示的方法控制執行各種處理工具製程必須之處理工具元件的操作。系統控制軟體1058可以任何適合的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體1058可包含輸入/輸出控制(IOC)順序指令,用於控制上述各種參數。舉例而言,PEALD製程的各個階段可包含由系統控制器1050執行的一個以上指令。
儲存在與系統控制器1050相關聯之大量儲存裝置1054及/或記憶體裝置1056中的其他電腦軟體及/或程式,可在一些實施例中加以使用。用於此目的之程式或程式區段的例子包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載至底座1018之上,及控制在基板與處理工具1000的其他部分之間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分及流率,及選用性地在沉積之前用於將氣體流進一個以上處理工作站,以使處理工作站內的壓力穩定。該處理氣體控制程式可包含程式碼,用於將氣體成分及流率控制在任何所揭示的範圍之內。壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。該壓力控制程式可包含程式碼,用於將處理工作站內的壓力維持在任何所揭示的壓力範圍之內。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至基板的遞送。該加熱器控制程式可包含將基板的溫度維持在任何所揭示之範圍之內的指令。
電漿控制程式可包含程式碼,用於在一個以上處理工作站內設定施加至處理電極的RF功率位準及頻率,例如使用本文揭示的任何RF功率位準。該電漿控制程式亦可包含用於控制各電漿曝露之持續時間的程式碼。
在一些實施例中,可能有與系統控制器1050相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器1050調整的參數可能與製程條件有關。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF功率位準、頻率、及曝露時間)等。這些參數可以配方的形式提供給使用者,其可利用使用者介面加以輸入。
用於監控製程的訊號可由系統控制器1050的類比及/或數位輸入連接件自各種處理工具感測器加以提供。控制製程的訊號可在處理工具1000的類比及數位輸出連接件上加以輸出。可加以監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
任何適合的腔室可用以實現所揭示的實施例。示例的沉積設備包含但不限於來自ALTUS ®產品家族、VECTOR®產品家族、及/或SPEED®產品家族的設備,各者皆由Lam Research Corp., of Fremont, California市售,或任何各種其他市售的處理系統。兩個以上的工作站可執行相同的功能。類似地,兩個以上的工作站可執行不同的功能。各工作站可設計/配置成執行依期望的特定功能/方法。
圖11係適合用於根據某些實施例執行薄膜沉積製程之處理系統的方塊圖。系統1100包含傳送模組1103。該傳送模組1103提供乾淨的加壓環境,以當被處理的基板移動於各種反應器模組之間時,使被處理基板之污染的風險最小化。兩個多工作站式反應器1109及1110安裝在傳送模組1103上,每一者能夠根據某些實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。在其他實施例中,一個反應器可包含配置成執行ALD的工作站,而其他反應器可包含配置成執行蝕刻的工作站。反應器1109及1110可包含多個工作站1111、1113、1115、及1117,其可根據所揭示的實施例順序式地或非順序式執行這些操作。該等工作站可包含加熱的底座或基板支座、及一個以上氣體入口或噴淋頭或分散板。
在傳送模組1103上亦可安裝一個以上單一或多工作站式模組1107,其可執行電漿或化學(非電漿)之預清潔、或任何關於所揭示之方法描述的其他製程。模組1107在一些情況下可用於各種處理,以例如預備用於沉積製程的基板。模組1107亦可被設計/配置成執行諸如蝕刻或拋光的各種其他製程。系統1100亦包含一個以上晶圓來源模組1101,晶圓係在處理之前及之後加以儲存於其中。在常壓傳送腔室1119內的常壓機器人(atmospheric robot)(未顯示)可首先自來源模組1101調動晶圓至裝載鎖定部(loadlock)1121。在傳送模組1103內的晶圓傳送裝置(通常為機械手臂單元)從裝載鎖定部1121移動晶圓至安裝於傳送模組1103上的多個模組及移動於該多個模組之間。
在各種實施例中,系統控制器1129係用以在沉積期間控制製程條件。控制器1129通常包含一個以上記憶體裝置及一個以上處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
控制器1129可控制沉積設備的所有活動。系統控制器1129執行系統控制軟體,該系統控制軟體包含用於控制下述的指令集:時序、氣體的混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓夾盤或底座位置、及其他特殊製程的參數。在關於控制器1129之記憶體裝置內儲存的其他電腦程式可在一些實施例中加以使用。
通常有關於控制器1129的使用者介面。該使用者介面可包含設備及/或製程條件的顯示螢幕、圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
系統控制邏輯可以任何適合的方式加以配置。通常,邏輯可被設計或配置於硬體及/或軟體中。控制驅動電路的指令可為硬編碼或被提供成軟體。該指令可由「程式設計」加以提供。此程式設計係被理解為包含任何形式的邏輯,包含在數位訊號處理器、特殊應用積體電路、及其他具有實現為硬體之特定演算法之裝置中的硬編碼邏輯。程式設計亦係被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何適合的電腦可讀程式設計語言加以編碼。
用於控制製程序列中之含鍺還原劑脈衝、氫的流動、及含鎢前驅物脈衝、及其他製程的電腦程式碼可以任何傳統的電腦可讀程式設計語言加以撰寫,例如:組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或腳本係由處理器加以實行以執行在程式中所確定的任務。亦如所示,程式碼可為硬編碼。
控制器參數係與製程條件相關,例如:處理氣體成分和流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面加以輸入。用於監控製程的訊號可由系統控制器1129的類比及/或數位輸入連接件加以提供。用於控制製程的訊號係在系統1100的類比及數位輸出連接件上加以輸出。
系統軟體可以許多不同的方式加以設計或配置。舉例而言,各種腔室元件的副程式或控制物件可被撰寫,以根據所揭示的實施例控制執行沉積製程(及在一些情況下的其他製程)必須的腔室元件之操作。為了此目的之程式或程式部分的例子包含基板定位碼、處理氣體控制碼、壓力控制碼、及加熱器控制碼。
在一些實施方式中,控制器1129為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統的各種元件或子部分。依據系統的處理需求及/或類型,控制器1129可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統內的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器可定義為電子設備,具有各種積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一個以上處理步驟。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據指定在一個以上操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一個以上分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一個以上積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一個以上積體電路,其結合以控制腔室內的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。 進一步的實施方式
本文描述的設備及製程可結合微影圖案化的工具或製程(例如用於半導體元件、顯示器、LED、太陽光電板等的製造或生產)而加以使用。通常,雖然不一定,此等設備及製程將於共同的製造設施內一起使用或執行。膜的微影圖案化一般包含一些或全部下列步驟,各個步驟以幾個可能的工具達成:(1)工件(亦即基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光阻的固化,使用熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻及從而使其圖案化,使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝離器的工具移除光阻。
1:處理工作站 2:處理工作站 3:處理工作站 4:處理工作站 101:心軸 103:ESL(層)/矽氧化物層 105:目標層/硬遮罩層 107:層/BEOL層 109:SnO層(SnO間隔件) 701:步驟 703:步驟 705:步驟 801:步驟 803:步驟 805:步驟 807:步驟 809:步驟 811:步驟 900:處理工作站 901:反應物遞送系統 902:處理腔體 903:氣化點 904:混合容器 905:入口閥 906:噴淋頭 907:微容積 908:底座 910:加熱器 912:基板 914:RF電源供應器 916:匹配網路 918:蝶形閥 920:入口閥 1000:處理工具 1002:入站裝載鎖定部 1004:出站裝載鎖定部 1006:機器人 1008:晶圓傳送盒 1010:大氣埠 1012:底座 1014:處理腔室 1016:腔室傳送埠 1018:底座 1050:系統控制器 1052:處理器 1054:大量儲存裝置 1056:記憶體裝置 1058:系統控制軟體 1090:晶圓搬運系統 1100:系統 1101:來源模組 1103:傳送模組 1107:模組 1109:反應器 1110:反應器 1111:工作站 1113:工作站 1115:工作站 1117:工作站 1119:常壓傳送腔室 1121:裝載鎖定部 1129:控制器
圖1-6根據本文描述的實施例顯示正經歷處理之半導體基板的示意性橫剖面圖。
圖7係根據本文提供的實施例之處理方法的製程流程圖。
圖8係根據本文提供的實施例之處理方法的製程流程圖。
圖9係電漿加強原子層沉積(PEALD)製程工作站的示意圖,該PEALD製程工作站係適合用於根據本文提供的實施例沉積SnO層。
圖10根據本文提供的實施例顯示多工作站式處理工具的示意圖。
圖11係根據本文提供的實施例之配置成沉積及後處理薄膜之處理工具的方塊圖。
701:步驟
703:步驟
705:步驟

Claims (23)

  1. 一種處理半導體基板的方法,包含:(a)在一處理腔室之中,在一半導體基板之上沉積一含錫材料,或自一半導體基板蝕刻一含錫材料;以及(b)在(a)之後,藉由將該處理腔室與一含氫氣體接觸以形成一揮發性的含錫產物而清潔該處理腔室,其中(b)包含將錫氟化物轉化為錫氫化物。
  2. 如請求項1之處理半導體基板的方法,其中(b)包含將電漿中的該含氫氣體活化。
  3. 如請求項1之處理半導體基板的方法,進一步包含:在(a)之後,自該處理腔室移除該半導體基板。
  4. 如請求項1之處理半導體基板的方法,其中(a)包含沉積該含錫材料。
  5. 如請求項1之處理半導體基板的方法,其中(a)包含蝕刻該含錫材料。
  6. 如請求項1之處理半導體基板的方法,其中該含氫氣體包含H2
  7. 如請求項1之處理半導體基板的方法,其中該含氫氣體包含NH3
  8. 如請求項1之處理半導體基板的方法,其中該含氫氣體包含H2及NH3
  9. 如請求項1之處理半導體基板的方法,其中該揮發性的含錫產物包含錫氫化物。
  10. 如請求項1之處理半導體基板的方法,其中(a)包含以基於鹵素的化學品蝕刻錫氧化物。
  11. 如請求項1之處理半導體基板的方法,其中(b)包含沖洗及/或排空該處理腔室,以移除該揮發性的含錫產物。
  12. 一種處理半導體基板的設備,包含:一處理腔室,具有一基板固持器,該基板固持器係配置成在處理期間固持該半導體基板;一入口,用於引入反應物;及一控制器,包含程式指令,用於進行:(i)在該處理腔室之中,在該半導體基板之上沉積一含錫材料,或自該半導體基板蝕刻一含錫材料,以及(ii)在(i)之後,藉由將該處理腔室與一含氫氣體接觸以形成揮發性的含錫產物而清潔該處理腔室,其中用於(ii)的該程式指令包含用於將錫氟化物轉化為錫氫化物的程式指令。
  13. 如請求項12之處理半導體基板的設備,其中(ii)更包含將電漿中的該含氫氣體活化。
  14. 如請求項12之處理半導體基板的設備,其中該含氫氣體包含H2、NH3、或其組合。
  15. 如請求項12之處理半導體基板的設備,其中該含錫材料係錫氧化物。
  16. 如請求項12之處理半導體基板的設備,其中(i)包含在該半導體基板之上沉積該含錫材料。
  17. 如請求項12之處理半導體基板的設備,其中(i)包含自該半導體基板蝕刻該含錫材料。
  18. 如請求項12之處理半導體基板的設備,其中(ii)更包含排空及/或沖洗該處理腔室,以移除該揮發性的含錫產物。
  19. 如請求項12之處理半導體基板的設備,其中該含氫氣體包含H2
  20. 一種處理半導體基板的方法,包含:(a)在一處理腔室之中,在一半導體基板之上沉積一含錫材料,或自一半導體基板蝕刻一含錫材料;以及 (b)在(a)之後,藉由將該處理腔室與一含氫氣體接觸以形成一揮發性的含錫產物而清潔該處理腔室,其中該含氫氣體包含NH3
  21. 如請求項20之處理半導體基板的方法,其中該含氫氣體包含NH3及H2
  22. 一種處理半導體基板的設備,包含:一處理腔室,具有一基板固持器,該基板固持器係配置成在處理期間固持該半導體基板;一入口,用於引入反應物;及一控制器,包含程式指令,用於進行:(iii)在該處理腔室之中,在該半導體基板之上沉積一含錫材料,或自該半導體基板蝕刻一含錫材料,以及(iv)在(i)之後,藉由將該處理腔室與一含氫氣體接觸以形成揮發性的含錫產物而清潔該處理腔室,其中該含氫氣體包含NH3
  23. 如請求項22之處理半導體基板的設備,其中該含氫氣體包含NH3及H2
TW111123354A 2016-06-28 2017-06-26 在半導體裝置製造中之錫氧化物薄膜間隔件 TWI824577B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/195,348 US9824893B1 (en) 2016-06-28 2016-06-28 Tin oxide thin film spacers in semiconductor device manufacturing
US15/195,348 2016-06-28

Publications (2)

Publication Number Publication Date
TW202240656A TW202240656A (zh) 2022-10-16
TWI824577B true TWI824577B (zh) 2023-12-01

Family

ID=60320531

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106121182A TWI770033B (zh) 2016-06-28 2017-06-26 在半導體裝置製造中之錫氧化物薄膜間隔件
TW111123354A TWI824577B (zh) 2016-06-28 2017-06-26 在半導體裝置製造中之錫氧化物薄膜間隔件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106121182A TWI770033B (zh) 2016-06-28 2017-06-26 在半導體裝置製造中之錫氧化物薄膜間隔件

Country Status (6)

Country Link
US (4) US9824893B1 (zh)
JP (3) JP7096537B2 (zh)
KR (4) KR102416350B1 (zh)
CN (3) CN111769038A (zh)
SG (2) SG10201704783YA (zh)
TW (2) TWI770033B (zh)

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100069614A1 (en) 2008-06-27 2010-03-18 Merus B.V. Antibody producing non-human mammals
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019098208A1 (ja) 2017-11-17 2019-05-23 三井化学株式会社 半導体素子中間体、金属含有膜形成用組成物、半導体素子中間体の製造方法、半導体素子の製造方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11355353B2 (en) * 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102560240B1 (ko) * 2018-05-01 2023-07-28 어플라이드 머티어리얼스, 인코포레이티드 선택적 식각 프로세스들을 위해 선택성을 증가시키는 방법들
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020061484A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN112368808A (zh) * 2018-12-20 2021-02-12 玛特森技术公司 天然氧化物穿通后的硅芯轴刻蚀
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20240031441A (ko) * 2019-06-27 2024-03-07 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110504482A (zh) * 2019-08-08 2019-11-26 南开大学 固态锂电池石榴石型固态电解质材料的制备及表面处理方法与应用
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202129832A (zh) * 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230041972A (ko) * 2020-07-23 2023-03-27 램 리써치 코포레이션 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022163526A (ja) 2021-04-14 2022-10-26 東京エレクトロン株式会社 基板処理方法
US20240191350A1 (en) * 2021-04-21 2024-06-13 Lam Research Corporation Minimizing tin oxide chamber clean time
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113481485B (zh) * 2021-07-13 2023-09-05 南方科技大学 锡氧化物薄膜及其制备方法、太阳能电池及其制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20240006188A1 (en) 2022-06-29 2024-01-04 Tokyo Electron Limited Plasma processing method and plasma processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5607602A (en) * 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
TW201410914A (zh) * 2012-09-04 2014-03-16 Matheson Tri Gas Inc 透明導電薄膜處理腔室的原位清潔

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) * 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
JP4127869B2 (ja) * 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
AU2001259119A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
CN100416840C (zh) * 2002-11-01 2008-09-03 株式会社半导体能源研究所 半导体装置及半导体装置的制作方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) * 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
KR100968389B1 (ko) * 2004-02-09 2010-07-07 아사히 가라스 가부시키가이샤 투명전극의 제조 방법
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) * 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8317929B2 (en) * 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) * 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) * 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) * 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
JP5642967B2 (ja) 2007-11-22 2014-12-17 関東化学株式会社 エッチング液組成物
WO2009073857A1 (en) 2007-12-06 2009-06-11 Intevac, Inc. System and method for commercial fabrication of patterned media
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) * 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
WO2010071034A1 (en) 2008-12-19 2010-06-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing transistor
CN102428544B (zh) 2009-05-20 2014-10-29 株式会社东芝 凹凸图案形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) * 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
WO2014010310A1 (ja) 2012-07-10 2014-01-16 シャープ株式会社 半導体素子の製造方法
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9607834B2 (en) 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
WO2016172116A1 (en) 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
CN107924816B (zh) 2015-06-26 2021-08-31 东京毅力科创株式会社 具有含硅减反射涂层或硅氧氮化物相对于不同膜或掩模的可控蚀刻选择性的气相蚀刻
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
US20210249273A1 (en) 2018-05-08 2021-08-12 Sony Semiconductor Solutions Corporation Etching method of oxide semiconductor film, oxide semiconductor workpiece, and electronic device
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
KR20240031441A (ko) 2019-06-27 2024-03-07 램 리써치 코포레이션 교번하는 에칭 및 패시베이션 프로세스

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5607602A (en) * 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
TW201410914A (zh) * 2012-09-04 2014-03-16 Matheson Tri Gas Inc 透明導電薄膜處理腔室的原位清潔

Also Published As

Publication number Publication date
SG10201704783YA (en) 2018-01-30
JP2022120077A (ja) 2022-08-17
TWI770033B (zh) 2022-07-11
KR20230074079A (ko) 2023-05-26
KR102439391B1 (ko) 2022-09-01
JP7096537B2 (ja) 2022-07-06
US20180012759A1 (en) 2018-01-11
KR102533971B1 (ko) 2023-05-18
JP2018006742A (ja) 2018-01-11
CN107546106B (zh) 2020-12-25
KR20220099524A (ko) 2022-07-13
TW201812834A (zh) 2018-04-01
JP7414891B2 (ja) 2024-01-16
US9824893B1 (en) 2017-11-21
SG10202012976TA (en) 2021-01-28
KR20200034699A (ko) 2020-03-31
US11183383B2 (en) 2021-11-23
KR102416350B1 (ko) 2022-07-01
CN107546106A (zh) 2018-01-05
US20200219725A1 (en) 2020-07-09
US11784047B2 (en) 2023-10-10
KR20180002026A (ko) 2018-01-05
US11031245B2 (en) 2021-06-08
TW202240656A (zh) 2022-10-16
CN111769038A (zh) 2020-10-13
JP2024029147A (ja) 2024-03-05
US20210242019A1 (en) 2021-08-05
CN112701029A (zh) 2021-04-23

Similar Documents

Publication Publication Date Title
TWI824577B (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
CN111886689A (zh) 无倒角通孔集成方案
TW201826344A (zh) 內連線結構用之複合介電界面層
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
JP7396998B2 (ja) 炭素膜の原子層堆積
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
WO2022132642A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing