CN113891954A - 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模 - Google Patents

通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模 Download PDF

Info

Publication number
CN113891954A
CN113891954A CN202080039821.XA CN202080039821A CN113891954A CN 113891954 A CN113891954 A CN 113891954A CN 202080039821 A CN202080039821 A CN 202080039821A CN 113891954 A CN113891954 A CN 113891954A
Authority
CN
China
Prior art keywords
power
ahm
film
plasma
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080039821.XA
Other languages
English (en)
Inventor
马修·斯科特·韦默
普拉莫德·苏布拉莫尼姆
拉格什·普顿科维拉卡姆
白如君
大卫·弗伦奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113891954A publication Critical patent/CN113891954A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了通过使低频射频部分在高功率下脉冲化而在衬底上沉积可灰化硬掩模(AHM)的方法和相关设备。使低频功率脉冲化可用于增加AHM的选择性或减小AHM的应力。接着可利用AHM将特征蚀刻至衬底的下伏层中。

Description

通过高功率脉冲低频率RF产生的高选择性、低应力、且低氢的 类金刚石碳硬掩模
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
在半导体处理中,包括在存储器和逻辑器件制造中,非晶碳膜可用作硬掩模和蚀刻停止层。这些膜也称为可灰化硬掩模(AHM),因为其可通过灰化技术移除。随着光刻中的深宽比增加,AHM需要更高的蚀刻选择性。目前的使用等离子体增强化学气相沉积(PECVD)而形成高选择性AHM的方法造成具有高应力的AHM,从而限制AHM作为硬掩模的用途。因此,需要产生具有高蚀刻选择性但低应力的AHM。
在此包含的背景和上下文的描述仅针对整体呈现公开内容的上下文的目的而提供。本公开内容的许多呈现发明人的成果,且单纯由于如此成果在背景技术部分中描述或在本文其他位置呈现为上下文并不表示将认为是现有技术。
发明内容
在此公开的是通过减低应力水平且增加蚀刻选择性的等离子体增强化学气相沉积(PECVD)而沉积可灰化硬掩模(AHM)膜的方法和系统。在多种实施方案中,该方法可涉及将衬底暴露于包含烃前体的处理气体,并且利用双射频(RF)源通过使低频(LF)功率脉冲化而产生等离子体。使LF功率在高功率、高频率以及低工作周期(DC)下脉冲化可增加AHM膜的模量,且因此增加AHM膜的选择性。基本上为氦的载气也可减少AHM膜的溅射。
在本文的实施方案的一个方面中,提供了一种形成可灰化硬掩模(AHM)膜的方法,该方法可以包含:将半导体衬底暴露于处理气体,所述处理气体可以包含烃前体气体和氦气,基本上不具有任何其他惰性气体;以及通过等离子体增强化学气相沉积(PECVD)处理,在所述半导体衬底上沉积AHM膜,其中所述处理包含:点燃由包含高频(HF)部分和低频(LF)部分的双射频(RF)等离子体源产生的等离子体,所述HF功率在沉积期间不变,且所述LF功率以每300mm晶片至少约3000W以及介于约10%与约75%之间的工作周期脉冲化。
在一些实现方案中,所述烃前体气体可以包含具有至多约50g/mol的分子量的化合物。在一些实现方案中,所述烃前体气体可以包含C:H比至少为0.5的化合物。在一些实现方案中,所述烃前体气体可以包含乙炔(C2H2)。在一些实施方案中,所述烃前体气体具有所述处理气体的约1-2%之间的分压。
在多种实施方案中,所述LF功率在低于或等于约2MHz的频率下提供。在多种实施方案中,所述LF功率介于每300mm晶片约3500W与约6500W之间。在一些实现方案中,所述LF功率是在至少约100Hz的频率下脉冲化。在一些实现方案中,所述LF功率是在约100Hz与约1000Hz之间的频率下脉冲化。
在一些实施方案中,所述LF功率的工作周期介于约10%与约50%之间。在多种实施方案中,所述LF功率的工作周期介于约60%与约90%之间。在多种实现方案中,所述LF功率具有介于约200微秒与约300微秒之间的持续时间的开启时间段。在一些实施方案中,所述方法可以在多站反应器中执行。
在多种实现方案中,所述AHM膜的内应力为至多约-1400MPa。在多种实施方案中,所述AHM膜的模量为至少约80GPa。在一些实施方案中,所述AHM膜的密度为至少约1.5g/cm3
在一些实现方案中,所述AHM膜的氢浓度为至多约25原子%。在多种实施方案中,所述AHM膜的厚度为至多约2500nm。在一些实施方案中,所述基座与所述喷头之间的间隙小于约20mm。
在一些实施方案中,所述方法还可以包含将所沉积的所述AHM膜图案化及蚀刻图案化的所述AHM膜,以限定所述衬底中的所述AHM膜的特征。在多种实施方案中,所述方法还可以包含蚀刻所述衬底中在所述AHM膜下方的层。
在本文的实施方案的另一个方面,提供了一种形成可灰化硬掩模(AHM)膜的方法,该方法可以包含:将半导体衬底暴露于处理气体,所述处理气体可以包含烃前体气体和惰性气体;以及通过等离子体增强化学气相沉积(PECVD)处理,在所述半导体衬底上沉积AHM膜,其中所述处理可以包含:点燃由包含高频(HF)部分和低频(LF)部分的双射频(RF)等离子体源产生的等离子体,所述HF功率在沉积期间不变,且所述LF功率是在每300mm晶片至少约3000W且每工作周期的LF功率开启时间为少于300微秒的情况下脉冲化。
在一些实现方案中,所述LF功率的工作周期介于约10%与50%之间。在多种实施方案中,所述LF功率开启时间介于200微秒与300微秒之间。在一些实现方案中,所述LF功率是在至少100Hz的频率下脉冲化。
这些及其他特征将在下文参考附图更详细地说明。
附图说明
图1是显示根据多种实施方案在蚀刻操作中使用可灰化硬掩模的相关方法操作的流程图。
图2A和2B是图案化可灰化硬掩模的线弯曲的示图。
图3为显示根据多种实施方案通过调制双射频等离子体产生器形成可灰化硬掩模的相关方法操作的流程图。
图4A-C为关于使低频(LF)功率脉冲化的多种性质的示图。
图5显示了针对多种实施方案的作为LF功率的函数的可灰化硬掩模的折射率的图表。
图6显示了针对多种实施方案的作为应力的函数的可灰化硬掩模的折射率的图表。
图7显示了适用于实施多种实施方案的等离子体增强化学气相沉积(PECVD)室的示意图。
图8显示了适用于实施多种实施方案的另一等离子体增强化学气相沉积(PECVD)室的另一示意图。
图9显示了适用于实施多种实施方案的模块集群的示意图。
具体实施方式
引言及背景
在半导体处理中,将遮蔽方法用于图案化和蚀刻衬底。当衬底深宽比增加时,对于高度选择性硬掩模的需求也增加。具有高蚀刻选择性但易于移除而不损坏衬底的掩模对于处理衬底是重要的。可灰化硬掩模(AHM)可用作在蚀刻停止层中或选择性蚀刻期间、或光致抗蚀剂可能未厚到足以遮蔽下伏层的情形中的掩模。AHM也可以使用在用于显示和其他技术的玻璃衬底上。
AHM膜具有容许其在一旦已发挥其作用时通过称为“灰化”、“等离子体灰化”、或“干式剥离”的技术移除的化学组成。AHM膜的一示例为非晶碳层或膜。AHM膜通常由碳和氢以及任选的微量的一或更多种掺杂物(例如氮、氟、硼和硅)构成。AHM的键合构造可以在sp2(类石墨)或sp3(类金刚石)、或其组合间变化,具体取决于沉积条件。
图1为显示根据多种实施方案在蚀刻操作中使用可灰化硬掩模的相关方法操作的流程图。虽然以下描述主要参照半导体衬底,但该方法也可应用于其他类型的衬底的层上,包括玻璃衬底。可利用AHM进行遮蔽的材料的示例包含例如氧化物(如SiO2)及氮化物(如SiN和TiN)之类的介电材料、多晶硅(多晶Si)、及例如铝(A1)、铜(Cu)和钨(W)之类的金属。在若干实施方案中,将此处所述的AHM用于使氧化物、氮化物、或多晶硅层图案化。
在操作102中,通过等离子体增强化学气相沉积(PECVD)将可灰化硬掩模沉积在待蚀刻层上。PECVD处理涉及在沉积室中产生等离子体。如以下参照图2进一步描述的,可以使用包含高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。在一些处理中,沉积一或更多AHM层。
在操作106中,根据所需的蚀刻图案,而使光致抗蚀剂层沉积、暴露以及显影。在一些实施方案中,可以在光致抗蚀剂沉积之前在AHM膜上沉积抗反射层(ARL)。
在操作108中,通过蚀刻AHM的暴露部分而使AHM膜产生开口。使AHM产生开口可通过富含氟的干式蚀刻来执行。
接着,在操作110中,使衬底层选择性地被蚀刻,以将图案转移至衬底层。选择性蚀刻可执行为使得衬底层被蚀刻而基本上不削减AHM壁。蚀刻的示例可包含基于自由基和/或基于离子的蚀刻。蚀刻化学品的示例可包含基于卤素的蚀刻化学品,例如含氟和含氯蚀刻化学品。举例而言,从含氟碳化合物处理气体产生的电容耦合等离子体可用于选择性蚀刻氧化物层。处理气体的具体示例包括可选地伴有氧(O2)和惰性气体的含CxFy处理气体,例如C4H8/CH2F2/O2/Ar。
最后,在操作112中,将称为灰化、等离子体灰化、或干式剥离的技术用于移除AHM。灰化可通过富含氧的干式蚀刻来执行。通常,氧在真空下引入室中,且RF功率产生等离子体中的氧自由基,以与AHM反应并将其氧化成水(H2O)、一氧化碳(CO)以及二氧化碳(CO2)。任选地,任何剩余的AHD残留物也可以再灰化后通过湿式或干式蚀刻处理进行移除。结果为图案化的衬底层。
高深宽比图案化使用具有高蚀刻选择性的AHM。蚀刻选择性可通过比较AHM层比下伏层的蚀刻速率来判定。蚀刻选择性有时可通过判定AHM层的氢含量、折射率(RI)、密度、以及模量、或刚性来取得近似值。通常,较低氢含量、较低RI、较高密度以及较高模量、或更具刚性的AHM能够在涉及更多离子轰击的蚀刻处理中承受更高蚀刻速率。因此,具有较低氢含量、较低RI、较高密度、和/或较高模量的AHM具有较高选择性及较低蚀刻速率,且可更有效率且有效地用于处理高深宽比半导体处理。所需的AHM的蚀刻选择性可取决于蚀刻处理以及下伏层的组成,但不论蚀刻处理以及下伏层的组成为何,蚀刻选择性与以上的材料性质之间的相关性都维持相同。此处所述的选择性相关性适用于所有类型的下伏层,包括多晶硅层、氧化物层以及氮化物层。
已观察到使用连续波(CW)LF和HF等离子体生产出的AHM膜可能有若干问题。举例而言,其可能具有相对高的内应力、高氢含量、低密度、和/或低硬度/模量。下一代存储器和逻辑应用的持续缩减特征尺寸需要在图案已蚀刻进入膜堆叠件中之后不展现明显的特征的线弯曲或扭曲量。图2A-B为光致抗蚀剂的线弯曲的示图。图2A显示了图案化AHM的特征200,其具有高度或厚度“h”和宽度“w”。图2A没有线弯曲,其对于AHM的特征而言为理想状况。图2B显示了相同的特征,但具有线弯曲,其可具有竖直方面223和水平方面225。如图所示,线弯曲可以表现为弯曲的、带角度的、或以其他方式弯折的水平分量。在一些情形中,线弯曲表现为竖直分量,其从垂直(正交)于直线形成于其上的衬底平面背离。在所描绘的实施方案中,线具有扇形。线弯曲因多种理由而并非所期望的,尤其是因为线弯曲增加线边缘粗糙度(LER)以及线宽粗糙度(LWR),并减少AHM及使用AHM蚀刻的下伏层的关键尺寸均匀性(CDU)。总体上,线弯曲可能造成图案已蚀刻至膜堆叠件中之后的特征扭曲。
AHM的线弯曲可以通过以下方程式粗略地建模:
Figure BDA0003379611160000061
其中σ和E分别为AHM的内压缩应力和模量。该方程式显示线弯曲与应力和高度直接相关,随着应力或高度(即厚度)的增大而增大,但与模量以及宽度反向相关,随着模量或宽度的增大而减小。当特征尺寸缩减时,AHM特征的宽度减少以符合新的关键尺寸需求。此外,对于蚀刻处理所需的AHM的宽度与其选择性呈反比;较高选择性容许较薄的AHM,且较低选择性需要较厚的AHM。因此,线弯曲可以通过减小应力、增大模量、或减小厚度来减小,但减小厚度需要增大选择性。
高度选择性的AHM膜通常具有高应力水平。一些形成AHM的方法在PECVD处理中使用连续波RF功率等离子体。使用连续波RF功率导致连续的离子轰击,其增大膜密度、从而通过在碳原子之间产生更多sp3键而增大蚀刻选择性。然而,连续离子轰击也可能使膜中多余的未键合的氢原子结合,并且通过以重原子量离子轰击而使生长中的膜改性。这些效应可能增大所沉积的AHM膜的应力,从而限制AHM应用,因为高应力AHM更可能呈现线弯曲。
另一方面,具有低应力水平及伴随的较少线弯曲的AHM具有较低选择性。一些形成AHM的方法在PECVD处理期间使RF功率等离子体脉冲化。使RF功率脉冲化导致脉冲式离子轰击,从而降低应力水平,进而减少线弯曲。然而,脉冲式离子轰击也可能减少sp3键的数量,其导致较低密度以及较低选择性。对于相同的蚀刻处理而言,较低选择性需要较厚的AHM,从而增加线弯曲量。
根据多种实施方案,形成AHM的方法产生具有高选择性以及低应力的膜。AHM膜沉积技术使用高单站LF功率下的低频率(LF)RF脉冲化(具有或不具有连续波(CW)高频(HF)RF),以减小可用作AHM的类金刚石碳(DLC)膜的内应力(使应力更为中性)、减少氢含量、并增大选择性。这些方法产生具有给定应力水平下的经改善的选择性、或给定选择性下的应力水平减小的AHM,因此改善了半导体处理中的AHM性能。
在多种实施方案中,AHM沉积技术使用高单站LF功率下的低频率(LF)RF脉冲化(具有或不具有连续波(CW)高频(HF)RF),以在用作可灰化硬掩模(AHM)时减少类金刚石碳(DLC)膜的内应力(使应力更加中性)、减少氢含量、并增大选择性。对此处理可有三个主要部分。首先,可在各站使用高LF功率。在多种实施方案中,处理的总范围为每站3500W至6500W的LF功率,而有明显的DLC膜的应力减小以及致密化。第二,使用基本上仅含有氦的载气。为了均匀起见,通常使用氩来帮助控制(contain)等离子体。然而,氩离子可能在高离子能量下溅射AHM,从而减小密度和选择性。第三,快速脉冲化频率和低工作周期造成短LF“开启时间”,并且容许等离子体增加尖峰离子能量但维持低平均离子密度。换言之,由于快速LF脉冲化,所以有比连续波等离子体更少的具有较高能量的离子。高LF功率的益处在于采用脉冲化时会是最明显的。
某些实施方案在仅有He/烃前体大气中使用高每站LF功率下相对快速(25%工作周期下大于100Hz)的LF脉冲化,其中具有或不具有CW HF。
图3显示了根据多种实施方案通过调制双RF等离子体功率形成AHM的相关方法操作的流程图。在操作302中,在处理室中接收衬底。该衬底可以在该操作中提供至室,或者该衬底可以从先前操作起就已在室中。在操作304中,使衬底暴露于包含烃前体的处理气体。除了烃前体外,也可以使用惰性气体载体。惰性气体可以包含氦(He)、氩(Ar)、氮(N2)、氢(H2)、或这些中的任何一些的组合。在一些实施方案中,惰性气体基本上完全为氦。
接着,在操作306中,通过利用双RF等离子体源点燃等离子体,以产生具有脉冲式低频(LF)部分和高频(HF)部分的等离子体,经由PECVD处理将可灰化硬掩模沉积在衬底上。脉冲式LF部分可通过使LF功率源脉冲化而产生。在一些实施方案中,使LF RF功率脉冲化包含使用高功率、快速脉冲、以及低工作周期来产生具有低平均离子密度的高尖峰能量离子轰击。
操作306的结果为AHM膜。该处理产生具有更佳的密度比应力的比和更高选择性的膜。取决于LF功率的工作周期,可调整脉冲化频率以维持高平均离子能量,同时改变平均离子密度。在一些实施方案中,可减小DC以产生低模量、低应力的膜。在其他实施方案中,可增大DC以产生高模量、高应力的膜。增大DC也可增加AHM膜的沉积速率。该两类型的膜都会是合乎期望的,具体取决于其他处理条件。
建议机制
图4A-C呈现了如何使LF功率脉冲化以及如何可改善AHM沉积物的沉积结果。图4A显示了相对时间的脉冲式LF功率以及相关联的工作周期。在时间402,LF功率开启、或设定在高功率,而在时间404,LF功率关闭、或设定在低功率。如图所示且如本技术领域中所公知的,工作周期由方程式DC=ton/(ton+toff)所定义,且代表功率开启或设定在高功率的时间百分比。工作周期及脉冲频率可一起用于判定LF功率开启的时间,即,具有25%的工作周期的100Hz脉冲频率表示LF功率开启2.5ms、且关闭7.5ms。
图4B为在LF功率按照根据本公开内容的形成AHM膜的可能机制开启和关闭时,AHM沉积期间的衬底表面的示图。状态410显示了LF功率开启时的衬底表面。LF功率大体上对等离子体的离子部分充能,且在LF功率开启时,碳离子412轰击衬底的表面。如以上所讨论的,离子轰击可增大密度,但较高密度的带电离子也可能不良地增大AHM的应力,且也可能形成带电表面414。状态420显示了LF功率关闭时的衬底表面的可能状况。当LF功率关闭时,离子轰击停止或大幅减少,且嵌入衬底表面中的离子吸收电子422以生成中性电荷。虽然不希望受理论约束,但这使得离子能形成更有秩序的结构424,从而减少衬底表面内的应力,这是合乎期望的。然而,这种应力的减小可能以密度(且因此选择性)减小为代价。通过使LF功率脉冲化,可以使表面在状态410与420之间改变,以沉积具有内应力减小的AHM。
图4C为随着时间推移的等离子体温度的图表,其中温度以电子伏特计算。当LF功率脉冲化时,在激发时有短暂的高离子能量尖峰442,然后是温度稳定化的能量平台444,且最后为LF功率关闭时的低温度区间446。能量尖峰具有基本上静态的持续时间,即不论使LF功率脉冲化多快,能量尖峰将持续基本上相同的时间量。另一方面,能量平台444维持存在更多或更少时间,具体取决于LF功率开启多久。因此,在高脉冲频率和低工作周期下,LF功率呈现较高的平均离子能量,但是维持低平均离子密度。在特定的能量范围内,较高平均离子能量增加硬掩模的模量,这是合乎期望的,而低平均离子密度减少应力,这也是合乎期望的。
在一些实施方案中,可增加工作周期,以增大平均离子密度,其可导致较致密、较高模量的AHM,代价是额外的应力。使用较高DC沉积的AHM膜仍可通过使用此处公开的技术而具有较高平均离子能量,由此导致具有比具有相同量的内应力的其他膜更佳的选择性的膜。
等离子体还包含惰性气体,在一些实施方案中,惰性气体为氦,基本上无任何其他气体。例如氩之类的较重惰性气体通常为了均匀起见而用于帮助控制(contain)等离子体,然而,这样的离子可以在大于3000W的离子能量下溅射AHM。这对于沉积处理显然是不合乎期望的。在低离子能量下可使用氦而不溅射AHM,这是合乎期望的,且导致更均匀的沉积。
处理窗
此章节描述了多种可用于产生AHM膜的处理参数。处理参数针对发生在例如以下描述的处理室之类的处理室中的等离子体增强化学气相沉积处理而提供。
在多种实施方案中,处理室中的总压强介于约0.5托与约20托之间。在一些实施方案中,压强介于约5托与约10托之间、或介于约0.5托与约1.5托之间。在一些实施方案中,烃前体在相对低分压(例如介于约0.01托与约4托之间)下存在于处理室中,例如在美国专利No.7,981777以及No.7,981,810中所讨论的,这些专利通过引用整体并入此处。在某些实施方案中,烃前体分压为约0.2托以下。
在一些实施方案中,烃前体是由化学式CxHy所定义的烃前体,其中X为2与10之间的整数,且Y为2与24之间的整数。示例包含甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)、以及甲苯(C7H8)。在某些实施方案中,烃前体为卤化烃,其中一或更多氢原子被卤素取代,尤其是被氟、氯、溴、和/或碘取代。在一些实施方案中,烃前体包含具有最多约50g/mol的分子量的化合物。在一些实施方案中,烃前体具有至少1:2的C:H比。在一些实施方案中,烃前体为乙炔(C2H2)。在一些实施方案中,可以使用两种或更多种烃前体。
在一些实施方案中,惰性气体包含占全部所使用惰性气体的体积的至少约50%或至少约80%或至少约95%的氦。在一些实施方案中,惰性气体为氦,基本上不具有任何其他惰性气体。
前体气体的体积流速取决于特定处理室、衬底以及其他处理条件。可用于单一300mm衬底的体积流速的示例为约10sccm与约1000sccm之间的乙炔以及约250sccm与约5000sccm之间的氦。在一些实施方案中,乙炔的流速在总流速的约1%与约3%之间,且氦构成总流速的剩余部分。在一些实施方案中,体积流量为介于约15sccm与约45sccm之间的C2H2、以及介于约1455sccm与约1485sccm之间的氦。在一些实施方案中,体积流量为介于约18sccm与约20sccm之间的C2H2、以及介于约1480sccm与约1482sccm的氦,所有值都针对300mm的衬底。在一些实施方案中,体积流速为介于约40sccm与约45sccm的C2H2,且介于约1455sccm与约1460sccm的氦。除非另外指明,否则此处公开的流速皆用于针对300mm晶片而配置的单站工具。流速大致随着站的数目以及衬底面积而线性增减。
此处描述的AHM膜沉积方法可以在任何适当的处理温度下执行,以获得所需的AHM特性,例如在约50℃至约550℃的范围内。在一些实施方案中,处理温度介于约100℃与约200℃之间。在一些实施方案中,处理温度介于约150℃与约175℃之间。处理温度至少部分由于sp2键对比sp3键形成而可能影响应力、选择性以及通透性。较高温度有利于富含sp2非晶碳网络形成,因为高温使C-H键容易断开并且实现后续的氢扩散。举例而言,在高于约500℃的温度下沉积的膜可以具有显著较多的sp2 CH和CH2键、与相对较少的sp3键,其具有增加的碳含量以及较高密度,且与增加的蚀刻选择性相关。然而,这些富含sp2的膜可能不适合厚硬掩模应用。举例而言,在
Figure BDA0003379611160000111
以上,膜可能对于掩模对准不够通透。633nm激光可用于通透膜及半通透膜,但无法用于更不通透的膜,例如在高温下产生的膜。先前整体并入于此作为参考的美国专利No.7,981,810提供用于在较低温度下且/或利用稀释烃前体流来沉积选择性且通透的AHM的处理条件。较低温度下(例如低于约400℃)沉积的AHM膜可以比较高温度下沉积的膜具有更少的sp2键。
在一些实施方案中,低频(LF)RF功率意指具有介于约100kHz与约2MHz之间的频率的RF功率。在一些实施方案中,脉冲化频率可受限于LF产生器的运行能力。在一些实施方案中,LF RF功率具有具备约400kHz的频率(例如430kHz)的RF功率。高频RF功率意指具有介于约2MHz与约60MHz之间的频率的RF功率。在一些实施方案中,HF RF功率具有具备约13.56MHz的频率的RF功率。
在一些实施方案中,HF和LF RF部分可以同步方式脉冲化。如果使HF部分脉冲化,其从高功率脉冲化至低功率且不关闭,以避免等离子体鞘崩溃。在一些实施方案中,仅使LFRF功率脉冲化可有利于形成更稳定的等离子体。
在一些实施方案中,使LF功率脉冲化,而HF功率恒定。在多种实施方案中,LF功率通过将LF功率切换开启和关闭而脉冲化。在一些实施方案中,LF“开启”功率为每300mm衬底至少3000W。在一些实施方案中,LF开启功率介于每300mm衬底约3500W与约6500W之间。在一些实施方案中,LF“关闭”功率为0W。在多种实施方案中,通过在非零功率电平之间切换LF而使LF功率脉冲化,使得LF关闭功率介于0W与LF开启功率之间。在一些实施方案中,LF功率在约1000W与约6000W之间脉冲化。在一些实施方案中,每衬底的HF功率在每300mm衬底约0W与约150W之间的范围内。在一些实施方案中,每衬底的HF功率在约0W与约800W之间的范围内。在许多实施方案中,HF RF部分的最小功率及LF RF部分的最小功率足以维持等离子体。此处提供的所有功率是针对300mm衬底。此处所述的RF功率大致随着站的数目和晶片面积而线性增减。功率值可以按面积表示,例如2500W也可以表示为0.884W/cm2
LF脉冲化的工作周期(DC)可以在从约10%至约90%的范围内。在一些实施方案中,DC介于约10%与约50%之间、约10%与约30%之间、或约10%与约20%之间。在一些实施方案中,DC介于约60%与约90%之间、约60%与约90%之间、或约60%与约75%之间。在多种实施方案中,LF功率在约100Hz与约1000Hz的频率下脉冲化。在一些实施方案中,LF功率在至少约200Hz、或至少约300Hz的频率下脉冲化。在一些实施方案中,DC及脉冲频率设定成使得LF功率开启持续时间介于约200μs与约2500μs之间,且LF功率关闭持续时间介于约800μs与约7500μs之间。在一些实施方案中,LF功率具有持续约200μs与约300μs之间的开启时间段。
在一些实施方案中,基座与喷头之间的间隙小于约0.75英寸(20mm)或介于约0.25英寸(约6mm)与约0.75英寸(约20mm)之间。当等离子体的RF功率增加时,可使基座与喷头之间的间隙增加,而不降低所沉积的AHM的质量。
在此处的一些处理中,AHM膜在至少
Figure BDA0003379611160000121
的速率下沉积。在一些实施方案中,AHM膜在约
Figure BDA0003379611160000122
与约
Figure BDA0003379611160000123
之间的速率下沉积。AHM膜的沉积速率可取决于DC、因为较长的LF功率的平均“开启”时间将增大沉积速率。
在一些实施方案中,用于沉积AHM膜的处理条件包含利用每300mm晶片至少约3000W以约10%与约75%之间的工作周期使LF功率脉冲化以及基本上为氦的惰性气体。在一些实施方案中,处理条件包含利用每300mm晶片至少6000W以约10%与约75%之间的工作周期使LF功率脉冲化以及基本上为氦的惰性气体。在一些实施方案中,处理条件包含利用每300mm晶片至少约3000W以约10%与约40%之间的工作周期使LF功率脉冲化以及基本上为氦的惰性气体。
膜性质
根据所公开的方法产生的AHM膜通常主要由碳和氢构成,但其他元素也可以存在于膜中。总体而言,掩模中的氢的原子百分比越低,模量和选择性越高。在一些实施方案中,可以将其他元素添加至气体混合物,举例而言,如果使用卤化烃,卤素可以占膜组成的一定百分比。在一些实施方案中,氢浓度最多为约25原子百分比。在一些实施方案中,氢浓度介于约24与25原子百分比之间。在一些实施方案中,碳浓度为至少约70原子百分比。在一些实施方案中,碳浓度介于约70与76原子百分比之间。可存在于AHM膜中的其他元素的示例包含卤素、氮、硫、硼、氧、钨、钛和铝。通常,这样的其他元素以不大于10原子%的量存在。
在一些实施方案中,根据此处所述方法产生的AHM膜具有最多约-1400MPa、或介于约-200MPa与约-1400MPa之间的内应力大小(负内应力表示压缩应力,使得较低值具有较小内应力)。在一些实施方案中,AHM膜具有至少约80GPa、或介于约145GPa与160GPa之间的弹性模量。在一些实施方案中,AHM膜具有至少约9GPa、或介于约15GPa与约17GPa之间的硬度。在一些实施方案中,AHM具有至少约1.5g/cm3、或介于约1.8g/cm3与约1.9g/cm3之间的密度。
在一些实施方案中,根据此处所述方法产生的AHM膜具有633nm下最多约0.4的消光系数。消光系数可以与光移动通过AHM膜的能力、或膜的通透性相互关联。在一些实施方案中,AHM膜为透明或半透明的。不具有足够低的消光系数值的AHM膜可能在后续的蚀刻处理中需要额外的操作来蚀刻AHM膜,这是不合乎期望的。
在一些实施方案中,根据此处所述方法所沉积的AHM膜的厚度为介于约100nm与约2500nm之间。一般而言,所需的AHM膜厚度可取决于待蚀刻的下伏层的厚度以及AHM的蚀刻选择性而变化,较厚的待蚀刻下伏层需要较厚的AHM。如以上所讨论的,AHM膜用于蚀刻多种下伏材料,且对于各材料可具有不同蚀刻选择性。AHM的蚀刻选择性可表示为材料的蚀刻率与AHM的蚀刻率的比,且可针对不同材料及蚀刻化学品而变化。
应用
AHM通常用于通过蚀刻衬底的一或更多下伏层而产生半导体器件的特征。可利用AHM蚀刻的材料可以包含硅(单晶、多晶、或非晶硅)、硅氧化物、硅氮化物以及钨。在一些实施方案中,多个层堆叠且利用单一AHM进行蚀刻。这样的堆叠件的示例包含硅层和硅氧化物层、以及钨层和硅氮化物层。在一些实施方案中,堆叠件包含使用单一AHM进行蚀刻的重复层。这样的重复层的示例包含硅氧化物/多晶硅的重复层(OPOP)。线前端和线后端的特征可利用此处公开的AHM进行蚀刻。存储器或逻辑器件的特征可被图案化。存储器器件的示例包含:DRAM、NAND以及3D NAND。
示例
图5和6显示了在脉冲或连续波条件下时LF功率对AHM的折射率的影响。折射率(或RI)可总体上用作材料的选择性的代理,较高的折射率表示AHM的较高选择性。连续波功率为其中LF功率在沉积期间维持为相对恒定。
图5是作为LF功率的折射率的图表。线504表示来自使用连续波(CW)LF功率沉积的AHM的测量值,而线502表示来自如此处所述通过使LF功率脉冲化而沉积的AHM的测量值。图5显示了当功率增大时,使用连续波技术沉积的AHM的折射率及因此选择性减小。反之,当脉冲式LF功率的功率增大时,AHM的折射率或选择性增大。因此,当LF功率增大时,连续波技术将导致更低选择性的AHM,而脉冲技术将导致更高选择性的AHM。
图6是作为内应力的函数的折射率的图表,其中负应力为压缩应力,且期望更中性的应力。线606是作为一些AHM的应力的函数的折射率线,其显示当折射率或选择性增大时,内应力通常增大。对于连续波功率而言,线604显示当LF功率增大时,折射率减小,而内压缩应力增大。两者都是不合乎期望的,其表示对于连续波技术而言期望较低的LF功率。反之,线602显示对于脉冲式LF功率而言,当LF功率增大时,折射率及应力增大。然而,线602比线606更陡,表示当脉冲式LF功率增大时,比起线606,折射率相对于内应力以更高的比率增大。因此,虽然增大LF功率使应力增加,但应力上的增大被较一般更大的选择性上的增大所弥补。
下文的表呈现根据此处公开的一些实施方案所沉积的两种不同膜的多种膜性质。3625W处理通过使LF功率在0W与3625W之间脉冲化、同时使衬底暴露于44sccm的C2H2及1466sccm的氦的处理气体来沉积AHM膜。6000W处理通过使LF功率在0W与6000W之间脉冲化、同时使衬底暴露于18sccm的C2H2及1482sccm的氦的处理气体来沉积AHM膜。范围%NU为沉积的不均匀性的测量值。H%为利用氢前向散射所测量的氢在AHM中的百分比。XRR密度为通过X光反射率所测量的密度。
Figure BDA0003379611160000151
装置
实施方案可以在等离子体增强化学气相沉积(PECVD)反应器中实施。这样的反应器可采取许多不同的形式。多种实施方案可兼容于现有的半导体处理装置,尤其是例如可从Lam Research Corporation取得的SequelTM或VectorTM反应器室之类的PECVD反应器。多种实施方案可以在多站或单站工具上实施。在具体实施方案中,使用具有4站沉积方案的300mm Lam VectorTM工具或具有6站沉积方案的200mm SequelTM工具。
一般而言,装置将包含各自包括一或更多站的一或更多室或反应器。室将容纳一或更多个晶片,且适用于晶片处理。一或更多室通过防止旋转、震动、或其他扰动,而将晶片维持在经定义的一个或多个位置。在一些实施方案中,在处理期间在室内将经历AHM沉积的晶片从一站转移至另一站。举例而言,
Figure BDA0003379611160000161
AHM沉积可以完全发生在一站,或根据多种实施方案,可在四个站中的每一者沉积
Figure BDA0003379611160000162
的膜。替代地,总膜厚度的任何其他部分可以在任何数目的站沉积。在其中沉积多于一个AHM的多种实施方案中,可使用多于一站来沉积各AHM层。在处理期间,各晶片由基座、晶片卡盘、和/或其他晶片保持装置保持在合适位置。对于其中晶片将被加热的若干操作而言,该装置可包含例如加热板之类的加热器。
图7示意性地示出了可以用于使用等离子体增强化学气相沉积(PECVD)来沉积材料的处理站700的实施方案。为简单起见,处理站700被描绘为具有用于维持低压环境的处理室主体702的独立处理站。然而,应当理解,多个处理站700可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站700的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站700与反应物输送系统701流体连通,以将处理气体输送至分配喷头706。反应物输送系统701包含混合容器704,混合容器704用于共混和/或调节处理气体以输送至喷头706。一个或多个混合容器入口阀720可以对处理气体导入至混合容器704进行控制。类似地,喷头入口阀705可对处理气体导入至喷头706进行控制。
例如,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的液体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些示例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有从约100℃升高至在混合容器704处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴可比较大的液滴更快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一种情况中,液体喷射器可以直接装载到混合容器704。在另一情况中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器来控制用于汽化并输送至处理站700的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或更长时间来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用LFC的感测管道和PID控制器来从反馈控制模式动态切换到直接控制模式。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。应当理解,喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。
在一些实施方案中,微体积707位于喷头706下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD处理可以减少反应物暴露和清扫时间,可以减少改变处理条件(例如,压强、温度等)的时间,可以限制处理站机械手对处理气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座708以使衬底712暴露于微体积707和/或改变微体积707的体积。例如,在衬底传送阶段中,可以降低基座708以使得衬底712能被加载在基座708上。在沉积处理阶段期间,可以升高基座708以将衬底712定位在微体积707内。在一些实施方案中,微体积707可以完全包围衬底712以及基座708的一部分以在沉积处理期间形成高流阻抗的区域。
任选地,基座708可以在沉积处理的部分期间降低和/或升高,以调节微体积707内的处理压强、反应物浓度等。在一种使处理室主体702在沉积处理期间保持在基础压强下的情况下,降低基座708可以使得微体积707能被抽空。微量体积与处理室体积的示例性比率包括但不限于1:700和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
在另一情形中,调整基座708的高度可以使得在沉积处理中包含的等离子体启动和/或处理循环期间改变等离子体密度。在沉积处理阶段结束时,基座708可以在另一衬底转移阶段期间降低,以使得能从基座708移除衬底712。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头706的位置可以相对于基座708调节以改变微体积707的体积。此外,应当理解的是,基座708和/或喷头706的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于使衬底712的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图7所示的实施方案,喷头706和基座708与RF功率源714和匹配网络716电通信以用于对等离子体供电。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和700kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它处理特性。这样的监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积处理配方的相应的等离子体激活配方阶段中。在一些情况下,处理配方阶段可按顺序排列,使得用于沉积处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或烃前体气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。后续的第二配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些实施方案中,基座708可以通过加热器710进行温度控制。此外,在一些实施方案中,沉积处理站700的压力控制可以由蝶形阀718提供。如图7的实施方案所示,蝶形阀718节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站700的一种或多种气体的流速来调节处理站700的压力控制。
图8示出了多站式处理工具800的实施方案的示意图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。在大气压下,机械手806被配置为将晶片从通过晶舟808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图4所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室814包含4个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8还绘出了用于在处理室814内传输晶片的晶片搬运系统890的实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用于控制处理工具800的处理条件和硬件状态的系统控制器850的实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并在处理器852上执行的系统控制软件858。系统控制软件858可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。
在一些实施方案中可以采用存储在与系统控制器850关联的海量存储设备854和/或存储器设备856上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
处理气体控制程序可以包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压强的代码。处理气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压强保持在任何所公开的压强范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及处理条件。非限制性示例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF功率水平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自
Figure BDA0003379611160000221
产品系列、
Figure BDA0003379611160000222
产品系列和/或
Figure BDA0003379611160000223
产品系列的装置,或者各种其他可商购获得的处理系统中的任一种,其中
Figure BDA0003379611160000224
产品系列可从加利福尼亚州弗里蒙特的Lam Research Corp.获得。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图9是根据某些实施方案的适用于进行薄膜沉积处理的处理系统的框图。系统900包括传送模块903。传送模块903提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块903上的是两个多站式反应器909和910,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器909和910可以包括多个站911、913、915和917,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块903上的还可以是一个或多个单站或多站式模块907,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他处理。在一些情况下,模块907可以用于各种处理,以例如制备用于沉积处理的衬底。模块907还可以被设计/配置为执行各种其他处理,例如蚀刻或抛光。系统900还包括一个或多个晶片源模块901,其中在处理之前和之后存储晶片。大气传送室919中的大气机械手(未示出)可以首先将晶片从源模块901移动到装载锁921。传送模块903中的晶片传送装置(通常是机械臂单元)将晶片从装载锁921移动到安装在传送模块903上的模块中以及在安装在传送模块903上的模块中。
在多种实施方案中,系统控制器929用于控制沉积过程中的处理条件。控制器929通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器929可控制沉积装置的活动中的全部。系统控制器929执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊处理的其他参数的成组的指令。存储在与控制器929相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器929相关联的用户界面。用户界面可包括显示屏、该装置和/或处理条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及处理序列中的其他处理的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与处理条件有关,诸如,例如处理气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控处理的信号可通过系统控制器929的模拟和/或数字输入连接被提供。用于控制处理的信号通过沉积装置900的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积处理(以及在一些情况下的其他处理)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器929是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件集成。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器929可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与下列中的一者或多者通信:其它工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具。
结论
虽然已为了清楚理解的目的而对前述实施方案进行了一些详细的说明,但显而易见,可以在随附权利要求的范围内实施某些改变和修饰。应注意可以有许多实施本文实施方案的处理、系统和装置的替代方式。在其他情形中,不再详述公知的处理操作,以免不必要地使所公开的实施方案模糊不清。因此,本文的实施方案应视为示例性且非限制性的,且实施方案不应限制在此处提出的细节。

Claims (25)

1.一种形成可灰化硬掩模(AHM)膜的方法,其包含:
将半导体衬底暴露于处理气体,所述处理气体包含烃前体气体和氦气,基本上不具有任何其他惰性气体;以及
通过等离子体增强化学气相沉积(PECVD)处理,在所述半导体衬底上沉积AHM膜,其中所述处理包含:
点燃由包含高频(HF)部分和低频(LF)部分的双射频(RF)等离子体源产生的等离子体,
所述HF功率在沉积期间不变,且
所述LF功率以每300mm晶片至少约3000W以及介于约10%与约75%之间的工作周期脉冲化。
2.根据权利要求1所述的方法,其中所述烃前体气体包含具有至多约50g/mol的分子量的化合物。
3.根据权利要求1所述的方法,其中所述烃前体气体包含C:H比至少为0.5的化合物。
4.根据权利要求1所述的方法,其中所述烃前体气体包含乙炔(C2H2)。
5.根据权利要求1所述的方法,其中所述烃前体气体具有所述处理气体的约1-2%之间的分压。
6.根据权利要求1所述的方法,其中所述LF功率在低于或等于约2MHz的频率下提供。
7.根据权利要求1所述的方法,其中所述LF功率介于每300mm晶片约3500W与约6500W之间。
8.根据权利要求1所述的方法,其中所述LF功率是在至少约100Hz的频率下脉冲化。
9.根据权利要求1所述的方法,其中所述LF功率是在约100Hz与约1000Hz之间的频率下脉冲化。
10.根据权利要求1所述的方法,其中所述LF功率的工作周期介于约10%与约50%之间。
11.根据权利要求1所述的方法,其中所述LF功率的工作周期介于约60%与约90%之间。
12.根据权利要求1所述的方法,其中所述LF功率具有介于约200微秒与约300微秒之间的持续时间的开启时间段。
13.根据权利要求1所述的方法,其中所述方法是在多站反应器中执行。
14.根据权利要求1至13中任一者所述的方法,其中所述AHM膜的内应力为至多约-1400MPa。
15.根据权利要求1至13中任一者所述的方法,其中所述AHM膜的模量为至少约80GPa。
16.根据权利要求1至13中任一者所述的方法,其中所述AHM膜的密度为至少约1.5g/cm3
17.根据权利要求1至13中任一者所述的方法,其中所述AHM膜的氢浓度为至多约25原子%。
18.根据权利要求1至13中任一者所述的方法,其中所述AHM膜的厚度为至多约2500nm。
19.根据权利要求1至13中任一者所述的方法,其中所述基座与所述喷头之间的间隙小于约20mm。
20.根据权利要求1至13中任一者所述的方法,其还包含将所沉积的所述AHM膜图案化及蚀刻图案化的所述AHM膜,以限定所述衬底中的所述AHM膜的特征。
21.根据权利要求20所述的方法,其还包含蚀刻所述衬底中在所述AHM膜下方的层。
22.一种形成可灰化硬掩模(AHM)膜的方法,其包含:
将半导体衬底暴露于处理气体,所述处理气体包含烃前体气体和惰性气体;以及
通过等离子体增强化学气相沉积(PECVD)处理,在所述半导体衬底上沉积AHM膜,其中所述处理包含:
点燃由包含高频(HF)部分和低频(LF)部分的双射频(RF)等离子体源产生的等离子体,
所述HF功率在沉积期间不变,且
所述LF功率是在每300mm晶片至少约3000W且每工作周期的LF功率开启时间为少于300微秒的情况下脉冲化。
23.根据权利要求22所述的方法,其中所述LF功率的工作周期介于约10%与50%之间。
24.根据权利要求22所述的方法,其中所述LF功率开启时间介于200微秒与300微秒之间。
25.根据权利要求22所述的方法,其中所述LF功率是在至少100Hz的频率下脉冲化。
CN202080039821.XA 2019-05-29 2020-05-28 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模 Pending CN113891954A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962853950P 2019-05-29 2019-05-29
US62/853,950 2019-05-29
PCT/US2020/034971 WO2020243342A1 (en) 2019-05-29 2020-05-28 High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf

Publications (1)

Publication Number Publication Date
CN113891954A true CN113891954A (zh) 2022-01-04

Family

ID=73552275

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080039821.XA Pending CN113891954A (zh) 2019-05-29 2020-05-28 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模

Country Status (5)

Country Link
US (1) US11837441B2 (zh)
KR (1) KR20220002748A (zh)
CN (1) CN113891954A (zh)
TW (1) TW202113121A (zh)
WO (1) WO2020243342A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
KR20230121962A (ko) * 2020-12-18 2023-08-22 램 리써치 코포레이션 넓은 갭 전극 간격을 갖는 저압 조건들에서 고 선택도, 저 응력 및 저 수소 탄소 하드 마스크들

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104513973A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2146448A (en) 1936-05-06 1939-02-07 Du Pont Acetylene solution
US2405693A (en) 1940-10-15 1946-08-13 Du Pont Acetylene solutions
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) * 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
JP3568394B2 (ja) 1998-07-07 2004-09-22 独立行政法人 科学技術振興機構 低抵抗n型ダイヤモンドの合成法
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
JP4789035B2 (ja) 1999-03-26 2011-10-05 独立行政法人科学技術振興機構 n型ダイヤモンドを用いた半導体デバイス
EP1179621A4 (en) 1999-03-26 2007-12-19 Japan Science & Tech Agency SEMICONDUCTOR DIAMOND OF N-TYPE AND METHOD FOR THE PRODUCTION THEREOF
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6562190B1 (en) 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
JP4791636B2 (ja) 2001-01-15 2011-10-12 日華化学株式会社 ハイブリッドパルスプラズマ蒸着装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003095193A1 (fr) 2002-05-09 2003-11-20 Riken Matériau de film mince et procédé de préparation associé
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
JP4015510B2 (ja) 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4494824B2 (ja) 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US7638058B2 (en) 2005-04-07 2009-12-29 Matheson Tri-Gas Fluid storage and purification method and system
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP5319868B2 (ja) 2005-10-17 2013-10-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US20070134917A1 (en) 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US20070245960A1 (en) 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5156445B2 (ja) 2008-03-21 2013-03-06 岩谷瓦斯株式会社 アセチレン吸蔵材料とアセチレン吸蔵容器及び高純度アセチレンの供給装置並びに高純度アセチレンの精製装置
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7772122B2 (en) 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
CN102292428B (zh) 2009-01-30 2014-06-04 埃科莱布有限公司 羟基羧酸铝助洗剂的开发
US7637269B1 (en) 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102001616A (zh) 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
JP5675078B2 (ja) 2009-10-13 2015-02-25 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8563414B1 (en) * 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
KR101684870B1 (ko) 2010-10-14 2016-12-09 닛산 가가쿠 고교 가부시키 가이샤 단분자층 또는 다분자층 형성용 조성물
US9155418B2 (en) 2010-11-15 2015-10-13 Conair Corporation Brewed beverage appliance and method
US8541311B2 (en) 2010-12-22 2013-09-24 GlobalFoundries, Inc. Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning
TW201304162A (zh) 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
DE102012011204A1 (de) 2012-06-06 2013-12-12 Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein Reinigerkapsel
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
JP2012233259A (ja) 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
KR102489215B1 (ko) 2016-09-06 2023-01-16 도쿄엘렉트론가부시키가이샤 유사 원자층 에칭 방법
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
KR102604084B1 (ko) 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
WO2019212592A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
CN113710829A (zh) * 2019-03-25 2021-11-26 朗姆研究公司 高蚀刻选择性的低应力可灰化碳硬掩模
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
TW202126840A (zh) 2019-08-30 2021-07-16 美商蘭姆研究公司 低壓下的高密度、模數、及硬度之非晶碳膜
WO2021183922A1 (en) 2020-03-13 2021-09-16 Lam Research Corporation Stabilization of carbon deposition precursors like c2h2

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104513973A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
CN109023311A (zh) * 2013-09-30 2018-12-18 朗姆研究公司 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Also Published As

Publication number Publication date
KR20220002748A (ko) 2022-01-06
US11837441B2 (en) 2023-12-05
US20220216037A1 (en) 2022-07-07
WO2020243342A1 (en) 2020-12-03
TW202113121A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9911595B1 (en) Selective growth of silicon nitride
US10176984B2 (en) Selective deposition of silicon oxide
KR102218085B1 (ko) 갭충진을 위한 컨포멀 막 증착
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF
US20240136153A1 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
CN112005339A (zh) 碳膜的原子层沉积
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
TW202409343A (zh) 在非晶形碳膜中的氫減少
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination