TW201704517A - 藉由原子層沉積及原子層蝕刻的保形膜之沉積 - Google Patents

藉由原子層沉積及原子層蝕刻的保形膜之沉積 Download PDF

Info

Publication number
TW201704517A
TW201704517A TW105109955A TW105109955A TW201704517A TW 201704517 A TW201704517 A TW 201704517A TW 105109955 A TW105109955 A TW 105109955A TW 105109955 A TW105109955 A TW 105109955A TW 201704517 A TW201704517 A TW 201704517A
Authority
TW
Taiwan
Prior art keywords
substrate
processing
chamber
precursor
etchant
Prior art date
Application number
TW105109955A
Other languages
English (en)
Other versions
TWI706049B (zh
Inventor
米歇爾 丹納克
強 亨利
沙恩 唐
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201704517A publication Critical patent/TW201704517A/zh
Application granted granted Critical
Publication of TWI706049B publication Critical patent/TWI706049B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提出一種在原子層沉積期間使用含鹵素蝕刻劑以沉積保形膜之方法。該方法涉及,在使基板暴露至第一前驅物與使基板暴露至第二電漿活化反應物之間使基板暴露至含鹵素蝕刻劑,例如三氟化氮。可沉積之保形膜之範例包括含矽膜及含金屬膜。亦提出相關的設備。

Description

藉由原子層沉積及原子層蝕刻的保形膜之沉積
本發明係關於藉由原子層沉積及原子層蝕刻的保形膜之沉積。
元件(例如半導體元件)之製造可能涉及,在基板上之凸起或凹陷特徵部之中或之上沉積各種介電膜、導電膜或半導體膜。對基板之下方形貌為保形的膜沉積可能是具有挑戰性的,特別是隨著特徵部之深寬比之增加及關鍵尺寸之減少。
可使用在元件製造中之膜之一範例為矽氮化物(SiN)。矽氮化物薄膜具有獨特的物理、化學及機械性質,因而使用在各種應用中。對於半導體元件而言,例如,SiN膜可使用在擴散阻障物、閘極絕緣體、側壁間隔物、封裝層、在電晶體中之著色膜、及類似者。當使用於在高深寬比特徵部中沉積SiN膜時,習知方法可能產生突出部分。當元件尺寸持續縮小時,在高深寬比特徵部中沉積保形SiN膜及其它類型的膜之需求逐漸增加。
本文中提出用於處理基板之方法及設備。一態樣係關於在腔室中處理基板之方法,該方法包含:(a) 提供具有一或更多特徵部之一基板,每一特徵部包含一特徵部開口;(b) 在容許一含矽前驅物吸附至該基板之表面上之條件下,使該基板暴露至該含矽前驅物,藉此形成該含矽前驅物之一吸附層;(c) 在使該基板暴露至該含矽前驅物之後,使該基板暴露至一含鹵素蝕刻劑;及(d) 使該基板暴露至一含氮反應物及點燃電漿,以選擇性地蝕刻在該等特徵部開口或附近之該含矽前驅物之該吸附層,及形成一矽氮化物膜。
在容許該含鹵素蝕刻劑選擇性吸附至該含矽前驅物之該吸附層上之條件下,可使該基板暴露至該含鹵素蝕刻劑。該含鹵素蝕刻劑之範例包含三氟化氮、氯、三氟甲烷、四氟化碳、及其組合。在某些實施例中,該含鹵素蝕刻劑為具有化學式Cn F2n+2 或Cn F2n 之化合物,其中n > 1。
在某些實施例中,在使該基板暴露至該含鹵素蝕刻劑之後,吹淨該腔室。藉由使一吹淨氣體流動,可吹淨該腔室,該吹淨氣體例如為氬、氦、氮、及氫。
含矽前驅物之範例為甲矽烷、二矽烷、三矽烷、四矽烷、三矽基胺、胺基矽烷、及鹵代矽烷。含氮反應物之範例為氮、氨、聯胺、及胺。
在某些實施例中,該方法包含重複步驟 (a)–(d)。在某些實施例中,在同一腔室中執行步驟 (a)–(d)。
另一態樣係關於在腔室中處理基板之方法,該方法包含:藉由執行一或更多循環以沉積一膜,一循環包含:(a) 提供具有一或更多特徵部之一基板,每一特徵部包含一特徵部開口;(b) 在容許一第一前驅物吸附至該基板之表面上之條件下,使該基板暴露至該第一前驅物,藉此形成該第一前驅物之一吸附層;(c) 在使該基板暴露至該第一前驅物之後,使該基板暴露至一含鹵素蝕刻劑;及 (d) 使該基板暴露至一第二反應物及點燃電漿,以選擇性地蝕刻在該等特徵部開口或附近之該第一前驅物之該吸附層,及形成一膜。
含鹵素蝕刻劑之範例包含三氟化氮、氯、三氟甲烷、四氟化碳、及其組合。在某些實施例中,含鹵素蝕刻劑為具有化學式Cn F2n+2 或Cn F2n 之化合物,其中n > 1。
在各種實施例中,在步驟 (d) 之前,吹淨該腔室。該膜可為,例如,介電膜或金屬膜。在某些實施例中,該膜為含矽膜,例如矽氮化物、矽碳化物、或矽氧化物。該第二反應物可為氧化劑或還原劑。在各種實施例中,每n個循環執行步驟 (d),其中n係等於或大於1之整數。
另一態樣係關於一種處理基板之設備,該設備包含:(a) 至少一處理腔室,該處理腔室包含一基座,用以支托一基板;(b) 至少一出口,用以連接至一真空;(c) 一或更多處理氣體入口,連接至一或更多含矽前驅物源及一或更多含鹵素蝕刻劑;(d) 一射頻(RF)產生器;及 (e) 一控制器,用以控制在該設備中之操作,該控制器包含複數機器可讀指令,該等機器可讀指令係用於:(i) 引入一含矽前驅物至一處理腔室;(ii) 在引入該含矽前驅物之後,引入一含鹵素蝕刻劑至該腔室;及 (iii) 引入一含氮反應物至該腔室及點燃電漿,以形成一矽氮化物膜。
該控制器可更包含用於在引入該含氮反應物之前、引入一吹淨氣體以吹淨該腔室之機器可讀指令。
含鹵素蝕刻劑之範例包含三氟化氮、氯、三氟甲烷、四氟化碳、及其組合。在某些實施例中,含鹵素蝕刻劑為具有化學式Cn F2n+2 或Cn F2n 之化合物,其中n > 1。
再另一態樣係關於一種處理基板之設備,該設備包含:(a) 至少一處理腔室,該處理腔室包含一基座,用以支托一基板;(b) 至少一出口,用以連接至一真空;(c) 一或更多處理氣體入口,連接至一或更多前驅物源及一或更多含鹵素蝕刻劑;(d) 一射頻(RF)產生器;及(e) 一控制器,用以控制在該設備中之操作,該控制器包含複數機器可讀指令,該等機器可讀指令係用於:(i) 引入一前驅物至一處理腔室;(ii) 在引入該前驅物之後,引入一含鹵素蝕刻劑至該腔室;及(iii) 引入一第二反應物至該腔室及點燃電漿,以形成一膜。
這些及其它態樣將參考著圖式而進一步說明如下。
在以下敘述中,數個特定細節被提出以提供對於所呈現的實施例之徹底了解。所揭露的實施例可在沒有這些特定細節之部分或全部之情況下加以實施。在其它的情況下,熟知的處理操作並未詳細地描述以免不必要地混淆所揭露的實施例。雖然所揭露的實施例將結合特定實施例而加以敘述,但應當了解,其並非用來限制所揭露的實施例。
以下所揭露的實行例描述材料沉積在基板(例如,晶圓或其它工作件)上。工作件可具有各種形狀、尺寸及材料。除了半導體晶圓,可使用在本文所揭露的實行例之其它工作件包括各種物品,例如印刷電路板及類似物。處理及設備可使用在半導體元件、顯示器、LED、太陽光電板及類似物之製造中。
在一範例中,矽氮化物可使用在半導體元件製造中以做為擴散阻障物、閘極絕緣體、側壁間隔物及封裝層。在一特定應用中,矽氮化物係使用做為在記憶元件中之記憶體封裝層。在這樣的元件中,碳層可沉積在受熱時發生相改變之相變化層上。當受損時,相變化層未必進行相變。相變化層亦可對光敏感。為防止對相變化層的任何損壞,可在相變化層上沉積矽氮化物保形記憶體封裝層。記憶體封裝層有少量乃至沒有其它化合物之污染,並且在低溫下進行沉積以避免損壞元件。保形矽氮化物層亦可使用在其它應用中。
本文中所提出的是,藉由混合原子層蝕刻(ALE)技術及原子層沉積(ALD)而沉積保形膜之方法。所揭露的實施例包括沉積矽氮化物之方法,其形成含矽前驅物之吸附層,含矽前驅物之吸附層具有足夠的反應性以與含氮電漿起反應。應當注意,雖然本文中所提出的範例描述矽氮化物之保形沉積,但應當了解,可使用所揭露的實施例以沉積其它材料。例如,本文中所提出之方法可用於在元件製造中沉積矽氧化物、矽碳化物、摻雜的含矽膜、含金屬膜(例如鋁氮化物及鈦氮化物)、及其它保形膜。
所沉積的膜為保形的。膜的保形性(conformality)可藉由階梯覆蓋率而加以量測。階梯覆蓋率可藉由將特徵部之底部、側壁、或頂部上之沉積膜的平均厚度與特徵部之底部、側壁、或頂部上之沉積膜的平均厚度進行比對而加以計算。例如,階梯覆蓋率之計算可藉由將側壁上之沉積膜的平均厚度除以特徵部之頂部之沉積膜的平均厚度、並且將其乘以100以得到百分比。某些所揭露的實施例涉及將保形層沉積在基板上,其係藉由在原子層沉積循環期間,在使基板暴露至前驅物與使基板暴露至第二反應物(在電漿環境中)之間,使基板暴露至蝕刻劑。
本文中所提出的方法涉及,藉由混合某些ALE技術及ALD之膜沉積。ALE為使用相繼的自限制反應而移除材料薄層之技術。通常,ALE循環包括改質操作,以形成反應性層;接著是移除操作,以僅僅移除或蝕刻此已改質的層。做為一範例,ALE循環可包括下列操作:(i)輸送反應物氣體至容納基板之腔室;(ii)從腔室吹淨反應物氣體;(iii)輸送移除氣體及可選的電漿;及(iv)吹淨腔室。
ALD為使用相繼的自限制反應而沉積材料薄層的技術。通常,ALD循環包括下列操作:將至少一反應物輸送及吸附至基板表面;接著使吸附的反應物與一或更多反應物進行反應,以形成部分的膜層。做為一範例,矽氮化物沉積循環可包括下列操作:(i)輸送∕吸附含矽前驅物;(ii)從腔室吹淨含矽前驅物;(iii)輸送氮電漿;及(iv)從腔室吹淨電漿。可使用各種前驅物及共反應物之脈衝以沉積其它種類的膜。
不像化學氣相沉積(CVD)技術,ALD處理使用表面媒介沉積反應以逐層地沉積膜。在ALD處理之一範例中,使包含一群表面活性位置之基板表面暴露至第一前驅物(例如,含矽前驅物)之氣相分佈區域,第一前驅物以注入之方式被提供至容納基板之腔室。此第一前驅物之分子被吸附在基板表面上,包含第一前驅物之化學吸附的物種、及∕或物理吸附的分子。應當了解,當化合物被吸附至基板表面上時(如本文所述),吸附層可包含化合物、以及化合物之衍生物。例如,含矽前驅物之吸附層可包括含矽前驅物、以及含矽前驅物之衍生物。在第一前驅物注入之後,接著排空腔室以移除維持於氣相之第一前驅物之大部分或全部,以僅僅留下吸附的物種。在某些實行例中,可能不完全排空腔室。例如,可排空反應器,俾使氣相之第一前驅物之分壓為足夠低以降低反應。將第二反應物(例如含氮反應物)導入至腔室,俾使該等分子之某些與吸附在表面上之第一前驅物進行反應。在某些處理中,第二前驅物立即與吸附的第一前驅物進行反應。在其它實施例中,第二前驅物僅在暫時地施加活化源之後才進行反應。接著可再度排空腔室,以移除未結合的第二反應物分子。如上所述,在某些實施例中,可能不完全排空腔室。額外的ALD循環可用於增加膜厚。
在某些實施例中,ALD第一前驅物之注入使基板表面部分地飽和。在某些實施例中,ALD循環之注入階段在前驅物接觸基板之前結束,以平均地使表面飽和。通常,在此時,前驅物流動被關閉或轉向,且只有吹淨氣體流動。藉由在此次飽和狀態下進行操作,ALD處理降低循環時間,並且增加產量。然而,因為前驅物吸附不是飽和受限的,所以吸附的前驅物之濃度可能在基板表面各處稍有變化。在次飽和狀態下操作之ALD處理之範例係提供於:申請日為2013年10月23日、且名稱為“SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"之美國專利申請案第14/061,587號,其整體內容併入於本文中作為參考。
在某些實施例中,ALD方法包括電漿活化。如本文所述, 本文中所描述之ALD方法及設備可為保型膜沉積(CFD)方法,其大致上描述於:美國專利申請案第 13/084,399號(現為美國專利第 8,728,956號),申請日為 2011年4月11日、且名稱為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION";以及美國專利申請案第13/084,305號,申請日為 2011年4月11日、且名稱為“SILICON NITRIDE FILMS AND METHODS",其整體內容併入於本文中作為參考。
圖1為處理流程圖之範例,描繪用以執行根據所揭露的實施例之方法之操作。雖然本文中所提出之範例是在沉積矽氮化物膜之背景下描述所揭露的實施例,但應當了解,亦可使用方法以藉由ALD而沉積任何的材料膜。
在圖1之操作101中,將基板提供至單站或多站腔室之處理站。該基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓、或450 mm晶圓,包括具有一或更多材料(例如介電材料、導電材料、或半導體材料)層沉積於其上之晶圓。基板可具有“特徵部",例如介層窗或接觸窗孔洞,其特徵在於下列之一或更多者:狹窄及∕或內凹角開口、在特徵部中之收縮部、及高深寬比。特徵部可形成在上述層之一或更多者中。特徵部之一範例為在半導體基板或基板上之層中之孔洞或介層窗。另一範例為在基板或層中之溝渠。在各種實施例中,該特徵部可具有下方層,例如阻障層或黏著層。下方層之非限制性範例包括介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在某些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約30:1、或更高之深寬比。特徵部亦可具有接近開口之尺寸,例如在約10 nm至500 nm之間(例如在約25 nm與約300 nm之間)之開口直徑或線寬。所揭露的方法可在具有特徵部(特徵部之開口小於約150 nm)之基板上加以執行。介層窗、溝渠或其它凹陷特徵部可稱為未填充特徵部或特徵部。根據各種實施例,特徵部輪廓可能逐漸縮小及∕或在特徵部開口包含突出部分。內凹角(re-entrant)輪廓為自特徵部之底部、封閉端、或內部縮小至特徵部開口之輪廓。內凹角輪廓可能由在圖案化期間之不對稱蝕刻動力學、及∕或由於在先前膜沉積(例如擴散阻障物之沉積)中之非保形膜階梯覆蓋之突出部分所造成。在各種實施例中,特徵部之頂部之開口寬度可能小於特徵部之底部之寬度。
在圖1之操作103-115期間,可使惰性氣體流動。在各種實施例中,使用惰性氣體做為載氣。示例性載氣包含氬、氦及氖。在某些實施例中,載氣不是氫,俾使所沉積的矽氮化物膜係包含少量氫至不含氫。在某些實施例中,可使用含氫載氣。在某些實施例中,在某些操作中使用載氣做為吹淨氣體。在某些實施例中,載氣被轉向。可提供惰性氣體,以協助處理腔室之壓力及∕或溫度控制、液體反應物之汽化、較快的反應物傳送及∕或做為用於自處理腔室及∕或處理腔室管路將處理氣體移除之清掃氣體。
各種所揭露的實施例可在約0.1 Torr與約20 Torr之間之壓力下加以執行。在許多實施例中,所揭露的方法可在小於約650 °C、或小於約450 °C、或在約50 °C與約650 °C之間(例如約200 °C)之基板溫度下加以執行。在這樣的實施例中,基座可設定為小於約450 °C之溫度,以控制基板溫度。在某些實施例中,方法係在較高的溫度下加以執行,例如大於約250 °C、或大於450 °C。
在圖1之操作103中,使基板暴露至第一前驅物,俾使第一前驅物吸附至基板表面上。雖然本文中提出之範例使用含矽前驅物做為第一前驅物,但應當了解,第一前驅物可為任何適合的前驅物以用於在基板上沉積膜,例如,矽氮化物、矽氧化物、矽碳化物、鋁氮化物、鎢氮化物、鈦氮化物、鉭氮化物、鈦氧化物、及其它的膜。
操作103可為ALD循環之一部分。如前文之討論,一般而言,ALD循環為用以執行一次表面沉積反應之最小操作組。在某些實施例中,一循環之結果係在基板表面上產生至少部份的矽氮化物膜層。循環可包含某些輔助操作,例如掃除反應物或副產物其中一者、及∕或對所沉積的部分膜進行處理。一般而言,一循環包含獨特操作序列之一情況。如前文之討論,一般而言,一循環為用以執行一次表面沉積反應之最小操作組。一循環之結果係在基板表面上產生至少部份的膜層,例如部份的矽氮化物膜層。
在操作103期間,使基板暴露至第一前驅物,俾使第一前驅物吸附至基板表面上以形成吸附層。在某些實施例中,含矽前驅物以自限制的方式吸附至基板表面上,俾使活性位置一旦被含矽前驅物佔據,則少量或沒有額外的含矽前驅物將被吸附在基板表面上。例如,含矽前驅物可吸附至約60%的基板表面上。在各種實施例中,當含矽前驅物流動至腔室時,含矽前驅物吸附至基板表面上之活性位置上,形成含矽前驅物薄層在表面上。在各種實施例中,此層可小於單分子層,並且可具有約0.2Å與約0.4Å之間之厚度。本文中所提出之方法可在低於約450 °C之溫度加以執行。在大於約450 °C之處理溫度下,某些含矽前驅物可能分解而形成一層矽。
含矽前驅物為用於製造含矽膜之單一試劑或試劑混合物,其中該試劑或試劑混合物包含至少一矽化合物。在某些實施例中,含矽前驅物可為,例如,矽烷、鹵代矽烷、或胺基矽烷。然而,在各種實施例中,含矽前驅物為不含鹵素。不含鹵素的矽烷可包含氫及∕或碳基,但不包含鹵素。
根據所揭露的實施例,適合使用之含矽前驅物包含:聚矽烷(H3 Si- (SiH2 )n -SiH3 ),其中n ≥ 0。矽烷之範例為甲矽烷(SiH4 )、二矽烷(Si2 H6 )、以及有機矽烷,例如甲基矽烷、乙基矽烷、 異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、雙-三級丁基矽烷、丙烯基矽烷、二級丁基矽烷、 叔己基矽烷、異戊基矽烷、三級丁基二矽烷、雙-三級丁基二矽烷、及類似者。
鹵代矽烷包含至少一鹵基並且可能或可能不包含氫及∕或碳基。鹵代矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵代矽烷(尤其是氟矽烷)在電漿點燃時可能形成會蝕刻矽材料之反應性鹵化物物種,但在某些實施例中,鹵代矽烷在電漿點燃時可能未引入腔室中,所以可能減少來自鹵代矽烷之反應性鹵化物物種之形成。特定的氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、單氯矽烷、氯丙烯基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、三級丁基氯矽烷、雙-三級丁基氯矽烷、氯異丙基矽烷、氯-二級丁基矽烷、三級丁基二甲基氯矽烷、叔己基二甲基氯矽烷、及類似者。
胺基矽烷包含鍵結於一矽原子之至少一氮原子,但也可包含氫、氧、鹵素及碳。胺基矽烷之範例為單、雙、三及四-胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及受取代的單、雙、三及四-胺基矽烷,例如三級丁基胺基矽烷、甲基胺基矽烷、三級丁基矽烷胺、雙(三級丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 ,BTBAS)、三級丁基矽基胺甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 、及類似者。胺基矽烷之進一步範例為三矽基胺(N(SiH3 ) )。
可用來在操作103中替代含矽前驅物之其它第一前驅物之範例將提出如下。
圖2A及2B為概要表示圖,顯示根據圖1之方法中之各種階段之範例。圖2A及2B顯示在基板表面上之複數分子之範例,該等分子在特徵部開口或附近,其可能在特徵部之頂部或附近。在某些實施例中,在特徵部中、延著該特徵部之側壁或底部之基板表面可能顯現如圖2A及2B所繪示之化學機制。在圖2A及2B所提出之範例中,含矽前驅物為二矽烷,蝕刻劑為三氟化氮,第二反應物為氮。應當了解,在所揭露的實施例中可使用其它含矽前驅物、蝕刻劑及第二反應物,且在某些實施例中,可能遭受類似於圖2A及2B所繪示之化學機制。
在圖2A之201中,使基板200暴露至二矽烷,藉此二矽烷分子211、221、231吸附至基板200之表面上,形成二矽烷吸附層。
返回至圖1,在操作105中,可選地使處理腔室進行吹淨,以移除沒有吸附在基板表面上、處於氣相的過量含矽前驅物。吹淨腔室可涉及使吹淨氣體(purge gas)或清掃氣體流動,吹淨氣體或清掃氣體可為使用在其它操作中之載氣或可為不同的氣體。在某些實施例中,吹淨可涉及排空腔室。示例性吹淨氣體包含氬、氮、氫及氦。在某些實施例中,操作105可包含用以排空處理腔室之一或更多排空子階段。或者,應當理解,在某些實施例中,可省略操作105。操作105可具有任何適當的持續時間,例如在約0秒與約60秒之間,例如約0.01秒。在某些實施例中,增加一或更多吹淨氣體之流率可減少操作105之持續時間。例如,可根據處理腔室及∕或處理腔室管路的各種反應物熱力學特性及∕或幾何特性而調整吹淨氣體流率,以修改操作105之持續時間。在一非限制性範例中,可藉由調整吹淨氣體之流率而調整吹淨階段之持續時間。此可減少沉積循環時間,因而改善基板產量。在吹淨之後,含矽前驅物保持吸附在基板表面上。
在操作107中,使基板暴露至含鹵素蝕刻劑。含鹵素蝕刻劑可為任何含鹵素化合物,例如含氟化合物或含氯化合物。在各種實施例中,含鹵素蝕刻劑為三氟化氮、氯、或含碳蝕刻劑、或其組合。在某些實施例中,含碳蝕刻劑為CHF3 、或具有Cn F2n+2 或Cn F2n 之化學式之化合物,其中n > 1,例如CF4 。在執行ALD以沉積氮化物之某些實施例中,可能避免含氯蝕刻劑化合物。這是因為含氯蝕刻劑化合物通常無法輕易地蝕刻氮化物。
執行操作107之頻率可取決於由所沉積的ALD層所觀察到的突出部分之量。在各種實施例中,每1至每50循環之ALD,執行操作107。在某些實施例中,在每一循環之ALD中,執行操作107。在某些實施例中,每50或更多循環之ALD,執行操作107。可在非電漿環境中執行操作107。在電漿環境中執行操作107可能產生大量的反應性鹵化物物種,因此在基板上蝕刻超出預期。例如,反應性鹵化物物種可能蝕刻在基板表面上之大部分或全部的吸附的第一前驅物,因而降低產量及減少沉積速率。
不受限於特定理論,含鹵素化合物吸附在含矽前驅物之吸附層之表面上。例如,在圖2之203中,三氟化氮分子243吸附在基板200上之二矽烷吸附層上。在許多實施例中,使含鹵素蝕刻劑流入腔室中一持續時間,該持續時間足以吸附在大部分或全部的基板表面上。在某些實施例中,含鹵素蝕刻劑可選擇性地吸附在特徵部開口或附近。在某些實施例中,含鹵素蝕刻劑可選擇性地吸附,俾使在特徵部開口附近,含鹵素蝕刻劑吸附在特徵部(例如垂直特徵部)之頂部或附近比吸附在特徵部之底部或附近更多。有助於在特徵部之頂部或附近之選擇性吸附之適當處理條件係描述於下。
返回至圖1,在操作109中,吹淨處理腔室,以移除殘留的蝕刻劑,例如以氣相餘留、且未吸附在第一前驅物吸附層之表面上之蝕刻劑。吹淨條件及方法可為關於操作105所述之任何一者。在某些實施例中,執行操作109,以在後續的操作中,防止殘留的蝕刻劑去移除太多的第一前驅物吸附層。例如,若殘留的三氟化氮氣體出現在腔室中且同時點燃電漿,則受激發的氟可能撞擊基板,因而蝕刻基板之表面,導致蝕刻之執行多於沉積。在某些實施例中,若電漿點燃時,氣相的殘留蝕刻劑在腔室中,則沉積之效率及沉積速率可能降低。
在操作111中,使基板暴露至第二反應物及點燃電漿。在各種實施例中,可在相同的時間打開第二反應物流動及電漿。在某些實施例中,可在打開電漿之前打開第二反應物流動,例如,以穩定第二反應物流動。在各種實施例中,第二反應物為含氮反應物,以形成至少一部分矽氮化物膜在基板表面上。含氮反應物為包含至少一氮之一反應物或複數反應物之混合物,例如,氨、聯胺、胺(帶有碳的胺),例如甲基胺、二甲基胺、乙基胺、異丙基胺、三級丁基胺、雙-三級丁基胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁基-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、雙-三級丁基聯胺、以及芳族胺,例如苯胺、吡啶、及芐胺。胺可為一級胺、二級胺、三級胺、或四級銨(例如,四烷基銨化合物)。含氮反應物可包含氮以外之雜原子,例如,羥基胺、三級丁氧羰基胺、及正三級丁基羥基胺為含氮反應物。示例性含氮反應物包含氮氣、氨及胺。
為了沉積其它含矽材料,可使用其它反應物做為第二反應物以沉積不同材料之膜。例如,為了使用所揭露的實施例以沉積矽碳化物膜,第二反應物可為含碳反應物。例如,為了沉積矽氧化物,可使用氧化劑或含氧化合物。為了沉積摻雜膜,亦可加入摻質做為第二反應物。應注意,“第二反應物"一詞可用於描述當在ALD循環中點燃電漿時被引入腔室之一或更多氣體。
在各種實施例中,在操作111期間,提供電漿能量,以使第二反應物(例如,含氮氣體)活化為離子及自由基及其它活化物種,其與第一前驅物之吸附層進行反應。例如,電漿可直接或間接地使含氮氣相分子活化,以形成氮自由基或離子。電漿亦可激發吸附的蝕刻劑,從而形成激發的蝕刻劑物種,其可蝕刻第一前驅物以及從基板移除它。可監控腔室之條件,俾使足夠的蝕刻劑被激發以量身打造特徵部輪廓及改善保形性。例如,可控制電漿條件,以優先在特徵部開口或附近進行蝕刻,同時形成較少的激發的蝕刻劑在側壁或附近或朝向特徵部之底部。有助於在特徵部之頂部或附近之選擇性吸附之適當處理條件係描述於下。
在各種實施例中,電漿係原位(in-situ)電漿,俾使電漿直接形成在腔室中之基板表面之上。可以約0.2122 W/cm2 與約2.122 W/cm2 之間之每基板面積之功率以點燃原位電漿。例如,對處理四個300 mm晶圓之腔室而言,功率可在約150 W至約6000 W之範圍中、或在約600 W至約6000 W之範圍中、或在約800 W至約4000 W之範圍中。舉例而言,ALD處理之電漿可藉由使用兩個電容式耦合板以施加射頻(RF)場至氣體而產生。在該等板之間藉由RF場之氣體離子化而點燃電漿,因而在電漿放電區中產生自由電子。這些電子係藉由RF場而加速,且可與氣相反應物分子發生碰撞。這些電子與反應物分子之碰撞可形成參與沉積處理之自由基物種。應當了解,RF場可經由任何適當的電極而耦合。在各種實施例中,使用具有至少約13.56 MHz、或至少約27 MHz、或至少約40 MHz、或至少約60 MHz之頻率之高頻電漿。在某些實施例中,可使用基於微波之電漿。電極之非限制性範例包含處理氣體分佈噴淋頭及基板支撐基座。應當了解,ALD處理之電漿可藉由,除了使RF場電容耦合至氣體之外,一或更多適當的方法而形成。在某些實施例中,電漿為遠端電漿,俾能在腔室上游處之遠端電漿產生器中將第二反應物點燃,然後傳送至基板所在之腔室。
處理條件係經過周密的設計,以藉由選擇性蝕刻而獲得保形膜。適當的蝕刻溫度、蝕刻劑流動、吹淨操作、電漿條件及蝕刻壓力之結合可協助達成想要的保形性。若蝕刻保形性並未對於每一類型的待沉積膜加以適當調整,則可能產生階梯覆蓋率不良之非保形沉積。處理條件為使非保形蝕刻(亦稱為選擇性蝕刻)得以執行。
在較高的溫度下,進入的蝕刻劑物種,例如氟原子,很快地在特徵部入口處進行反應及蝕刻,產生較非保形的蝕刻;在較低的溫度下,進入的蝕刻劑物種能夠進一步在特徵部中進行擴散及蝕刻,產生較保形的蝕刻。在操作109期間之較短的吹淨留下較多的蝕刻劑物種在腔室中及在基板上,造成較多的蝕刻劑物種進一步在特徵部中進行擴散及蝕刻。在操作107期間之較短的蝕刻劑暴露將傾向於在特徵部入口處進行反應及蝕刻,產生較保形的蝕刻。在某些例子中,蝕刻劑在操作107中流動,俾使含鹵素蝕刻劑優先吸附在特徵部之頂部或附近,在操作111期間,當電漿點燃時,特徵部之頂部之蝕刻多於特徵部之側壁。較低的蝕刻劑流率將產生較少的蝕刻劑分子吸附在基板表面上。較高的壓力將造成較多的蝕刻劑物種之再結合,例如氟自由基之再結合而形成分子氟。分子氟之黏附係數低於氟自由基,所以易於在蝕刻之前擴散至特徵部中,導致較不保形的蝕刻。
如圖2A所示,在205期間,基板200係暴露至第二反應物(在此例中,氮),同時電漿點燃,藉此產生激發的氮物種260,其可包含(除了別的物種之外)離子、自由基(例如,如205中所示之•N)、及中性物種。在所提出之範例中,電漿亦激發吸附的三氟化氮,其接著解離成激發的氮•N及激發的氟物種250(例如•F)。某些氟物種250可能藉由攻擊二矽烷之矽中心而與吸附的二矽烷進行反應,而某些激發的氮物種260(不論來自三氟化氮或氮)藉由攻擊二矽烷之矽中心而與吸附的二矽烷進行反應。
結果,在圖2B之207中,激發的氟與二矽烷起反應而從基板200之表面蝕刻二矽烷,並且形成六氟二矽烷(217及227),藉此,氟255現在是鍵結至矽。同時,激發的氮與在基板表面上之二矽烷起反應,Si-N鍵可形成(265),藉此形成至少一部分的矽氮化物(237)層在表面上。應當注意,在某些實施例中,蝕刻處理可能經歷不同的機制,在207中所繪示之機制為可能機制之一例。
返回至圖1,在操作113中,可選地吹淨處理腔室,以移除被蝕刻物種及任何殘留的副產物。如圖2B所示,在209中,在吹淨腔室之後,部分的矽氮化物237層留存在基板200之表面上。應當注意,雖然吸附的二矽烷層在201中覆蓋較多表面區域,但由於來自蝕刻劑三氟化氮之部分蝕刻,所以只有部分的矽氮化物沉積,如在209中所示。在每一循環期間,相較於在特徵部或溝渠之底部附近之特徵部之表面,在特徵部開口或附近之特徵部之表面可能沉積較小分率之部分的矽氮化物層。因此,可量身打造在特徵部開口或附近之沉積,且整個沉積是高度保形的。
在圖1之操作115中,判定是否已沉積想要的厚度之膜。若沒有,則重複操作103-113足夠的循環以沉積想要的厚度之膜。任何適當數量之沉積循環可包含在ALD處理中,以沉積想要的膜厚之矽氮化物。例如,可執行約50個沉積循環,以使用所揭露的實施例而沉積膜在基板上。如上所注意,操作107可能或可能不在每一沉積循環中執行。
圖3為時序圖,顯示根據所揭露的實施例之示例性脈衝。圖3顯示,示例性ALD處理300中之複數階段之各種處理參數,例如,載氣流動、第一前驅物流動、蝕刻劑流動、電漿、及第二反應物流動。該等線顯示打開及關閉流動或電漿的時間。示例性處理參數包含,但不限於,惰性及反應物物種之流率、電漿功率及頻率、基板溫度、及處理腔室壓力。描繪兩個沉積循環310A及310B。每一沉積循環包含各種階段。例如,沉積循環310A包含第一前驅物暴露階段320A、吹淨階段340A(其可為選擇性的)、蝕刻劑階段350A、吹淨階段355A、第二反應物與電漿暴露階段360A、及另一可選的吹淨階段380A。類似地,沉積循環310B包含第一前驅物暴露階段320B、吹淨階段340B(其可為選擇性的)、蝕刻劑階段350B、吹淨階段355B、第二反應物與電漿暴露階段360B、及另一可選的吹淨階段380B。如所示,在示例性處理300中,載氣在整個處理中流動。在各種實施例中,載氣被使用做為吹淨氣體。應當注意,在某些實施例中,載氣可不同於吹淨氣體。在某些實施例中,載氣僅在吹淨階段(例如,340A、355A、380A、340B、355B及380B)期間流動。載氣可為關於圖1之操作105之上述那些其中任何一者。
在第一前驅物暴露階段(320A及320B)中,第一前驅物是打開的,沒有蝕刻劑,沒有電漿,且沒有第二反應物。此階段可對應至圖1之操作103。在吹淨階段340A,其可對應至圖1之操作105,載氣流動,而第一前驅物、蝕刻劑、電漿及第二反應物是關閉的。在蝕刻劑階段350,其可對應至圖1之操作107,蝕刻劑與載氣流動,而第一前驅物、電漿及第二反應物是關閉的。在吹淨階段355A,其可對應至圖1之操作109,載氣流動,而第一前驅物、蝕刻劑、電漿及第二反應物是關閉的。在第二反應物與電漿暴露階段360A,電漿是打開的,第二反應物與載氣流動,而第一前驅物及蝕刻劑流動是關閉的。在吹淨階段380A,其可對應至圖1之操作113,載氣流動,而第一前驅物、蝕刻劑、電漿及第二反應物是關閉的。在示例性處理300中,在圖1之操作115中,判定所沉積的膜並非適當的厚度或想要的厚度,所以重複沉積循環(如310B所示)。
在某些實施例中,可僅僅在許多沉積循環(不含蝕刻劑階段)已經執行之後,才執行包含蝕刻劑階段之沉積循環。例如,可在約50個沉積循環(不含蝕刻劑階段)之後,執行包含蝕刻劑階段之沉積循環。
如上所注意,雖然本文中提出之範例係沉積矽氮化物膜,但可使用所揭露的實施例以沉積其它材料膜。例如,所沉積的膜可包含金屬。可形成之含金屬膜之範例包含鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等之氧化物及氮化物、以及元素金屬膜。示例性前驅物可包含金屬烷基胺、金屬烷氧化物、金屬烷醯胺、金屬鹵化物、金屬β-二酮、金屬羰基化合物、有機金屬、等。適當的含金屬前驅物將包含想要併入膜中之金屬。例如,可藉由五(二甲基醯胺基)鉭與氨或其它還原劑之反應而沉積含鉭層。可使用之含金屬前驅物之進一步範例包含三甲基鋁、四乙氧基鈦、四(二甲基醯胺基)鈦、四(乙基甲基醯胺基)鉿、二(環戊二烯基)錳、及二(正丙基環戊二烯基)鎂。用於沉積氧化物之示例性第二反應物包含氧化劑,氧化劑可為氧與弱氧化劑之混合物,弱氧化劑為,例如,一氧化二氮、一氧化碳、二氧化碳、一氧化氮、二氧化氮、氧化硫、二氧化硫、含氧烴(例如,Cx Hy Oz )、及∕或水。在其它實行例中,氧化反應物可完全為弱氧化劑。或者,氧化反應物可包含臭氧。設備
圖4描繪原子層沉積(ALD)處理站400之實施例之概要圖, ALD處理站400具有用以維持低壓環境之處理腔室體402。複數ALD處理站400可包含於常見的低壓處理工具環境中。例如,圖5描繪多站處理工具500之實施例。在某些實施例中,ALD處理站400之一或更多硬體參數(包含以下詳加討論的參數)可藉由一或更多電腦控制器450而程式化地加以調整。
ALD處理站400與反應物輸送系統401a流體連通,反應物輸送系統401a用以輸送處理氣體至分配噴淋頭406。反應物輸送系統401a包含混合容器404,用以混合及∕或調節處理氣體(例如,含鹵素蝕刻劑氣體、或含矽氣體、或含氮氣體)以輸送至噴淋頭406。一或更多混合容器入口閥420可控制處理氣體之導入至混合容器404。
做為一範例,圖4之實施例包含汽化點403,用於汽化待供應至混合容器404之液體反應物。在某些實施例中,汽化點403可為受熱汽化器。由這類的汽化器所產生之飽和反應物蒸氣可能在下游的輸送管路中凝結。不相容氣體暴露至凝結的反應物可能產生小微粒。這些小微粒可能會阻塞管路、妨礙閥操作、污染基板等。解決這些問題之某些方法涉及吹淨及∕或排空輸送管路,以移除殘留的反應物。然而,吹淨輸送管路可能增加處理站循環時間,降低處理站產量。因此,在某些實施例中,汽化點403下游之輸送管路可為伴熱的(heat traced)。在某些範例中,混合容器404亦可為伴熱的。在一非限制性範例中,在汽化點403下游之管路在混合容器404處具有自約100 °C延伸至約150 °C之漸增的溫度曲線。
在某些實施例中,液體前驅物或液體反應物可於液體注入器處進行汽化。例如,液體注入器可注入液體反應物之脈衝至混合容器之上游之載氣氣流中。在一實施例中,藉由使液體從較高壓力快速移動至較低壓力,液體注入器可使反應物汽化。在另一範例中,液體注入器可使該液體霧化為分散的微滴,該分散的微滴隨後在受熱的輸送管路中被汽化。較小的液滴之汽化可能比較大的液滴更快,縮短在液體注入與完全汽化之間之延遲。較快的汽化可減少在汽化點403下游之管路長度。在一情況中,液體注入器可直接安裝至混合容器404。在另一情況中,液體注入器可直接安裝至噴淋頭406。
在某些實施例中,在汽化點403上游之液體流量控制器(LFC)可設置以控制用於汽化及輸送之液體至處理站400之質流。例如,LFC可包含位於LFC下游之熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連通)所提供之反饋控制信號。然而,使用反饋控制可能花費1秒或更久來使液體流量穩定。這可能延長用於注入液體反應物之時間。因此,在某些實施例中,LFC可在反饋控制模式與直接控制模式之間進行動態地切換。在某些實施例中,此可藉由使LFC之感測管、及PID控制器失效而加以執行。
噴淋頭406分配處理氣體朝向基板412。在圖4所示之實施例中,基板412位於噴淋頭406之下方,並且置於基座408上。噴淋頭406可具有任何適當之形狀,並且可具有任何適當數目及配置之埠口,用以分配處理氣體至基板412。
在某些實施例中,基座408可上升或下降,以使基板412暴露至在基板412與噴淋頭406之間之容積。應當了解,在某些實施例中,可藉由適當的電腦控制器450以程式化地調整基座高度。
在另一情況中,調整基座408之高度可容許電漿密度在包含於處理中之電漿活化循環期間進行改變。在處理階段結束時,基座408可在另一基板轉移階段期間下降,以容許基板412從基座408移除。
在某些實施例中,噴淋頭406之位置可相對於基座408而加以調整,以改變在基板412與噴淋頭406之間之容積。此外,應當了解,在本揭露內容之範疇內,基座408及∕或噴淋頭406之垂直位置可藉由任何適當的機構而加以改變。在某些實施例中,基座408可包含旋轉軸,用於旋轉基板412之位向。應當了解,在某些實施例中,這些示例性調整其中一或更多者可藉由一或更多適當的電腦控制器450而程式化地加以執行。
在使用如上所述之電漿之某些實施例中,噴淋頭406及基座408與用來為電漿供電之射頻(RF)電源414及匹配網路416電性連通。在某些實施例中,電漿能量之控制可藉由控制下列之一或更多者:處理站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時序。例如,RF電源414及匹配網路416可操作於任何適當的功率,以形成具有期望組成之自由基物種之電漿。適當功率之範例係包含於上。同樣地,RF電源414可提供任何適當頻率之RF功率。在某些實施例中, RF電源414可配置成彼此獨立地控制高頻及低頻RF電源。示例性低頻RF頻率可包含,但不限於,0 kHz與500 kHz之間之頻率。示例性高頻RF頻率可包含,但不限於,1.8 MHz與2.45 GHz之間之頻率、或大於約13.56 MHz之頻率、或大於27 MHz之頻率、或大於40 MHz之頻率、或大於60 MHz之頻率。應當了解,任何適當的參數都可分離地或連續地加以調控,以提供用於表面反應之電漿能量。可控制及∕或維持電漿條件,俾使由蝕刻劑所產生之電漿優先在特徵部開口或附近進行蝕刻,而不是在特徵部之側壁中或底部。在一非限制性範例中,相對於連續供電之電漿,可使電漿功率間歇性地脈衝化,以減少離子與基板表面之轟擊。
在某些實施例中,電漿可藉由一或更多電漿監控器而受到原位(in-situ)監控。在一情況中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一情況中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在某些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而程式化地加以調整。例如,OES感測器可使用在用於提供電漿功率之程式控制之反饋迴路中。應當了解,在某些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包含,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
在某些實施例中,用於控制器450之指令可經由輸入∕輸出控制(IOC)序列指令而提供。在一範例中,用於設定處理階段之條件之指令可包含於處理配方之相對應的配方階段中。在某些情況中,可依序地設置處理配方階段,俾使用於處理階段之所有指令與該處理階段係同時執行。在某些實施例中,用以設定一或更多反應器參數之指令可包含於配方階段中。例如,第一配方階段可包含:用於設定惰性及∕或反應物氣體(例如,如二矽烷之第一前驅物)之流率之指令、用於設定載氣(例如氬)之流率之指令、以及用於第一配方階段之時間延遲指令。後續的第二配方階段可包含:用於調控或停止惰性及∕或反應物氣體之流率之指令、以及用於調控載體或吹淨氣體之流率之指令、以及用於第二配方階段之時間延遲指令。第三配方階段可包含:用於設定蝕刻劑氣體(可為含鹵素氣體,例如三氟化氮)之流率之指令、用於調控載氣之流率之指令、以及用於第三配方階段之時間延遲指令。第四配方階段可包含:用於調控或停止蝕刻劑氣體之流率之指令、用於調控載氣或吹淨氣體之流率之指令、以及用於第四配方階段之時間延遲指令。第五配方階段可包含:用於調控第二反應物氣體(例如氮)之流率之指令、用於調控載氣或吹淨氣體之流率之指令、以及用於第五配方階段之時間延遲指令。應當了解,這些配方階段可在本揭露內容之範疇內以任何適當方式進一步加以再分割及∕或重複。
在某些實施例中,基座408可經由加熱器410而受到溫度控制。此外,在某些實施例中,處理站400之壓力控制可藉由蝶形閥418而提供。如圖4之實施例中所示,蝶形閥418節流下游真空泵(未顯示)所提供之真空。然而,在某些實施例中,處理站400之壓力控制亦可藉由改變一或更多氣體導入至處理站400之流率而加以調整。
如上描述,一或更多處理站可包含於多站處理工具中。 圖5顯示多站處理工具500之實施例之概要圖,具有入站裝載室502及出站裝載室504,入站裝載室502及出站裝載室504其中一或兩者可包含遠端電漿源。在大氣壓力下之機械臂506係用以將晶圓自卡匣(透過盒508而裝載)經由大氣埠510移動至入站裝載室502中。晶圓係藉由機械臂506而放置在入站裝載室502中之基座512上,關閉大氣埠510,且抽空裝載室。在入站裝載室502包含遠端電漿源之情況中,可使晶圓在被導入處理腔室514之前而在裝載室中暴露至遠端電漿處理。此外,晶圓亦可在入站裝載室502中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室514之腔室傳送埠516,另一機械臂(未顯示)將晶圓放置在反應器中且在第一站(顯示在反應器中)之基座上,以進行處理。雖然圖5中所繪示之實施例包含裝載室,但應當了解,在某些實施例中,晶圓可直接進入處理站中。
在圖5中所示之實施例中,所描繪的處理腔室包含四處理站,編號為1到4。每一站具有受到加熱的基座(顯示於站1之518)、及氣體管線入口。應當了解,在某些實施例中,每一處理站可具有不同或多個目的。例如,在某些實施例中,處理站可在ALD與電漿輔助ALD處理模式之間進行切換。額外或替代地,在某些實施例中,處理腔室514可包含一或更多匹配成對的ALD及電漿輔助ALD處理站。儘管所描繪的處理腔室514包含四站,但應當理解,根據本揭露內容之處理腔室可具有任何適當數目之站。例如,在某些實施例中,處理腔室可具有五或更多站,然而在其它實施例中,處理腔室可具有三或更少站。
圖5描繪晶圓搬運系統590之實施例,用以在處理腔室514中轉移晶圓。在某些實施例中,晶圓搬運系統590可在各種處理站之間及∕或在處理站與裝載室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包含晶圓旋轉架及晶圓搬運機械臂。圖5亦描繪系統控制器550之實施例,用以控制處理工具500之處理條件及硬體狀態。系統控制器550可包含一或更多記憶體裝置556、一或更多大容量儲存裝置554、及一或更多處理器552。處理器552可包含CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。
在某些實施例中,系統控制器550控制處理工具500之所有活動。系統控制器550執行系統控制軟體558,系統控制軟體558係儲存於大容量儲存裝置554中、載入至記憶體裝置556中、以及在處理器552上執行。或者,可將控制邏輯硬編碼於控制器550中。對於這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用“軟體"或“編碼"之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體558可包含用以控制以下者之指令:時序、氣體之混合、氣體流率、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤及∕或托座位置、及藉由處理工具500而執行之特定處理之其它參數。系統控制軟體558可以任何適當的方式加以配置。例如,可撰寫各種處理工具元件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具元件之操作。系統控制軟體558可以任何適當的電腦可讀程式語言加以編碼。
在某些實施例中,系統控制軟體558可包含輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。在某些實施例中,可採用儲存於與系統控制器550相聯繫之大容量儲存裝置554及∕或記憶體裝置556上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件之程式碼,該處理工具元件係用以將基板裝載至基座518上,並且用以控制在基板與處理工具500之其它零件之間之間距。
處理氣體控制程式可包含用以控制氣體組成(例如,如本文所述之含矽氣體、含氮氣體、含鹵素蝕刻劑氣體、及吹淨氣體)及流率之編碼,以及可選地,用以在沉積之前使氣體流動至一或更多處理站中以穩定處理站壓力之編碼。壓力控制程式可包含用以控制處理站內壓力之編碼,其係藉由調節,例如,在處理站之排氣系統中之節流閥、進入處理站之氣體流動、等。
加熱器控制程式可包含用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。
根據本文中之實施例,電漿控制程式可包含用以對施加至一或更多處理站中之處理電極之RF功率位準進行設定之編碼。
根據本文中之實施例,壓力控制程式可包含用以在反應腔室中維持壓力之編碼。
在某些實施例中,可具有與系統控制器550相聯繫之使用者介面。使用者介面可包含顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指示裝置、鍵盤、觸控螢幕、麥克風、等。
在某些實施例中,由系統控制器550所調整之參數可能與處理條件有關。非限制性範例包含處理氣體組成及流率、電漿條件(例如,RF偏壓功率位準)、壓力、溫度、等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面而輸入。
藉由系統控制器550之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具500之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包含質流控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。
系統控制器550可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。
典型地,系統控制器550將包含一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將執行根據所揭露的實施例之方法。機器可讀媒體可耦接至系統控制器550,該機器可讀媒體包含用以根據所揭露的實施例而控制處理操作之指令。
在某些實行例中,系統控制器550為系統的一部分,其可為上述範例之一部分。這樣的系統可包含半導體處理設備,其中包含一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理元件(晶圓基座、氣體流動系統、等)。這些系統與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件係稱為“控制器",該控制器可控制一系統或複數系統之各種元件或子部分。根據處理條件及∕或系統類型,系統控制器550被程式化以控制本文中所揭露的任何處理,包含處理氣體之傳輸、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳輸設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,系統控制器550可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器550之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在某些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在某些實行例中,系統控制器550可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,系統控制器550可在“雲端"中、或使得晶圓處理之遠端控制得以進行之工廠主機電腦系統之全部或一部分。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設置在當前處理之後之處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在某些範例中,系統控制器550接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及系統控制器550與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器550可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中之處理。
非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於欲由工具所執行之處理步驟,系統控制器550可與下列之一或多者通訊:其它工具電路或模組、其它工具元件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
用以執行本文中所揭露的方法之適當設備係進一步討論及描述於:2011年4月11日申請、且名稱為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"之美國專利申請案第13/084,399號(現在之美國專利第8,728,956號);以及2011年4月11日申請、且名稱為“SILICON NITRIDE FILMS AND METHODS"之美國專利申請案第13/084,305號,其每一者之全部內容係合併於本文中。
本文中所描述之設備∕處理可結合微影圖案化工具或處理而使用,例如,用於半導體元件、顯示器、LED、太陽光電板等之製造或生產。通常,雖然不必然,這樣的工具∕處理將一起使用或執行於共同的製造設施內。膜之微影圖案化通常包含一些或全部下述步驟,每個步驟以幾個可能的工具提供:(1)工作件(亦即基板)上光阻之塗佈,使用旋轉式或噴塗式之工具;(2)光阻之固化,使用加熱板或加熱爐或UV固化工具;(3)以工具(例如晶圓步進機)使光阻暴露於可見光或UV光或x射線光;(4)使光阻顯影以便使用工具(例如溼式清洗台)選擇性地移除光阻及從而使其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案至下方膜或工作件中;及(6)使用工具(例如RF或微波電漿光阻剝除器)移除光阻。實驗 實驗 1
進行一實驗,以比較由習知ALD處理所沉積之矽氮化物膜、與由所揭露的實施例所沉積之矽氮化物膜之保形性。
在第一試驗中,使基板暴露於50個原子層沉積之循環,其中每一循環涉及使基板暴露至二矽烷、吹淨腔室、使基板暴露至氮並且點燃電漿、及吹淨腔室。在圖6A中顯示具有矽氮化物610沉積於特徵部上之基板600之影像。應當注意,在特徵部頂部之膜比側壁上之膜實質上更厚。
在第二試驗中,根據所揭露的實施例,使基板暴露於50個原子層沉積之循環,其中每一循環涉及使基板暴露至二矽烷、吹淨腔室、使基板暴露至三氟化氮(含鹵素蝕刻劑)、吹淨腔室、使基板暴露至氮並且點燃電漿、及吹淨腔室。使用於兩個試驗之吹淨氣體為氬。在每一循環中,使基板暴露至三氟化氮。在圖6B中顯示具有矽氮化物680沉積於特徵部上之基板600之影像。應當注意,相較於圖6A,在圖6B之特徵部頂部之膜厚度與側壁上之膜厚度實質上更為相近。
對於兩個試驗,測量在特徵部之頂部、在特徵部側壁之頂部、在特徵部側壁之底部、及特徵部之底部之矽氮化物膜之厚度。計算比例,以判定在這些不同部分之間之保形性。當比例較接近100%,則膜為較保形的。結果係顯示在以下之表1中。 表1 有及沒有蝕刻劑(NF3 )之矽氮化物沉積<TABLE border="1" borderColor="#000000" width="85%"><TBODY><tr><td>   </td><td>   </td><td> 有 蝕刻劑 </td><td> 沒有 蝕刻劑 </td></tr><tr><td> 所沉積的厚度 </td><td> 頂部 (Å) </td><td> 86 </td><td> 98 </td></tr><tr><td> 側壁,頂部 (Å) </td><td> 55 </td><td> 55 </td></tr><tr><td> 側壁,底部 (Å) </td><td> 29 </td><td> 23 </td></tr><tr><td> 底部 (Å) </td><td> 113 </td><td> 36 </td></tr><tr><td> 比例 </td><td> 側壁底部∕頂部之比例 </td><td> 33% </td><td> 23% </td></tr><tr><td> 底部∕頂部之比例 </td><td> 131% </td><td> 37% </td></tr><tr><td> 側壁底部∕側壁頂部之比例 </td><td> 52% </td><td> 29% </td></tr><tr><td> 沉積速率 </td><td> 頂部(Å∕循環) </td><td> 0.43 </td><td> 0.49 </td></tr><tr><td> 側壁,底部(Å∕循環) </td><td> 0.14 </td><td> 0.11 </td></tr></TBODY></TABLE>
如表1所示,相較於沒有蝕刻劑所沉積之膜之比例,具有蝕刻劑所沉積之膜之比例更接近100%。例如,具有蝕刻劑所沉積之膜之側壁底部對側壁頂部之比例為52%,相較於沒有蝕刻劑所沉積之膜之29%。這些結果建議,在使用所揭露的實施例所沉積之膜中之保形性改善,與在原子層沉積處理中納入蝕刻劑暴露有關。結論
儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯而易見的,在所附申請專利範圍的範疇中,可實行某些變更及修改。例如,雖然可能以特定順序而顯示或描述各種操作,實行例可包含以其它順序而執行操作之處理,除非有明確地指明。例如,在某些實施例中,本文中所揭露的操作可能以不同於圖式所描繪、或說明書或申請專利範圍所記載之順序加以實施,並且仍可達成良好的效果。此外,在某些實施例中,可能省略各種操作,或可能在所述的操作之外實施一或更多額外的操作。
應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
109‧‧‧操作
111‧‧‧操作
113‧‧‧操作
115‧‧‧操作
200‧‧‧基板
201‧‧‧機制
203‧‧‧機制
205‧‧‧機制
207‧‧‧機制
209‧‧‧機制
211‧‧‧二矽烷分子
217‧‧‧六氟二矽烷
221‧‧‧二矽烷分子
227‧‧‧六氟二矽烷
231‧‧‧二矽烷分子
237‧‧‧矽氮化物
243‧‧‧三氟化氮分子
250‧‧‧氟物種
255‧‧‧氟
260‧‧‧激發的氮物種
265‧‧‧Si-N鍵
300‧‧‧ALD處理
310A, 310B‧‧‧沉積循環
320A, 320B‧‧‧第一前驅物暴露階段
340A, 340B‧‧‧吹淨階段
350A, 350B‧‧‧蝕刻劑階段
355A, 355B‧‧‧吹淨階段
360A, 360B‧‧‧第二反應物與電漿暴露階段
380A, 380B‧‧‧吹淨階段
400‧‧‧原子層沉積處理站
401a‧‧‧反應物輸送系統
402‧‧‧處理腔室體
403‧‧‧汽化點
404‧‧‧混合容器
406‧‧‧分配噴淋頭
408‧‧‧基座
410‧‧‧加熱器
412‧‧‧基板
414‧‧‧射頻電源
416‧‧‧匹配網路
418‧‧‧蝶形閥
420‧‧‧混合容器入口閥
450‧‧‧電腦控制器
500‧‧‧多站處理工具
502‧‧‧入站裝載室
504‧‧‧出站裝載室
506‧‧‧機械臂
508‧‧‧盒
510‧‧‧大氣埠
512‧‧‧基座
514‧‧‧處理腔室
516‧‧‧腔室傳送埠
518‧‧‧基座
550‧‧‧系統控制器
552‧‧‧處理器
554‧‧‧大容量儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
600‧‧‧基板
610‧‧‧矽氮化物
680‧‧‧矽氮化物
圖1為處理流程圖,描繪根據所揭露的實施例之方法之操作。
圖2A及2B為概要圖,說明根據所揭露的實施例之用以沉積膜之機制之範例。
圖3為時序圖,顯示根據所揭露的實施例之方法中之循環之範例。
圖4為概要圖,說明用以執行所揭露的實施例之示例性處理腔室。
圖5為概要圖,說明用以執行所揭露的實施例之示例性處理工具。
圖6A為沉積在具有特徵部之基板上之矽氮化物膜之影像。
圖6B為在根據所揭露的實施例所執行之一實驗中、沉積在具有特徵部之基板上之矽氮化物膜之影像。
300‧‧‧ALD處理
310A,310B‧‧‧沉積循環
320A,320B‧‧‧第一前驅物暴露階段
340A,340B‧‧‧吹淨階段
350A,350B‧‧‧蝕刻劑階段
355A,355B‧‧‧吹淨階段
360A,360B‧‧‧第二反應物與電漿暴露階段
380A,380B‧‧‧吹淨階段

Claims (20)

  1. 一種在腔室中處理基板之方法,該方法包含: (a) 提供具有一或更多特徵部之一基板,每一特徵部包含一特徵部開口; (b) 在容許一含矽前驅物吸附至該基板之表面上之條件下,使該基板暴露至該含矽前驅物,藉此形成該含矽前驅物之一吸附層; (c) 在使該基板暴露至該含矽前驅物之後,使該基板暴露至一含鹵素蝕刻劑;及 (d) 使該基板暴露至一含氮反應物及點燃電漿,以選擇性地蝕刻在該等特徵部開口或附近之該含矽前驅物之該吸附層,及形成一矽氮化物膜。
  2. 如申請專利範圍第1項之在腔室中處理基板之方法,其中在容許該含鹵素蝕刻劑選擇性吸附至該含矽前驅物之該吸附層上之條件下,使該基板暴露至該含鹵素蝕刻劑。
  3. 如申請專利範圍第1項之在腔室中處理基板之方法,其中該含鹵素蝕刻劑係選自於由三氟化氮、氯、三氟甲烷、四氟化碳、及其組合所組成之群組。
  4. 如申請專利範圍第1項之在腔室中處理基板之方法,其中該含鹵素蝕刻劑包含具有化學式Cn F2n+2 或Cn F2n 之化合物,其中n > 1。
  5. 如申請專利範圍第1-4項其中任一項之在腔室中處理基板之方法,其中在使該基板暴露至該含鹵素蝕刻劑之後,吹淨該腔室。
  6. 如申請專利範圍第5項之在腔室中處理基板之方法,其中藉由使一吹淨氣體流動,以吹淨該腔室,該吹淨氣體係選自於由氬、氦、氮、及氫所組成之群組。
  7. 如申請專利範圍第1-4項其中任一項之在腔室中處理基板之方法,其中該含矽前驅物係選自於由甲矽烷、二矽烷、三矽烷、四矽烷、三矽基胺、胺基矽烷、及鹵代矽烷所組成之群組。
  8. 如申請專利範圍第1-4項其中任一項之在腔室中處理基板之方法,其中該含氮反應物係選自於由氮、氨、聯胺、及胺所組成之群組。
  9. 如申請專利範圍第1-4項其中任一項之在腔室中處理基板之方法,更包含重複步驟 (a) – (d)。
  10. 如申請專利範圍第1-4項其中任一項之在腔室中處理基板之方法,其中在同一腔室中執行步驟 (a) – (d)。
  11. 一種在腔室中處理基板之方法,該方法包含: 藉由執行一或更多循環以沉積一膜,一循環包含: (a) 提供具有一或更多特徵部之一基板,每一特徵部包含一特徵部開口; (b) 在容許一第一前驅物吸附至該基板之表面上之條件下,使該基板暴露至該第一前驅物,藉此形成該第一前驅物之一吸附層; (c) 在使該基板暴露至該第一前驅物之後,使該基板暴露至一含鹵素蝕刻劑;及 (d) 使該基板暴露至一第二反應物及點燃電漿,以選擇性地蝕刻在該等特徵部開口或附近之該第一前驅物之該吸附層,及形成一膜。
  12. 如申請專利範圍第11項之在腔室中處理基板之方法,其中該含鹵素蝕刻劑係選自於由三氟化氮、氯、三氟甲烷、四氟化碳、及其組合所組成之群組。
  13. 如申請專利範圍第11或12項之在腔室中處理基板之方法,其中在步驟 (d) 之前,吹淨該腔室。
  14. 如申請專利範圍第11或12項之在腔室中處理基板之方法,其中該膜係介電膜或金屬膜。
  15. 如申請專利範圍第11或12項之在腔室中處理基板之方法,其中該膜係選自於由矽氮化物、矽碳化物、及矽氧化物所組成之群組。
  16. 如申請專利範圍第11或12項之在腔室中處理基板之方法,其中該第二反應物係氧化劑或還原劑。
  17. 如申請專利範圍第11或12項之在腔室中處理基板之方法,其中每n個循環執行步驟 (d),其中n係等於或大於1之整數。
  18. 一種處理基板之設備,該設備包含: (a) 至少一處理腔室,該處理腔室包含一基座,用以支托一基板; (b) 至少一出口,用以連接至一真空; (c) 一或更多處理氣體入口,連接至一或更多含矽前驅物源及一或更多含鹵素蝕刻劑; (d) 一射頻(RF)產生器;及 (e) 一控制器,用以控制在該設備中之操作,該控制器包含複數機器可讀指令,該等機器可讀指令係用於: (i) 引入一含矽前驅物至一處理腔室; (ii) 在引入該含矽前驅物之後,引入一含鹵素蝕刻劑至該腔室;及 (iii) 引入一含氮反應物至該腔室及點燃電漿,以形成一矽氮化物膜。
  19. 如申請專利範圍第18項之處理基板之設備,其中該控制器更包含用於在引入該含氮反應物之前、引入一吹淨氣體以吹淨該腔室之機器可讀指令。
  20. 如申請專利範圍第18項之處理基板之設備,其中該含鹵素蝕刻劑係選自於由三氟化氮、氯、三氟甲烷、四氟化碳、及其組合所組成之群組。
TW105109955A 2015-04-03 2016-03-30 藉由原子層沉積及原子層蝕刻的保形膜之沉積 TWI706049B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/678,736 US9502238B2 (en) 2015-04-03 2015-04-03 Deposition of conformal films by atomic layer deposition and atomic layer etch
US14/678,736 2015-04-03

Publications (2)

Publication Number Publication Date
TW201704517A true TW201704517A (zh) 2017-02-01
TWI706049B TWI706049B (zh) 2020-10-01

Family

ID=57016250

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105109955A TWI706049B (zh) 2015-04-03 2016-03-30 藉由原子層沉積及原子層蝕刻的保形膜之沉積

Country Status (4)

Country Link
US (1) US9502238B2 (zh)
KR (1) KR102602830B1 (zh)
CN (1) CN106057637B (zh)
TW (1) TWI706049B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI711716B (zh) * 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
TWI800587B (zh) * 2017-12-28 2023-05-01 美商蘭姆研究公司 利用化學抑制的膜層保形性調變
US11832533B2 (en) 2018-08-24 2023-11-28 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials

Families Citing this family (454)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10804094B2 (en) * 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) * 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP6770825B2 (ja) * 2016-04-27 2020-10-21 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102528559B1 (ko) * 2016-07-26 2023-05-04 삼성전자주식회사 대면적 기판 제조 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6767885B2 (ja) * 2017-01-18 2020-10-14 東京エレクトロン株式会社 保護膜形成方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7071850B2 (ja) * 2017-05-11 2022-05-19 東京エレクトロン株式会社 エッチング方法
US10483118B2 (en) 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN117637438A (zh) * 2018-01-15 2024-03-01 应用材料公司 添加氩至远程等离子体氧化
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) * 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102475843B1 (ko) * 2018-05-30 2022-12-09 주식회사 원익아이피에스 박막 형성 방법
KR102475844B1 (ko) * 2018-05-11 2022-12-09 주식회사 원익아이피에스 기판 처리 장치
KR102466724B1 (ko) * 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP6860537B2 (ja) * 2018-09-25 2021-04-14 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110379712A (zh) * 2019-08-05 2019-10-25 德淮半导体有限公司 一种刻蚀方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7114554B2 (ja) 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) * 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220071911A (ko) * 2020-11-24 2022-05-31 에이에스엠 아이피 홀딩 비.브이. 갭 충진 방법과 이와 관련된 시스템 및 소자
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199418A1 (en) * 2020-12-17 2022-06-23 Tokyo Electron Limited Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102654170B1 (ko) * 2021-02-17 2024-04-04 대전대학교 산학협력단 액상 전구체를 이용한 원자층 식각 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220407000A1 (en) * 2021-06-16 2022-12-22 Macronix International Co., Ltd. Memory with laminated cell
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202400826A (zh) * 2021-12-20 2024-01-01 美商蘭姆研究公司 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積
TW202346626A (zh) * 2022-02-15 2023-12-01 美商蘭姆研究公司 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5225081B2 (zh) * 1972-07-24 1977-07-05
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20130320429A1 (en) * 2012-05-31 2013-12-05 Asm Ip Holding B.V. Processes and structures for dopant profile control in epitaxial trench fill
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI711716B (zh) * 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
TWI800587B (zh) * 2017-12-28 2023-05-01 美商蘭姆研究公司 利用化學抑制的膜層保形性調變
US11832533B2 (en) 2018-08-24 2023-11-28 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials

Also Published As

Publication number Publication date
TWI706049B (zh) 2020-10-01
CN106057637A (zh) 2016-10-26
KR20160118968A (ko) 2016-10-12
KR102602830B1 (ko) 2023-11-15
CN106057637B (zh) 2019-11-05
US9502238B2 (en) 2016-11-22
US20160293398A1 (en) 2016-10-06

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US10490413B2 (en) Selective growth of silicon nitride
US10199212B2 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
TWI718131B (zh) 超薄原子層沉積膜精度厚度控制
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
KR20150060583A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗