TW201629253A - 含矽膜之原子層沉積中的選擇性抑制 - Google Patents

含矽膜之原子層沉積中的選擇性抑制 Download PDF

Info

Publication number
TW201629253A
TW201629253A TW104137641A TW104137641A TW201629253A TW 201629253 A TW201629253 A TW 201629253A TW 104137641 A TW104137641 A TW 104137641A TW 104137641 A TW104137641 A TW 104137641A TW 201629253 A TW201629253 A TW 201629253A
Authority
TW
Taiwan
Prior art keywords
processing
ruthenium
substrate
exposing
inhibitor
Prior art date
Application number
TW104137641A
Other languages
English (en)
Inventor
強 亨利
丹尼斯 M 豪斯曼恩
史貴凡迪 巴頓 J 凡
沙恩 唐
卡爾 F 里瑟
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201629253A publication Critical patent/TW201629253A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供選擇性抑制藉由原子層沉積所沉積之含矽膜之沉積作用的方法。選擇性抑制涉及將含矽前驅物之吸附層暴露到含氫抑制劑,且於若干例子中,在將吸附層暴露到第二反應劑之前進行選擇性抑制。暴露到含氫抑制劑可在有電漿存在之情況下執行,且方法適用於含矽膜之熱或電漿增強原子層沉積中的選擇性抑制。

Description

含矽膜之原子層沉積中的選擇性抑制
本發明係關於含矽膜之原子層沉積中的選擇性抑制。
含矽膜具有多樣的物理、化學、及機械性質,且常用於半導體製程處理中。例如,矽氮化物膜可使用作為擴散阻障物、閘極絕緣體、側壁間隔物、以及封裝層,而矽氧化物可使用作為介電膜。在許多應用中,透過化學氣相沉積(CVD)或原子層沉積(ALD)來沉積含矽膜。然而,一些含矽膜的沉積作用並非保形的。隨著裝置尺寸持續微型化,調節含矽膜的沉積輪廓之要求增加。
本文中提供沉積保形含矽膜之方法。一態樣涉及透過下列步驟來處理半導體基板的方法:將含有特徵部的基板暴露到含矽前驅物,以形成吸附層;並且將該吸附層暴露到含氫抑制劑,以選擇性抑制在該基板上的含矽膜之沉積作用;其中該含矽前驅物包含至少一Si-H鍵。
在一些實施例中,在該特徵部的頂部約10%之處的沉積作用被選擇性抑制。在一些實施例中,該含氫抑制劑選自由氨、氫、及該者之組合所組成之群組。
在許多實施例中,該方法更包含在將該吸附層暴露到含氫抑制劑之步驟後,將該基板暴露到第二反應劑,以形成該含矽膜。在一些實施例中,該第二反應劑選自由氮、含氮氣體、及含氧氣體所組成之群組。在一些實施例中,將該基板暴露到第二反應劑之步驟更包括引燃電漿。
在一些實施例中,將該吸附層暴露到含氫抑制劑之步驟更包括引燃原位電漿。在一些實施例中,該含矽膜包括矽氧化物。在一些實施例中,該方法更包含在將該吸附層暴露到含氫抑制劑之步驟後,將該基板暴露到第二反應劑,以形成該含矽膜,其中該第二反應劑為含氧反應劑。
在許多實施例中,該吸附層包含懸鍵、矽-矽二聚物、及該者之組合。該方法可包含在將該基板暴露到含矽前驅物之步驟後,沖洗容置該基板的反應腔室。
在一些實施例中,該含矽膜包括矽氮化物。在一些實施例中,該含矽膜包括晶體矽、非晶矽、或多晶矽。
另一態樣涉及透過下列步驟來處理半導體基板的方法: 將基板暴露到含矽前驅物,以形成吸附層;將該吸附層暴露到含氫化合物;並且在將該吸附層暴露到該含氫化合物之後,將該基板暴露到第二反應劑,以在該基板上形成含矽膜;使得該含矽前驅物包含至少一Si-H鍵。
在一些實施例中,將該吸附層暴露到含氫抑制劑之步驟更包括引燃電漿。在一些實施例中,將該基板暴露到第二反應劑之步驟更包括引燃電漿。該含氫抑制劑可選自由氨、氫、及該者之組合所組成之群組。
本文中揭露之標的物的另一態樣涉及一方法,該方法包括下列步驟: 將具有位於其上之特徵部的基板暴露到抑制劑,使得該抑制劑選擇性吸附於該特徵部之頂部的位置上;並且執行一或更多沉積循環以在該特徵部中沉積材料。
本文中揭露之標的物的另一態樣可以一方法來實施,該方法包括執行一沉積循環,並且重複執行該沉積循環一或更多次以在特徵部中沉積材料,而該沉積循環包括下列操作:將具有位於其上之特徵部的基板暴露到抑制劑,使得該抑制劑選擇性吸附於該特徵部之頂部的位置上;選擇性將在周圍的該抑制劑移除;將該基板暴露到第一前驅物;選擇性將在周圍的該第一前驅物移除;將該基板暴露到第二前驅物;並且選擇性將在周圍的該第二前驅物移除。
另一態樣涉及處理半導體基板的設備,該設備包括: 至少一處理腔室,其包含用以固持基板的支座;至少一出口,用以耦接至真空;一或更多處理氣體入口,耦接至一或更多含矽前驅物來源;一或更多處理氣體入口,耦接至一或更多含氫抑制劑來源;一或更多處理氣體入口,耦接至一或更多第二反應劑來源;以及用以控制該設備中的操作的一控制器。該控制器包括用於執行本文中揭露之任何方法的機器可讀指令。在一些實施例中,該控制器包括用於下列操作的機器可讀指令:將該一或更多含矽前驅物引入該處理腔室中以形成吸附層;將該一或更多含氫抑制劑引入該處理腔室中以選擇性抑制含矽膜之沉積作用;以及將該一或更多第二反應劑引入該處理腔室中以形成該含矽膜;使得該一或更多含矽前驅物來源包含具有至少一Si-H鍵的化合物。
在一些實施例中,用於引入該一或更多含氫抑制劑的機器可讀指令更包含引入該一或更多含氫抑制劑持續介於約0.05秒與約60秒之間的期間。在一些實施例中,該一或更多含氫抑制劑選自由氨、氫、及該者之組合所組成之群組。
在許多實施例中,該設備亦包含一電漿產生器,其中該控制器更包括用於執行下列操作之機器可讀指令:在引入該一或更多含氫抑制劑的同時引燃電漿。在一些實施例中,該設備亦包含一電漿產生器,使得該控制器更包括用於執行下列操作之機器可讀指令:在引入該一或更多第二反應劑的同時引燃電漿。
這些與其他態樣參考圖式進一步描述如下。
為了提供本文之實施例的全面性的理解,在接下來的實施方式中闡述許多具體的細節。所揭露之實施例毋須一些或全部該等具體細節而可被實施。在一些例子中,為了避免不必要地混淆所揭露之實施例,熟知的製程操作未詳細描述。雖然所揭露之實施例結合具體的實施例來描述,但應知悉的係,吾人不欲限制該等所揭露之實施例。
含矽膜在半導體裝置製造中扮演重要的角色。例如,矽氮化物可使用作為擴散阻障物、閘極絕緣體、側壁間隔物、封裝層、以及蝕刻停止層,而矽氧化物可使用作為半導體裝置中的低k介電膜。亦可透過多樣的處理來沉積晶體矽、非晶矽、及多晶矽。在許多應用中,將含矽膜保形地沉積在基板的特徵部上。一些保形的含矽膜可透過原子層沉積(ALD)來沉積。然而,一些沉積處理仍在具有特徵部的基板上產生非保形的沉積。
本文中提供選擇性抑制含矽膜之沉積作用的方法。該等方法包括透過在有或無電漿存在的情況下將基板或基板上的特徵部暴露到含氫抑制劑(其可為含氫氣體)之選擇性抑制。具體而言,在一 ALD沉積循環期間,在含矽前驅物吸附於基板之表面上之後可執行暴露到該含氫氣體之選擇性抑制。使用本文提供之方法的選擇性抑制,可執行在用於沉積含矽膜之含矽前驅物的吸附層上。在許多實施例中,該含矽前驅物包括至少一氫原子,且在基板上的含矽前驅物的吸附層包括懸鍵、矽-矽二聚物、及/或其他高反應性結構。
方法可應用於熱ALD 及電漿增強ALD (PEALD)兩者。所沉積的膜為高保形性的。膜的保形性可透過階梯覆蓋率來量測。階梯覆蓋率可透過將在特徵部的底部、側壁或頂部上的沉積膜之平均厚度與在特徵部的底部、側壁或頂部上的沉積膜之平均厚度進行比較計算而得。 例如,階梯覆蓋率可透過將在側壁上的沉積膜之平均厚度除以在特徵部的頂部上的沉積膜之平均厚度、再乘以100而得一比例計算而得。使用本文所述之ALD循環與抑制暴露所沉積的膜,產生保形的含矽膜,其具有良好的階梯覆蓋率 (例如就約4:1之深寬比而言至少約 80%)且具有約50Å的膜厚度。在一些實施例中, 選擇性抑制係針對ALD處理而執行以產生保形膜。
本文中提供之方法涉及使用ALD的沉積作用。與化學氣相沉積(CVD)技術不同的係,ALD處理使用表面介導的(surface-mediated)沉積反應來一層一層地沉積薄膜。在ALD處理的一範例中,將一基板之表面(包括群集的表面反應性位置)暴露到提供至容置該基板的處理站的一劑量的第一反應物或前驅物(例如含矽前驅物)的氣相分布。此第一前驅物的分子吸附在該基板之表面上,包括該第一前驅物的化學吸附物種及/或物理吸附分子。應知悉的係,當化合物如本文所述般吸附於基板之表面上時,吸附層包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層包括該含矽前驅物以及該含矽前驅物的衍生物。在某些實施例中,ALD前驅物的一劑量使該基板之表面部分地飽和。在一些實施例中,ALD循環的用劑階段在前驅物接觸該基板而使該表面均勻地飽和之前結束。典型上,此時將該前驅物的流量關閉或轉向,並僅流動沖洗氣體。透過以此次飽和方案來操作,ALD處理縮短循環時間並提高產出量。然而,因為前驅物的吸附作用不受飽和所限制,所以吸附的前驅物濃度在整個基板表面上可能稍微地變化。以次飽和方案來操作的ALD處理的範例記載於美國專利申請案第14/061587號,申請日為2013年10月23日,案名為「SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」,該案以全文加入本案之參考資料。在第一前驅物用劑之後,將反應器排空以將維持於氣相的任何第一前驅物移除,使得僅吸附的物種被留下。將第二反應劑(例如含氮反應劑)引入該反應器中,使得若干的這些分子與吸附在該表面上的第一前驅物進行反應。在一些處裡中,該第二反應劑立刻地與吸附的第一前驅物進行反應。在其他實施例中,該第二反應劑僅在施加活化來源之後暫時地進行反應。然後再次將該反應器排空,以將未結合的第二反應劑之分子移除。可使用另外的ALD循環來積累膜的厚度。
在一些實施例中,該ALD方法包括電漿活化。如本文中所述,本文所述之該ALD方法與設備為保形膜沉積(CFD)方法,其概括地記載於美國專利申請案第13/084399號 (現為美國專利案第 8728956號),申請日為 2011年4月11,案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;並記載於美國專利申請案第13/084305,申請日為 2011年4月11,案名為「SILICON NITRIDE FILMS AND METHODS」,該等案以全文加入本案之參考資料。
圖1為一處理流程圖之範例,根據所揭露之實施例描繪用以執行方法的操作。圖2為根據所揭露之實施例的例示性脈衝的時序圖。圖2針對各種製程參數(例如載氣流量、含矽前驅物流量、電漿、抑制劑、及第二反應劑流量),呈現例示性ALD處理200中的階段。在圖2中,用氬來表示例示性載氣。相應地,線表示開啟與關閉流量或電漿的時間。例示性製程參數包括(但不限於)惰性與反應性物種的流速、電漿功率與頻率、基板溫度、及處理站壓力。注意圖2中的各個階段的持續期間未按比例繪製。圖1與2一起描述如下。
在圖1的操作103-109期間,可流動惰性氣體。在許多實施例中,惰性氣體使用作為載氣。例示性載氣包括氬(Ar)、氦(He)、及氖(Ne)。圖2中的例示性順序使用氬作為例示性載氣,其在整個處理期間連續地流動。惰性氣體可提供以幫助處理腔室的壓力及/或溫度控制、液態反應劑的汽化、反應劑的更快速輸送、及/或可提供作為清掃氣體,用以將處理氣體從處理腔室及/或處理腔室管路系統中移除。
在圖1的操作101中,將基板提供到處理站中。在一些實施例中,該站可為處理腔室。該基板可為矽晶圓,例如200-mm 晶圓、 300-mm晶圓、或 450-mm晶圓,其包括具有一或更多層的材料(例如沉積於其上的介電性、傳導性、或半導體性材料)的晶圓。基板可具有「特徵部」(例如穿孔或接觸窗孔洞),其特徵為一或更多狹窄及/或內凹的開口、特徵部中的收縮部、及高深寬比。特徵部可形成在上述之層中的一或更多者中。特徵部的一範例為在半導體基板或基板上的一層中的孔洞或穿孔。另一範例為在基板或層中的溝槽。在許多實施例中,特徵部具有底層(例如阻障層或黏附層)。底層的非限制性範例包括介電性層與傳導性層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,特徵部具有至少約 2:1、至少約4:1、至少約6:1、至少約10:1、或更高的深寬比。 特徵部亦具有介於約10 nm 與 500 nm(例如介於約 25 nm 與約 300 nm)間的在開口附近的尺寸,例如 開口直徑或線路寬度。本文中所揭露之方法可執行在具有開口小於約150 nm的特徵部的基板上。特徵部穿孔或溝槽可稱為未填充的特徵部或特徵部。特徵部可具有從特徵部的底部、封閉端、或內部向特徵部的開口變窄的內凹輪廓。
在圖1的操作103中,將基板暴露到含矽前驅物,該含矽前驅物吸附於該基板之表面上。此操作可為ALD循環的一部分。ALD「循環」之概念與本文中之許多實施例的討論有關。一般而言,一個循環係用於執行一次表面沉積反應之最小的操作組。一個循環之結果係在基板表面上產生至少一部分的含矽膜層。典型上,一個ALD循環包括下列操作:將至少一反應劑輸送並吸附到基板表面上,然後使吸附的反應劑與一或更多反應劑進行反應以形成部分的膜層。該循環可包括某些輔助操作,例如清掃反應劑或副產物其中一者,及/或處理初沉積(as deposited)之部分膜。通常,一個循環包含一極佳操作順序之例子。作為範例,一個循環可包含下列操作:(i)輸送/吸附含矽前驅物;(ii)將含矽前驅物從站中沖除;(iii)輸送第二反應劑與選擇性電漿;以及(iv) 將第二反應劑與選擇性電漿從站中沖除。
回到圖1,在操作103期間,將基板暴露到含矽前驅物,使得該含矽前驅物吸附於該基板之表面上而形成吸附層。與形成矽(Si)層的CVD或似CVD處理不同,該含矽前驅物不分解而形成矽層。
在一些實施例中,該含矽前驅物以自身限制的方式吸附於該基板之表面上,使得一旦含矽前驅物佔據反應性位置,則少或無其他的含矽前驅物可吸附於該基板之表面上。在許多實施例中,執行操作103使得並非全部的反應性位置皆被含矽前驅物佔據。例如,含矽前驅物可吸附於約60%的基板表面上。在許多實施例中,當含矽前驅物流進站中時,含矽前驅物吸附於該基板之表面的反應性位置上,而在該表面上形成該含矽前驅物之薄層。在一些實施例中,形成該含矽前驅物的單層。在一些實施例中,該層可小於一單層,例如具有介於約0.2 Å 與約 0.4 Å之間的厚度。可將該基板暴露到該含矽前驅物持續介於約0.2秒與約6秒之間的期間,視流速與基板的表面面積而定。應知悉根據所使用的特定硬體與製程參數,可使用其他的暴露時間。圖3為基板表面上的高反應性吸附層之範例的示意圖。在此範例中,所描繪之表面為基板之頂部,其介於兩個特徵部的開口之間。在301中,提供二矽烷作為吸附於基板之表面上的含矽前驅物之範例。不限於特定理論,吾人認為含矽前驅物之吸附層包括高反應性物種,例如懸鍵(321、331)及/或矽-矽二聚物(311),而產生高反應性表面。懸鍵為矽原子上的不飽和價電子。這些懸鍵中的若干者因氫從含矽前驅物中的矽原子上斷開、因此留下高反應性懸鍵而產生。在一些實施例中,位於或接近該等特徵部的頂部(例如特徵部的頂部約10%之處)的吸附層較在特徵部的側壁與底部者更具反應性。這些反應性表面易於進行自由基機制,尤其係在有含氫化合物的情況下。
適用於本文所述之處理的含矽前驅物包含至少一Si-H鍵。可根據待沉積的層來選擇該前驅物。例如,若待沉積矽氮化物層,則可使用含矽前驅物(例如二矽烷),如同時申請的美國專利申請案第 14/552245號中所記載,申請日為2014年11月24日,案名為「METHOD OF DEPOSITING AMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM」(代理人案號3508-1/LAMRP141),該案加入本案之參考資料。
用於本文所述之方法的一般的含矽前驅物可具有如下結構:其中R1 、R2 、及R3 可為相同或不同的取代基,且可包括矽烷類、胺類、 鹵化物類、氫、或有機基團,例如烷基胺類、烷氧基、烷基、 烯基、 炔基、及芳香基團。
例示性含矽前驅物包括聚矽烷類(H3 Si‑(SiH2 ) ‑SiH3 ),其中 n 1,例如矽烷、二矽烷、三矽烷、四矽烷、及三矽基胺:
在一些實施例中,該含矽前驅物為烷氧基矽烷。  可使用的烷氧基矽烷類包括(但不限於)如下: Hx -Si-(OR)y ,其中x = 1-3,x+y = 4 且 R為被取代或未被取代的烷基基團;以及 Hx (RO)y -Si-Si-(OR)y Hx ,其中 x = 1-2, x+y = 3 且 R 為被取代或未被取代的烷基基團。
含矽前驅物之範例包括:甲基矽烷; 三甲基矽烷 (3MS); 乙基矽烷; 丁基矽烷類; 戊基矽烷類; 辛基矽烷類; 庚基矽烷;己基矽烷; 環丁基矽烷; 環庚基矽烷; 環己基矽烷; 環辛基矽烷; 環戊基矽烷; 1,4‑二氧雜‑2,3,5,6‑四矽雜環己烷;  二乙氧基甲基矽烷(DEMS); 二乙氧基矽烷(DES); 二甲氧基甲基矽烷; 二甲氧基矽烷(DMOS); 甲基二乙氧基矽烷(MDES); 甲基二甲氧基矽烷(MDMS); 八甲氧基十二矽氧烷(OMODDS); 第三丁氧基二矽烷; 四甲基環四矽氧烷(TMCTS); 四氧基甲基環四矽氧烷(TOMCTS); 三乙氧基矽烷(TES); 三乙氧基矽氧烷 (TRIES); 及三甲氧基矽烷(TMS或 TriMOS)。
在一些實施例中,該含矽前驅物可為具有氫原子的胺基矽烷,例如雙二乙基胺基矽烷、二異丙基胺基矽烷、雙(第三丁基胺基)矽烷(BTBAS)、或三(二甲基胺基)矽烷。  胺基矽烷前驅物包括(但不限於)如下:Hx -Si-(NR)y ,其中x = 1-3,x + y = 4 且 R為有機或氫化物基團。
在一些實施例中,可使用含鹵素矽烷,使得該矽烷包括至少一氫原子。 此類矽烷可具有SiXa Hy 的化學式,其中 y 1。例如,可使用二氯矽烷(H2 SiCl2 ) ,但四氯矽烷 (SiCl4 )不適用於所揭露之實施例。
回到圖1,在操作105中,選擇性沖洗該處理站以將未吸附於該基板之表面上的多餘的氣相含矽前驅物移除。沖洗可涉及清掃氣體,其可為其他操作中使用的載氣或不同的氣體。在一些實施例中,沖洗可涉及將該站排空。圖1的操作105可對應到圖2的沖洗階段240A,其中關閉含矽前驅物的流量、不引燃電漿、且不供應第二反應劑至該站。持續流動該載氣(例如氬)以將任何多餘的含矽前驅物從該站中沖除。在一些實施例中,沖洗階段240A可包括一或更多排空次階段以將該處理站排空。替代地,已知在一些實施例中,可省略沖洗階段240A。沖洗階段240A可具有任何適當的持續期間,例如介於約0秒與約60秒之間。在一些實施例中,將一或更多清掃氣體的流速提高可縮短沖洗階段240A的持續期間。例如,可根據各種反應劑的熱力學性質及/或處理站及/或處理站管路系統的幾何性質來調整沖洗氣體的流速,以修改沖洗階段240A的持續期間。在一非限制性的範例中,可透過調變清掃氣體的流速來調整清掃階段的持續期間。這可縮短沉積循環時間,而提高基板產出量。在沖洗之後,含矽前驅物之分子維持吸附於該基板之表面上。
回到圖1,在操作106中,將該基板暴露到含氫抑制劑,其可為含氫氣體。沉積作用可被熱抑制,或如下所述般在一些實施例中,可使用電漿。注意含氫抑制劑並非在每一循環中皆流動。該含氫氣體可為氨(NH3 )、氫(H2 )、或其他含氫氣體。在一些實施例中,使用二或更多含氫氣體之混合物。在一些實施例中,含氫抑制劑可流進該站達介於約0.05秒與約60秒之時間。基板暴露到含氫抑制劑的時間、含氫抑制劑之流速、及含氫抑制劑之分壓可取決於基板的類型、特徵部的類型、及所使用之抑制劑的類型。可調變該暴露時間與該抑制劑的流速以對位於或靠近特徵部之頂部的表面進行選擇性抑制。較長的暴露時間不單僅對進行沉積處理的特徵部之頂部造成抑制作用,若在無抑制處理的情況下進行沉積處理,位於特徵部之頂部的沉積膜會比在特徵部之側壁或底部者更厚。可根據所需的沉積輪廓而做出暴露時間與流速的改變。在一些情況下,沉積作用可為非保形的,使得在特徵部之頂部具有更多反應性位置。在此種情況下,不需要精準地控制抑制處理的條件。
在一些實施例中,調變抑制作用的保形性可包括以或不以受質量輸送限制的方案來操作。在受質量輸送限制的方案中,特徵部內部的處理速率受到抑制劑擴散進入特徵部的量所限制。限制質量輸送的製程條件可透過下列動作達到:將限量的抑制劑供應到處理腔室中(例如相對於孔洞的輪廓與尺寸,使用低的處理流速),但維持較高的處理速率,以在當抑制劑擴散進入特徵物時將若干的抑制劑消耗。在某些實施例中,濃度梯度很大,而這可能起因於相對上高的抑制處理動力學以及相對上低的處理供應。
在一些實施例中,在操作106中選擇性引燃電漿。在一些實施例中,可在引燃電漿之前使含氫抑制劑流進容置基板的處理站中,使得該抑制劑的流量穩定化。在一些實施例中,在與含氫抑制劑之流量相同或實質上相同的持續期間中引燃電漿。在許多實施例中,該電漿為射頻(RF)電漿,其具有至少約13.56 MHz的頻率。該電漿可為原位電漿。在一些實施例中,可使用遠端電漿。該電漿之功率可取決於基板的類型、特徵部的類型、及所使用之抑制劑的類型。大致上,較高功率的電漿允許抑制劑更深地流進特徵部中,而因此抑制特徵部中的側壁上的更深的表面。因此,所使用的電漿功率可取決於特徵部的深度與圖案(例如溝槽、寬特徵部、窄特徵部等)。在一些實施例中,僅在若干循環中(其中流動含氫抑制劑)引燃電漿。
針對矽氧化物之沉積作用,使用含氫電漿來抑制沉積作用。例示性含氫電漿包括氫電漿與氨電漿。例如,若在一ALD循環中沉積矽氧化物,且基板的表面在與氧進行反應之後包括端接Si‑OH的表面,在接續的循環中電漿可與含氫抑制劑一起使用,以抑制沉積作用。在一些實施例中,可使用含氫電漿以形成端接Si‑H的表面。為改善沉積作用,可將端接Si‑OH的表面暴露到氮電漿以形成端接Si‑NH2 的表面,其比端接Si-OH 的表面更容易成核。在接續的ALD循環中,當使用氧化電漿以形成矽氧化物時,–NH2 基團被移除並再轉化成–OH 基團。
本文所述之抑制方法適用於使用自由基機制(而非酸鹼機制)來沉積的含矽膜。也就是說,吸附於基板表面上的含矽前驅物可形成矽-矽二聚物及/或矽懸鍵,藉此形成反應性位置,該等反應性位置準備在接續的處理中與第二反應劑進行反應以形成含矽膜。自由基機制與酸鹼機制不同。在用於在基板上沉積含矽膜的酸鹼處理中,吸附於基板表面上的含矽前驅物可端接原子或基團,該原子或基團可作為酸或可從第二反應劑接收電子,而該第二反應劑作為鹼並且貢獻反應中的電子。例如,在酸鹼反應中,四氯矽烷可吸附於基板表面上、留下端接氯的表面,該表面與(例如)作為反應劑氣體的氨進行反應,氨貢獻電子而形成矽氮化物。相反地,在自由基機制中,表面上的矽-矽二聚物或矽懸鍵更容易與氨反應劑中的氫鍵結,而非形成端接胺的表面。包括Si-H鍵的含矽前驅物進行自由基機制,而不具有Si-H鍵的前驅物進行酸鹼反應。根據所揭露之實施例,不具有Si-H鍵而因此不使用的例示性化合物包括Si(N(CH3 )2 )4 與SiCl4
如圖3所示,在303中,吸附層的懸鍵被暴露到含氫抑制劑。在提供於圖3中的範例中,該含氫抑制劑為氫氣。應注意,相對於酸鹼機制(其中氫氣為惰性及/或載氣),在所揭露之實施例中使用氫氣作為抑制劑。在305中,氫氣與基板表面上的懸鍵鍵結,因此端接於吸附層上的鍵。注意如305所示,並非所有懸鍵315皆與氫進行反應。氫氣未在表面上形成膜,但在一些實施例中,氫原子端接於表面上。
不限於特定理論,吾人認為,含氫氣體可延遲或緩和位於或靠近特徵部之頂部(例如位於特徵部的開口)的基板表面上的沉積作用。短暫的暴露持續期間確保僅有特徵部之頂部受到抑制暴露的影響。在一些實施例中,在停止含氫抑制劑流量之後,可執行沖洗階段,例如前文參考操作105所述。
在圖1的操作107中,將基板暴露到第二反應劑(例如反應劑氣體)。該第二反應劑氣體可包括氮、含氮氣體、氧、含氧氣體、或其他反應性氣體。例如,若待沉積的含矽膜為矽氮化物,則該第二反應劑氣體可為氮(N2 )或含氮氣體。若待沉積的含矽膜為矽氧化物,則該第二反應劑氣體可為氧(O2 )及二氧化氮 (NO2 )。在許多實施例中,可熱地或在電漿活化之情況下執行操作107。
在一些實施例中,操作107選擇性包括引燃電漿以形成第二反應劑電漿。例如,在一些實施例中,例如在熱ALD處理中,當將第二反應劑引入處理反應器中時未使用電漿。在提供於圖2中的範例中,例如在PEALD處理中,在第二反應劑電漿暴露階段260A與260B (分別在沉積循環210A與210B中)期間,電漿可與該第二反應劑的流量一起開啟。在一些實施例中,例如為使該第二反應劑的流量穩定化,可在開啟電漿之前開啟該第二反應劑的流量。應注意的係,在該電漿暴露階段期間將第二反應劑的流量關閉,而持續流動作為載氣的氬。然後可將該基板暴露到第二反應劑電漿持續介於約0.1秒與約6秒的期間。在一些實施例中,第二反應劑電漿暴露階段260A或260B可具有一持續期間,其超過用來使電漿與吸附於基板表面上的所有前驅物產生交互作用之時間、而在該基板表面上形成一連續性膜。應知悉可根據所使用的特定硬體與製程條件來修改這些參數。
在許多實施例中,該電漿為原位電漿,使得電漿直接地在該站中的基板之表面上方形成。在一些實施例中,針對原位電漿之例示性每基板面積之功率介於約  0.2122 W/cm2 與約2.122 W/cm2 之間。 例如,針對處理四個300 mm 晶圓的腔室,該功率之範圍為從約600 W至約6000 W 。例如,可透過使用兩個電容耦合板對氣體施加射頻(RF)場來產生用於ALD 處理的電漿。在這些板之間藉由RF場的氣體的游離化將電漿引燃,而在電漿放電區域中產生自由電子。這些電子藉由RF場進行加速並可與氣相反應劑分子碰撞。這些電子與反應劑分子的碰撞可形成參與沉積處理的自由基物種。應知悉RF場可經由任何適當的電極來加以耦合 。電極的非限制性範例包括處理氣體分布噴淋頭與基板固持支座。應知悉的係,可藉由除了 RF場對氣體之電容耦合以外的一或更多適當方法來形成用於ALD 處理的電漿。在一些實施例中,該電漿為遠端電漿,使得第二反應劑在位於該站之上游的一遠端電漿產生器中被引燃,然後被輸送到容置該基板的站中。
如圖3所示,在307中,分子317受到抑制而不形成矽-氮化物鍵,因為氫在305中已經端接於矽的懸鍵上。應注意的係,分子325與335已經形成矽氮化物鍵(位於兩個結構之間並且從矽中心分枝出來)而形成分子327。這描繪透過將矽的懸鍵暴露到氫抑制劑來抑制矽氮化物形成的一範例。
回到圖1,在操作109中,沖洗該處理站。如圖2所示,操作109可對應到沖洗階段280A,其中關閉含矽前驅物的流量、不引燃電漿、且不供應第二反應劑至該站。可透過流動載氣來執行該沖洗,該載氣可為前文中參考操作105所述之任一者。在許多實施例中,在操作105與109中使用的載氣為相同的氣體,而在一些實施例中,該載氣在這些操作期間持續地流動,如圖2所示。
執行圖1的操作103-109構成一個循環,例如圖2中的沉積循環210A或沉積循環210B。視操作的暴露時間而定,每一循環沉積具有不同厚度的含矽膜。因此,當沉積大於數奈米厚度的膜時,一些處理的持續期間可能較長。若干反應劑可具有長的暴露時間以沉積保形膜,而亦縮短晶圓的產出時間。
在操作113中,判斷膜是否已經沉積到足夠的厚度。若否,則重複執行操作103-109直到沉積具有足夠厚度的膜為止。在一ALD處理中可包括任何適當數量的沉積循環以沉積含矽膜之所需膜厚度。圖2中的時序將圖1之各種操作的範例描繪於兩個沉積循環210A及210B中。如圖所示,各循環包括含矽前驅物暴露階段220A或220B,其中將基板暴露到含矽前驅物,如前文中參考圖1之操作103所述。接續於含矽前驅物暴露階段220A之後,執行沖洗階段240A,如前文中參考圖1之操作105所述。執行抑制劑階段250A,使得將含氫抑制劑輸送至該站,如前文中參考圖1之操作106所述。抑制劑階段250A可毋須在每一沉積循環中皆執行。如圖2所示之例示性沉積循環210A及210B呈現一範例,其中在兩個循環中皆執行抑制劑階段。接續於抑制劑階段250A之後,呈現第二反應劑與電漿暴露階段260A。應注意的係,在圖2之所繪實施例中開啟電漿,但在一些實施例中,電漿在260A或260B期間可不開啟。在此階段期間流動第二反應劑,但將含矽前驅物與抑制劑關閉。接續於第二反應劑與電漿暴露階段260A之後,選擇性執行沖洗階段280A,使得僅流動沖洗氣體,例如圖2中所描繪之氬。在圖2之所繪實施例中,在圖1之操作113中判斷尚未達到所需厚度,因此,執行另一循環的操作103-109(對應地,含矽前驅物暴露階段220B,之後接續沖洗階段240B、抑制劑階段250B、第二反應劑與電漿暴露階段260B、及沖洗階段280B)。
可執行後續的沉積循環,使得在位於特徵部之開口附近的基板表面上的含矽薄膜的沉積速率低於位於特徵部的其他表面上者的沉積速率。應注意的係,雖然圖式在兩個循環中皆呈現抑制劑暴露,但在一些實施例中,抑制暴露可每隔一循環而執行,或每5到10循環執行一次。
在許多上述實施例中,將前驅物的吸附層暴露到抑制劑。在替代實施例中,可在將基板暴露到前驅物之前,將該基板暴露到抑制劑。此種實施例可亦將高深寬比的特徵部(或穿過複數個相異的材料的特徵部)中的階梯覆蓋率提高。在一些實施例中,可適當地選擇抑制劑以克服高深寬比的挑戰或相異的材料上的沉積。
在一些實施例中,該等方法可應用於使用金屬有機前驅物的沉積中。隨著特徵部尺寸微型化以及隨著有興趣的候選的金屬有機前驅物(其具有為沉積反應之副產物的顯著且實體巨大的配基)被更多地使用,前驅物進入特徵部以及副產物離開特徵部兩者的輸送現象的補償變得重要。用於在引入前驅物以沉積膜之前暴露到抑制劑的例示性方法可透過如下段落中所述之順序來執行。
在一些實施例中,在將基板暴露到膜沉積前驅物之前,將該基板暴露到抑制劑化學品,該抑制劑化學品選擇性吸附於該基板上以及其特徵部內的有效的位置上,並經受一受輸送限制的現象,使得在特徵部之頂部有較多位置被佔據,但在底部較少(或沒有)被佔據。接下來,透過沖洗或排空將周圍的該抑制劑化學品移除。
若該抑制劑化學品與該基板進行反應,則將該基板暴露到一前驅物化學品,該前驅物化學品選擇性吸附到在特徵部之底部的剩餘的基板位置上。選擇性吸附之結果為,在特徵部之底部比在特徵部之側壁上有更多的吸附作用。
若該抑制劑化學品未與該基板進行反應,則將該基板選擇性暴露到另一化學品,該化學品與該抑制劑進行反應,然後周圍的反應物被接續地移除。然後將該基板暴露到一前驅物化學品,該前驅物化學品選擇性吸附到在特徵部之底部的剩餘的基板位置上,其中在特徵部之底部比在側壁上有更多的吸附作用。
在一些實施例中,在前驅物吸附於在特徵部中的基板位置上之後,透過沖洗或排空將周圍的該前驅物移除。在一些實施例中,可將該基板暴露到一反應劑化學品,該反應劑化學品可包括或不包括電漿並且消耗一部分的抑制劑,例如朝向特徵部之底部。替代地,可選擇該抑制劑使得其被轉化成有用的起作用的膜(而非被消耗)。在將該基板暴露到反應劑之後,透過沖洗或排空將周圍的該反應劑移除。在一些實施例中,在抑制劑化學品與基板進行反應之後執行的操作可重複執行,直到所有的抑制劑被消耗或轉化為止。在一些實施例中,可重複執行包括抑制與沉積的上述操作,直到沉積所需厚度之膜為止。
在一些實施例中,一方法可涉及在將基板暴露到前驅物之前(例如將基板暴露到含矽前驅物之前)將該基板暴露到抑制劑,並且將前驅物的吸附層暴露到抑制劑,如前文中參考圖1所述。在這些操作中可使用相同或不同的抑制劑。設備
圖4描繪原子層沉積(ALD)處理站400之實施例的示意圖,其具有用以維持低壓環境的處理腔室本體402。複數ALD處理站400可包括在共同的低壓處理工具環境中。例如,圖4描繪多站處理工具400之實施例。在一些實施例中,可透過一或更多電腦控制器450來以編程方式調整ALD處理站400的一或更多硬體參數,包括下文中詳述者。
ALD處理站400與用以將處理氣體輸送到分配噴淋頭406的反應劑輸送系統401a流體地交流。反應劑輸送系統401a包括混合容器404,用以將輸送至噴淋頭406的處理氣體加以混合及/或調節。在一些實施例中,可在將抑制劑氣體引入腔室本體402之前,將該抑制劑氣體引入混合容器中,例如若使用載氣來提供。在一些實施例中,可將該抑制劑氣體直接地輸送到腔室本體402中。一或更多混合容器入口閥420可控制處理氣體到混合容器404的引入。可根據處理氣體、抑制劑氣體、或載氣是否在各種階段期間被開啟來控制這些閥。在一些實施例中,可透過使用抑制劑液體、並使用加熱汽化器來汽化,以產生抑制劑氣體。
作為範例,圖4之實施例包括汽化點403,用以將待輸送至混合容器404的液態反應劑汽化。在一些實施例中,汽化點403可為一加熱汽化器。從此種汽化器中產生的飽和反應劑蒸氣在下游的輸送配管系統中凝結。不相容的氣體暴露到已凝結的反應劑可能產生微粒。這些微粒可能阻塞配管系統、妨礙閥操作、汙染基板等。解決這些問題的若干方法包括沖洗及/或排空該輸送配管系統,以將殘餘的反應劑移除。然而,沖洗該輸送配管系統會增加處理站循環時間、降低處理站的產出量。因此,在一些實施例中,在汽化點403下游的輸送配管系統可為伴熱的(heat traced)。在一些範例中,混合容器404亦可為伴熱的。在一非限制性的範例中,在汽化點403下游的配管系統具有從大約100°C 到在混合容器404大約150°C的漸增的溫度分布。
在一些實施例中,液態前驅物或液態反應劑(例如含矽前驅物)在在一液體注入器中被汽化。例如,液體注入器可在混合容器404上游將液態反應劑之脈衝注入載氣氣流中。在一實施例中,液體注入器可透過將液體從較高壓力驟降至較低壓力來將該反應劑汽化。在另一範例中,液體注入器可液體霧化成分散的微滴,其之後在加熱的輸送配管系統中被汽化。較小的液滴比較大的液滴汽化地更快,而減少液體注入與完全汽化之間的延遲。更快的汽化可縮短汽化點403下游之配管系統的長度。在一情況中,液體注入器可直接地安裝在混合容器404上。在另一情況中,液體注入器可直接地安裝在噴淋頭406上。
在一些實施例中,汽化點403上游的液體流量控制器(LFC)可提供以控制汽化並輸送到處理站400的液體的質量流量。例如, LFC可包含位於該LFC下游的熱質量流量計(MFM)。於是,該LFC的柱塞閥可響應由與該MFM電連通之比例積分微分(PID,proportional-integral-derivative)控制器所提供的反饋控制信號而受到調整。然而,使用反饋控制來穩定液體流量可能會花上一秒以上的時間。這可能會延長施加液態反應劑的時間。因此,在一些實施例中,該LFC可動態地在反饋控制模式與直接控制模式之間進行切換。在一些實施例中,這可藉由將該LFC的感測管以及該PID控制器停止運作而執行。
噴淋頭406朝向基板412分配氣體。例如,噴淋頭406可在各種操作中將含矽前驅物氣體分配到基板412、或將沖洗或載氣分配到腔室本體402、或將抑制劑氣體分配到基板412、或將第二反應劑分配到基板412。在圖4所示之實施例中,基板412係設置在噴淋頭406的下方,並且被顯示置於支座408上。噴淋頭406可具有任何適當的形狀,以及可具有用以將處理氣體分配至基板412之任何適當數量與排列的埠口。
在一些實施例中,微容積係位在噴淋頭406的下方。在微容積中(而非在處理站的整個容積中)執行所揭露之實施例可減少反應物曝露與沖洗時間,可減少改變製程條件(例如:壓力、溫度等等)的時間,可限制處理站機器手臂對處理氣體的曝露等。例示性微容積尺寸包含(但不限於)介於0.1升與2升之間的容積。這亦影響生產的產出量。在一些實施例中,不在微容積中執行所揭露之實施例。
在一些實施例中,支座408可被升起或降下,以將基板412曝露於微容積及/或改變微容積的容量。例如,在基板傳送階段中,可將支座408升起,以將基板412定位在微容積內。在一些實施例中,微容積可完全地包圍基板412與一部分的支座408,以產生高流阻抗的區域。
選擇性地,在部分的處理期間,支座408可被降下及/或升起,以調節微容積內的處理壓力、反應劑濃度等。在處理腔室本體402於處理期間維持在基礎壓力的一情況下,降下支座408可允許微容積被排空。微容積比上處理腔室容積的例示性比例包含(但不限於)介於1:500和1:10之間的容積比例。應知悉的係,在某些實施例中,可藉由適當的電腦控制器450來以編程方式調整支座的高度。
在另一情況下,調整支座408的高度可允許在選擇性電漿活化處理期間改變電漿的密度。例如,當將抑制劑氣體引入腔室本體402時、或當使第二反應劑流入腔室本體402時,可活化電漿。在一些實施例中,在抑制劑氣體的流量或第二反應劑的流量期間可不活化電漿。在處理階段結束時,支座408可在另一基板傳送階段期間被降下,以允許從支座408移除基板412。
雖然本文所述之例示性微容積變化係關於高度可調式支座408,但應知悉在一些實施例中,噴淋頭406的位置可相對於支座408而被調整,以改變微容積的容量。此外,應知悉在本發明之範疇內可藉由任何適當的機制來改變支座408及/或噴淋頭406的垂直位置。在一些實施例中,支座408可包含用以轉動基板412之方向的轉軸。應知悉在一些實施例中,可藉由一或更多適當的電腦控制器450來以編程方式執行這些例示性調整中的一或更多者。
在可如前述使用電漿之一些實施例中,噴淋頭406及支座408係與射頻(RF)功率供應器414及匹配網路416電性連通,以對電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體(或複數氣體)之氣體濃度與分壓、流速、RF來源功率、RF來源頻率、以及電漿功率脈衝時序中之中一或更多者而控制電漿能量。例如,RF功率供應器414以及匹配網路416可在任何適當的功率下操作,以形成具有期望自由基物種組成的電漿。前面敘述合適功率之範例。同樣地,RF功率供應器414可提供任何適當頻率的RF功率。在一些實施例中,RF功率供應器414可配置以控制相互獨立的高頻與低頻RF功率來源。例示性低頻RF頻率可包含(但不限於)介於0kHz與500kHz之間的頻率。例示性高頻RF頻率可包含(但不限於)介於1.8MHz與2.45GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。應知悉任何適當的參數可不連續或連續地調節,以便對表面反應提供電漿能量。在一非限制性範例中,可使電漿功率產生間歇性脈衝,以相對於連續被供電的電漿降低對基板表面的離子轟擊。
在一些實施例中,可藉由一或更多電漿監視器來原位監控電漿。在一情形中,可藉由一或更多電壓、電流感測器(例如VI探針)來監控電漿功率。在另一情形中,可藉由一或更多光放射光譜感測器(OES)來量測電漿密度及/或處理氣體的濃度。在一些實施例中,可基於出自此種原位電漿監視器的量測值而以編程方式調整一或更多電漿參數。例如,OES感測器可被使用在用以提供電漿功率編程控制的反饋迴路。應知悉在一些實施例中,其他監視器可用以監視電漿與其他製程特性。此種監視器可包含(但不限於)紅外線(IR)監視器、聲波監視器、以及壓力傳感器。
在一些實施例中,可經由輸入/輸出控制(IOC)定序指令來提供控制器450的指令。在一範例中,用以設定處理階段之條件的指令可被包含在處理配方的相對應配方階段中。在某些情況下,可相繼安排處理配方階段,以便使處理階段的所有指令與此處理階段並行地被執行。在若干實施例中,設定一或更多反應器參數的指令可包含於一配方階段中。例如,第一配方階段可包含用以設定惰性及/或反應劑氣體(例如,如乙矽烷的第一前驅物)之流速的指令、用以設定載氣(例如氬)之流速的指令、以及用於第一配方階段的時間延遲指令。第二後續的配方階段可包含用以調節或停止惰性及/或反應劑氣體之流速的指令、用以調節載氣或沖洗氣體之流速的指令、以及用於第二配方階段的時間延遲指令。第三配方階段可包含用以設定惰性、抑制劑及/或反應劑氣體(可與第一配方階段中使用的氣體相同或不同,例如含氫抑制劑)之流速的指令、用以調節載氣之流速的指令、以及用於第三配方階段的時間延遲指令。第四配方階段可包含用以調節或停止惰性及/或反應劑氣體(例如,如氮、或含氮或含氧的氣體的第二反應劑)之流速的指令、用以調節載氣或沖洗氣體之流速的指令、以及用於第四配方階段的時間延遲指令。應知悉在本發明之範疇內可以任何適當的方式進一步細分及/或重複這些配方階段。
在一些實施例中,可經由加熱器410而對支座408進行溫度控制。此外,在部份實施例中,可藉由蝶形閥418來提供對於處理站400的壓力控制。如圖4之實施例所示,蝶形閥418調節由下游真空泵浦(未顯示)所提供的真空。然而,在一些實施例中,亦可藉由改變引入到處理站400的一或更多氣體的流速來調整處理站400的壓力控制。
如上所述,一或更多處理站可被包含在一多站式處理工具內。圖5顯示具有入站負載鎖室502以及出站負載鎖室504之多站式處理工具500之一範例的示意圖,這些負載鎖室的其中一者或兩者可包含遠端電漿源。在大氣壓力下的機器手臂506配置以將晶圓從透過莢508所承載的卡匣、經由大氣埠510、而移動到入站負載鎖室602內。藉由機器手臂506將晶圓放置在位於入站負載鎖室502中的支座512上,將大氣埠510關閉,並且對此負載鎖室進行抽氣。此處的入站負載鎖室502包含遠端電漿源,此晶圓可在被引入處理腔室514之前於此負載鎖室中曝露於遠端電漿處理。此外,舉例來說,此晶圓亦可在入站負載鎖室502中被加熱,以便去除水分以及吸附之氣體。接著,開啟通往處理腔室514的腔室傳送埠516,而另一個機器手臂(未圖示)則將此晶圓放置到反應器內並使其位於反應器所示之第一站的支座上以進行處理。雖然圖5所繪的實施例係包含負載鎖室,但應知悉在某些實施例中,晶圓可直接進入到處理站內。
所繪之處理腔室514包含四個處理站,在圖5所示之實施例中編號為1到4。每一站皆具有加熱支座(於站1顯示成518)、以及氣體管線入口。應知悉在部份實施例中,每一處理站可具有不同或多種目的。例如,在一些實施例中,處理站可在ALD與電漿增強ALD處理模式之間進行切換。或者或此外,在某些範例中,處理腔室514可包含一或多個配對的ALD與電漿增強ALD處理站。雖然所繪之處理腔室514係包含四個站,但應知悉解根據本發明,處理腔室可具有任何適當數量的站。例如,在一些實施例中,處理腔室可具有五個或更多的站,但在其他實施例中,製程腔室可具有三個或更少的站。
圖5描繪用以在處理腔室514內傳送晶圓之晶圓搬運系統的一實施例。在一些實施例中,晶圓搬運系統可在各種處理站之間及/或在處理站與負載鎖室之間傳送晶圓。應知悉任何適當的晶圓搬運系統可被使用。非限制性實施例包含晶圓旋轉料架以及晶圓搬運機器手臂。圖5亦描繪系統控制器550的一實施例,其用以控制處理工具500的製程條件與硬體狀態。系統控制器550可包含一或更多的記憶體裝置556、一或更多的大量儲存裝置554、以及一或更多的處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器電路板等等。
在一些實施例中,系統控制器550控制處理工具500的所有作業。系統控制器550執行系統控制軟體558,此軟體係被儲存在大量儲存裝置554中、被載入到記憶體裝置556中、以及在處理器552上被執行。替代地,可將控制邏輯硬碼化於控制器550中。特殊應用積體電路、可編程邏輯裝置(例如場可程式化閘陣列或FPGAs)等,可用於該等用途。在下列討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體558可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板支座、夾具及/或載具位置、及由處理工具500所執行的特定製程之其他參數的指令。系統控制軟體558可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制用以執行各種處理工具製程之該處理工具元件的操作。系統控制軟體558可以任何合適的電腦可讀取程式語言來進行編碼。
在某些實施例中,系統控制軟體558可包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。在某些實施例中,可使用儲存於與系統控制器550相關聯之大量儲存裝置554及/或記憶體裝置556上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含處理工具元件的程式碼,該處理工具元件係用以將基板裝載至支座518上,並控制基板與處理工具500其他部分之間的間距。
處理氣體控制程式可包含編碼,該編碼係用以控制氣體組成物(如:TMA、氨、及如本文所敘述之沖洗氣體)、及流速、及選擇性地用以在沉積前將氣體流入一或更多處理站以使該處理站中之壓力穩定化。壓力控制程式可包含編碼,該編碼係藉由調節例如該處理站之排氣系統中的節流閥、進入該處理站之氣體氣流等,以控制該處理站中之壓力。
加熱器控制程式可包含編碼,該編碼係用以控制通往用於加熱基板之加熱單元的電流。替代地,該加熱器控制程式可控制熱傳氣體(如氦)至基板之輸送。
電漿控制程式可包含編碼,該編碼係用以設定施加至根據本文中之實施例之一或更多處理站中之處理電極的RF功率位準。
壓力控制程式可包含編碼,該編碼係用以維持根據本文中之實施例的反應腔室中之壓力。
在某些實施例中,可存在有與系統控制器550相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在某些實施例中,由系統控制器550所調整之參數可與製程條件有關。非限制性之範例包含處理氣體組成及流速、溫度、壓力、電漿條件(如RF偏壓功率位準)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。
可藉由系統控制器550之類比及/或數位輸入連接件,而自各種處理工具感測器提供用以監視製程的信號。可於處理工具500之類比及數位輸出連接件上,輸出用以控制製程之信號。可受到監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
系統控制器550可提供用以實施上述沉積處理的程式指令。該等程式指令可控制種種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以操作根據本文中所揭露之各種實施例的膜疊層之原位沉積。
系統控制器550一般包含配置以執行該等指令之一或更多的記憶體裝置及一或更多的處理器,以使該設備能執行根據所揭露之實施例之方法。包含根據所揭露之實施例來控制製程操作之指令的機器可讀取媒介,可耦接至系統控制器550。
在某些實施中,系統控制器550係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。根據製程要求及/或系統之類型,可對系統控制器550編寫程式以控制本文所揭露的製程之任一者,包含處理氣體及/或抑制劑氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器550定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器550的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,系統控制器550可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器550係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,系統控制器550接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應知悉的係,該等參數可特定於待執行之製程的類型、及工具(系統控制器550係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,系統控制器550可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
非限制性地,例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,根據待由工具執行之製程步驟(或複數製程步驟),系統控制器550可與以下列一或多者通訊連通:一或更多的其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或負載埠的用於材料傳送之工具。
用於執行本文中揭露之方法的適當設備進一步討論並記載於美國專利申請案第13/084399號 (現為美國專利案第 8728956號),申請日為2011年4月11日,案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」; ;以及美國專利案第13/084305號,申請日為2011年4月11日,案名為「SILICON NITRIDE FILMS AND METHODS」,各該等案以全文加入本文之參考資料。
本文所述之該裝置/製程可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用,一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(即基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。實驗 實驗1
進行一實驗,以評估將基板週期性地暴露到含氫電漿之效果。將具有特徵部(具有4:1之深寬比與20nm的特徵部開口)的半導體基板暴露到乙矽烷與氮電漿之120個循環,以沉積矽氮化物膜。
此基板的條件整理於如下之表格1。表格 1: 在無抑制之情況下的矽氮化物膜沉積之條件
作為對照,將具有特徵部(具有4:1之深寬比與20nm的特徵部開口)的另一基板暴露到乙矽烷(作為含矽前驅物)與氮電漿(作為第二反應劑)之120個循環,且在每一循環中,在暴露到氮電漿之前亦週期性地暴露到氨電漿(作為含氫抑制劑)5秒。在每一次暴露到乙矽烷與氮電漿之後沖洗腔室。在原位引燃氨電漿。用於兩基板的腔室壓力為在腔室之頂部為2 Torr,且在底部為3.5 Torr,並且使用氬作為載氣以及氮作為沖洗氣體。若干矽氮化物在使用氮氣的用劑沖洗期間形成。
第二基板的條件整理於如下之表格2。表格 2: 在有抑制之情況下的矽氮化物膜沉積之條件
無週期性氨暴露的基板的保形性大約為55%,而有週期性氨暴露的基板的保形性大約為85%。圖6A與6B描繪特徵部之開口的影像,其中在無週期性抑制劑暴露之情況下沉積的矽氮化物(6A)與在週期性抑制劑電漿暴露之情況(6B)進行比較。無週期性氨暴露的基板具有約140Å 的矽氮化物,其沉積在基板上、在兩個特徵部之開口之間(601),而有週期性氨暴露的基板具有約54Å 的矽氮化物,其沉積在基板上、在相同的區域中。應注意的係,在圖6B中,在特徵部之頂部附近的(601處) 特徵部的表面被選擇性抑制,而在側壁上(603處)則無。結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些改變及修改。應注意,實施本文之實施例之製程、系統、及設備有許多替代方式。因此,應將本文之實施例視為說明性的,而非限制性的,且不將該等實施例限於本文中所提出的細節。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
106‧‧‧操作
107‧‧‧操作
109‧‧‧操作
113‧‧‧操作
200‧‧‧ALD處理
210A、210B‧‧‧沉積循環
220A、220B‧‧‧含矽前驅物暴露階段
240A、240B、280A、280B‧‧‧沖洗階段
250A、250B‧‧‧抑制劑階段
260A、260B‧‧‧第二反應劑與電漿暴露階段
311‧‧‧矽-矽二聚物
315‧‧‧懸鍵
317‧‧‧分子
321‧‧‧懸鍵
325‧‧‧分子
331‧‧‧懸鍵
335‧‧‧分子
400‧‧‧處理站/處理工具
401a‧‧‧輸送系統
402‧‧‧腔室本體
403‧‧‧汽化點
404‧‧‧混合容器
406‧‧‧噴淋頭
408‧‧‧支座
410‧‧‧加熱器
412‧‧‧基板
414‧‧‧RF功率供應器
416‧‧‧匹配網路
418‧‧‧蝶形閥
420‧‧‧入口閥
450‧‧‧控制器
500‧‧‧處理工具
502‧‧‧負載鎖室
504‧‧‧負載鎖室
506‧‧‧機器手臂
508‧‧‧莢
510‧‧‧大氣埠
512‧‧‧支座
514‧‧‧處理腔室
516‧‧‧腔室傳送埠
518‧‧‧支座
550‧‧‧系統控制器
552‧‧‧處理器
554‧‧‧儲存裝置
556‧‧‧記憶體裝置
558‧‧‧系統控制軟體
601‧‧‧頂部
603‧‧‧側壁
圖1為一處理流程圖,根據所揭露之實施例描繪一方法之操作。
圖2為時序圖,根據所揭露之實施例呈現一方法中之循環的範例。
圖3根據所揭露之實施例為抑制沉積作用的機制之範例的示意圖。
圖4為執行所揭露之實施例的例示性處理站的示意圖。
圖5為執行所揭露之實施例的例示性處理工具的示意圖。
圖6A為沉積在具有特徵部的基板上的矽氮化物膜的影像。
圖6B為在根據所揭露之實施例執行的一實驗中沉積在具有特徵部的基板上的矽氮化物膜的影像。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
106‧‧‧操作
107‧‧‧操作
109‧‧‧操作
113‧‧‧操作

Claims (31)

  1. 一種處理半導體基板的方法,該方法包含下列步驟: 將含有特徵部的基板暴露到含矽前驅物,以形成吸附層;並且 將該吸附層暴露到含氫抑制劑,以選擇性抑制在該基板上的含矽膜之沉積作用; 其中該含矽前驅物包含至少一Si-H鍵。
  2. 如申請專利範圍第1項之處理半導體基板的方法,其中在該特徵部的頂部約10%之處的沉積作用被選擇性抑制。
  3. 如申請專利範圍第1項之處理半導體基板的方法,其中該含氫抑制劑選自由氨、氫、及該者之組合所組成之群組。
  4. 如申請專利範圍第1項之處理半導體基板的方法,更包含在將該吸附層暴露到含氫抑制劑之步驟後,將該基板暴露到第二反應劑,以形成該含矽膜。
  5. 如申請專利範圍第4項之處理半導體基板的方法,其中該第二反應劑選自由氮、含氮氣體、及含氧氣體所組成之群組。
  6. 如申請專利範圍第4項之處理半導體基板的方法,其中將該基板暴露到第二反應劑之步驟更包括引燃電漿。
  7. 如申請專利範圍第1項之處理半導體基板的方法,其中將該吸附層暴露到含氫抑制劑之步驟更包括引燃原位電漿。
  8. 如申請專利範圍第7項之處理半導體基板的方法,其中該含矽膜包括矽氧化物。
  9. 如申請專利範圍第7項之處理半導體基板的方法,更包含在將該吸附層暴露到含氫抑制劑之步驟後,將該基板暴露到第二反應劑,以形成該含矽膜,其中該第二反應劑為含氧反應劑。
  10. 如申請專利範圍第1-9項中之任一項之處理半導體基板的方法,其中該吸附層包含懸鍵、矽-矽二聚物、及該者之組合。
  11. 如申請專利範圍第1-9項中之任一項之處理半導體基板的方法,更包含在將該基板暴露到含矽前驅物之步驟後,沖洗容置該基板的反應腔室。
  12. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中該含矽膜包括矽氮化物。
  13. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中該含矽膜包括晶體矽、非晶矽、或多晶矽。
  14. 如申請專利範圍第1-9項中之任一項之處理半導體基板的方法,更包含在將該基板暴露到含矽前驅物之步驟前,將該基板暴露到抑制劑。
  15. 一種處理半導體基板的方法,該方法包含下列步驟: 將基板暴露到含矽前驅物,以形成吸附層; 將該吸附層暴露到含氫化合物;並且 在將該吸附層暴露到該含氫化合物之後,將該基板暴露到第二反應劑,以在該基板上形成含矽膜; 其中該含矽前驅物包含至少一Si-H鍵。
  16. 如申請專利範圍第15項之處理半導體基板的方法,其中將該吸附層暴露到含氫抑制劑之步驟更包括引燃電漿。
  17. 如申請專利範圍第15項之處理半導體基板的方法,其中將該基板暴露到第二反應劑之步驟更包括引燃電漿。
  18. 如申請專利範圍第15-17項中之任一項之處理半導體基板的方法,其中該含氫抑制劑選自由氨、氫、及該者之組合所組成之群組。
  19. 一種處理半導體基板的方法,該方法包含下列步驟: 將包含特徵部的基板暴露到前驅物,以形成吸附層;並且 將該吸附層暴露到抑制劑,以選擇性抑制在該基板上的膜之沉積作用。
  20. 一種處理半導體基板的設備,該設備包含: a.     至少一處理腔室,其包含用以固持基板的支座; b.    至少一出口,用以耦接至真空; c.     一或更多處理氣體入口,耦接至一或更多含矽前驅物之來源; d.    一或更多處理氣體入口,耦接至一或更多含氫抑制劑之來源; e.     一或更多處理氣體入口,耦接至一或更多第二反應劑之來源;以及 f.      用以控制該設備中的操作的一控制器,其包括用於執行下列操作之機器可讀指令: 將該一或更多含矽前驅物引入該處理腔室中以形成吸附層; 將該一或更多含氫抑制劑引入該處理腔室中以選擇性抑制含矽膜之沉積作用;以及 將該一或更多第二反應劑引入該處理腔室中以形成含矽膜; 其中該一或更多含矽前驅物之來源包含至少一Si-H鍵。
  21. 如申請專利範圍第20項之處理半導體基板的設備,其中用於執行引入該一或更多含氫抑制劑之操作的機器可讀指令更包含引入該一或更多含氫抑制劑持續約0.05秒與約60秒之間的時間。
  22. 如申請專利範圍第20項之處理半導體基板的設備,其中該一或更多含氫抑制劑選自由氨、氫、及該者之組合所組成之群組。
  23. 如申請專利範圍第20項之處理半導體基板的設備,更包含一電漿產生器,其中該控制器更包括用於執行下列操作之機器可讀指令:在引入該一或更多含氫抑制劑的同時引燃電漿。
  24. 如申請專利範圍第20項之處理半導體基板的設備,更包含一電漿產生器,其中該控制器更包括用於執行下列操作之機器可讀指令:在引入該一或更多第二反應劑的同時引燃電漿。
  25. 一種方法,包含下列步驟: 將具有位於其上之特徵部的基板暴露到抑制劑,使得該抑制劑選擇性吸附於該特徵部之頂部的位置上;並且 執行一或更多沉積循環以在該特徵部中沉積材料。
  26. 如申請專利範圍第25項之方法,更包含重複執行該抑制與沉積之步驟一或更多次。
  27. 如申請專利範圍第25項之方法,其中在執行沉積循環之前,將該特徵部暴露到該抑制劑。
  28. 如申請專利範圍第25項之方法,其中在一吸附層於一沉積循環期間形成在該基板上之後,將該特徵部暴露到該抑制劑。
  29. 如申請專利範圍第25項之方法,更包括將該基板暴露到與該抑制劑起反應的反應劑之步驟。
  30. 如申請專利範圍第29項之方法,其中將該基板暴露到反應劑之步驟包括將該基板暴露到電漿。
  31. 一種方法,包括下列步驟: 執行一沉積循環,該沉積循環包括: 將具有位於其上之特徵部的基板暴露到抑制劑,使得該抑制劑選擇性吸附於該特徵部之頂部的位置上; 選擇性將在周圍的該抑制劑移除; 將該基板暴露到第一前驅物; 選擇性將在周圍的該第一前驅物移除; 將該基板暴露到第二前驅物;以及 選擇性將在周圍的該第二前驅物移除;並且 重複執行該沉積循環一或更多次,以在該特徵部中沉積材料。
TW104137641A 2014-11-24 2015-11-16 含矽膜之原子層沉積中的選擇性抑制 TW201629253A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/552,011 US9564312B2 (en) 2014-11-24 2014-11-24 Selective inhibition in atomic layer deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
TW201629253A true TW201629253A (zh) 2016-08-16

Family

ID=56010917

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137641A TW201629253A (zh) 2014-11-24 2015-11-16 含矽膜之原子層沉積中的選擇性抑制

Country Status (3)

Country Link
US (3) US9564312B2 (zh)
KR (2) KR102616896B1 (zh)
TW (1) TW201629253A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110105383A (zh) * 2017-12-08 2019-08-09 美国陶氏有机硅公司 烃氧基二硅烷
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TWI829262B (zh) * 2021-07-22 2024-01-11 美商恩特葛瑞斯股份有限公司 用於減少晶圓容器微環境中之汙染之吸附劑及方法
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10246774B2 (en) * 2016-08-12 2019-04-02 Lam Research Corporation Additive for ALD deposition profile tuning in gap features
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
WO2018049067A1 (en) * 2016-09-08 2018-03-15 The Board Of Trustees Of The Leland Stanford Junior University Atomic layer deposition with passivation treatment
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10242879B2 (en) * 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
KR102269343B1 (ko) * 2017-05-30 2021-06-28 주식회사 원익아이피에스 박막 증착 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11053580B2 (en) 2018-02-21 2021-07-06 Varian Semiconductor Equipment Associates, Inc. Techniques for selective deposition using angled ions
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10781519B2 (en) * 2018-06-18 2020-09-22 Tokyo Electron Limited Method and apparatus for processing substrate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
KR20200021834A (ko) * 2018-08-21 2020-03-02 주성엔지니어링(주) 박막 형성 장치 및 이를 이용한 박막 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102607181B1 (ko) 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20210398780A1 (en) * 2018-11-30 2021-12-23 Lam Research Corporation Method andd apparatus for atomic layer deposition or chemical vapor deposition
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
EP3822389A1 (en) * 2019-11-13 2021-05-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Area selective atomic layer depostion method and tool
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7321085B2 (ja) * 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
EP4110969A4 (en) * 2020-03-31 2023-10-18 Versum Materials US, LLC NEW PRECURSOR FOR DEPOSING HIGH ELASTIC MODULE FILM
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20230154754A1 (en) * 2020-04-10 2023-05-18 Lam Research Corporation Loss prevention during atomic layer deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220071911A (ko) * 2020-11-24 2022-05-31 에이에스엠 아이피 홀딩 비.브이. 갭 충진 방법과 이와 관련된 시스템 및 소자
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230187202A1 (en) * 2021-12-15 2023-06-15 Entegris, Inc. Deposition of silicon nitride with enhanced selectivity
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用
US20240145235A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Sin gap fill via nucleation inhibition

Family Cites Families (594)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
JPS4843472A (zh) 1971-10-04 1973-06-23
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1169733A1 (en) 1999-03-17 2002-01-09 Infineon Technologies SC300 GmbH & Co. KG Method for filling gaps on a semiconductor wafer
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
WO2001033615A2 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
AU2001255656A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
WO2007043709A1 (ja) 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008035678A1 (fr) 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI388078B (zh) 2008-01-30 2013-03-01 Osram Opto Semiconductors Gmbh 電子組件之製造方法及電子組件
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko) 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
ES2923774T3 (es) 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
KR20130062980A (ko) 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2012084707A (ja) 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR102111702B1 (ko) 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
KR20130005660A (ko) 2011-07-07 2013-01-16 삼성전자주식회사 터치스크린패널 액정디스플레이장치
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
CN107275309B (zh) 2011-12-20 2021-02-09 英特尔公司 保形低温密闭性电介质扩散屏障
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5991609B2 (ja) 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP6230809B2 (ja) * 2013-04-22 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
WO2015057930A1 (en) 2013-10-17 2015-04-23 Nanosys, Inc. Light emitting diode (led) devices
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
KR102421846B1 (ko) 2014-08-22 2022-07-15 램 리써치 코포레이션 일 상태 동안 서브-펄싱
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
JP2018531506A (ja) 2015-09-24 2018-10-25 東京エレクトロン株式会社 サブ解像度基板パターニングのためのエッチングマスクを形成する方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
CN108369899B (zh) 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
CN110105383A (zh) * 2017-12-08 2019-08-09 美国陶氏有机硅公司 烃氧基二硅烷
CN110105383B (zh) * 2017-12-08 2023-04-25 美国陶氏有机硅公司 烃氧基二硅烷
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TWI829262B (zh) * 2021-07-22 2024-01-11 美商恩特葛瑞斯股份有限公司 用於減少晶圓容器微環境中之汙染之吸附劑及方法

Also Published As

Publication number Publication date
US10804099B2 (en) 2020-10-13
KR20160061885A (ko) 2016-06-01
US20170117134A1 (en) 2017-04-27
US9875891B2 (en) 2018-01-23
KR102616896B1 (ko) 2023-12-20
US9564312B2 (en) 2017-02-07
US20160148800A1 (en) 2016-05-26
US20180138028A1 (en) 2018-05-17
KR20240000424A (ko) 2024-01-02

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
JP6752555B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
TW201700786A (zh) 超薄原子層沉積膜精度厚度控制
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱