JP6752555B2 - 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 - Google Patents
二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 Download PDFInfo
- Publication number
- JP6752555B2 JP6752555B2 JP2015144504A JP2015144504A JP6752555B2 JP 6752555 B2 JP6752555 B2 JP 6752555B2 JP 2015144504 A JP2015144504 A JP 2015144504A JP 2015144504 A JP2015144504 A JP 2015144504A JP 6752555 B2 JP6752555 B2 JP 6752555B2
- Authority
- JP
- Japan
- Prior art keywords
- purge gas
- processing chamber
- substrate
- shower head
- membrane
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 249
- 230000003071 parasitic effect Effects 0.000 title description 29
- 238000010926 purge Methods 0.000 claims description 198
- 239000007789 gas Substances 0.000 claims description 194
- 238000012545 processing Methods 0.000 claims description 192
- 239000000758 substrate Substances 0.000 claims description 164
- 239000012528 membrane Substances 0.000 claims description 149
- 239000002243 precursor Substances 0.000 claims description 117
- 238000000151 deposition Methods 0.000 claims description 71
- 238000006243 chemical reaction Methods 0.000 claims description 42
- 238000001179 sorption measurement Methods 0.000 claims description 41
- 239000000463 material Substances 0.000 claims description 28
- 230000000670 limiting effect Effects 0.000 claims description 18
- 239000004065 semiconductor Substances 0.000 claims description 18
- 239000012159 carrier gas Substances 0.000 claims description 12
- 239000006227 byproduct Substances 0.000 claims description 9
- 238000010494 dissociation reaction Methods 0.000 claims description 8
- 230000005593 dissociations Effects 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 8
- 238000003795 desorption Methods 0.000 claims description 5
- 239000013626 chemical specie Substances 0.000 claims description 4
- 210000002381 plasma Anatomy 0.000 description 133
- 230000008569 process Effects 0.000 description 130
- 238000000231 atomic layer deposition Methods 0.000 description 84
- 230000008021 deposition Effects 0.000 description 57
- 239000010410 layer Substances 0.000 description 51
- 239000000376 reactant Substances 0.000 description 25
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 24
- 239000007788 liquid Substances 0.000 description 22
- 239000000203 mixture Substances 0.000 description 20
- 239000012530 fluid Substances 0.000 description 18
- 238000005755 formation reaction Methods 0.000 description 14
- 230000008016 vaporization Effects 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 14
- 238000012546 transfer Methods 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 12
- 238000009834 vaporization Methods 0.000 description 12
- 238000002156 mixing Methods 0.000 description 11
- 239000003795 chemical substances by application Substances 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 10
- 239000002019 doping agent Substances 0.000 description 10
- 206010037544 Purging Diseases 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 229910052757 nitrogen Inorganic materials 0.000 description 7
- 238000005086 pumping Methods 0.000 description 7
- 238000003860 storage Methods 0.000 description 7
- 238000002474 experimental method Methods 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 238000002347 injection Methods 0.000 description 5
- 239000007924 injection Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 230000001629 suppression Effects 0.000 description 5
- 238000006557 surface reaction Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 239000012071 phase Substances 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000000678 plasma activation Methods 0.000 description 4
- 238000004458 analytical method Methods 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 239000011800 void material Substances 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 0 CC(*)C(CC1CC2C(C*)C(CCC3)=C(C)C(C=CC=C)=C)C(C4)C1C2[C@@]4C3=CC(C)=C Chemical compound CC(*)C(CC1CC2C(C*)C(CCC3)=C(C)C(C=CC=C)=C)C(C4)C1C2[C@@]4C3=CC(C)=C 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- YZCKVEUIGOORGS-IGMARMGPSA-N Protium Chemical compound [1H] YZCKVEUIGOORGS-IGMARMGPSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 229910001882 dioxygen Inorganic materials 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000005496 eutectics Effects 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000011017 operating method Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical group [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- QRSFFHRCBYCWBS-UHFFFAOYSA-N [O].[O] Chemical compound [O].[O] QRSFFHRCBYCWBS-UHFFFAOYSA-N 0.000 description 1
- AUEPDNOBDJYBBK-UHFFFAOYSA-N [Si].[C-]#[O+] Chemical compound [Si].[C-]#[O+] AUEPDNOBDJYBBK-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 230000002747 voluntary effect Effects 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4558—Perforated rings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02334—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Description
半導体基板上に膜を堆積させるための操作は、通常は、図1に示されるような基板処理装置において実施することができる。以下で更に詳しく説明される図1の装置100は、真空ポンプ118によって真空下に維持可能な内部空間内に1つの基板ホルダ108(例えば、台座)を伴う1つの処理チャンバ102を有する。チャンバには、(例えば)膜前駆体、キャリアガス及び/又はパージガス及び/又はプロセスガス、二次反応物などの供給のために、ガス供給システム101及びシャワーヘッド106も流体的(流体連通可能)に結合されている。図1には、処理チャンバ内においてプラズマを発生させるための装備も示されており、以下で更に詳しく説明される。いずれにせよ、以下で詳しく説明されるように、図1で図解される装置は、半導体基板に対してALDなどの膜堆積操作を実施するための基本的な装備を提供するものである。
シャワーヘッド設計を利用する基板処理装置は、特に、二次パージの使用から恩恵を受けるだろう。このような設計では、シャワーヘッドの主目的は、操作(i)における基板表面への吸着のために処理チャンバに膜前駆体が導入されるメカニズムを提供することにある。シャワーヘッド設計は、点源として機能する数本のノズルのみで実現されるよりも空間的に均一に膜前駆体流が基板方面に分配されることを可能にする。シャワーヘッドは、また、適切な電位の印可を受けて、表面反応の活性化につながる操作(iii)におけるプラズマ発生のために使用される2つの電極のうちの1つとして機能してよい。これらの目的に加えて、シャワーヘッドは、操作(ii)及び/又は(iv)の最中に処理チャンバに一次パージガス流を導入するためにも使用されてよく、その際は、一次パージガスに関しても、より優れた空間的均一性を達成することができる。しかしながら、処理チャンバにパージガスを導入するこの方法に伴う問題は、上記の流れが、通常はシャワーヘッド後方の空所を効果的にパージするものではないことである。このため、シャワーヘッドの裏側やシャワーヘッド後方/上方のチャンバ壁などにおける望ましくない堆積を最小限に抑える又は防ぐことができるという点で、シャワーヘッド後方/上方の空間/空所に直接入る二次パージガス流が、極めて有益だろう。
二次パージは、通常は、ALDプロセスにおける膜形成反応操作(上記の操作(iii))の最中に進行中であるので、二次パージガスとしては、膜形成反応に干渉しないように、不活性ガスが選ばれるのが一般的である。先行研究では、二次パージガスとして、N2が選ばれることが多かった。しかしながら、二重パターン形成などの特定の用途では、堆積膜の窒素含量が厳密に制御されなければならず、また、二次パージガスとしてのN2の使用は堆積膜への窒素の取り込みを招くことが多いゆえに、N2は、優れた選択肢ではないことが多い。
上述されたように、デバイスの小型化が進むにつれて、並びにICが3Dトランジスタ及びその他の3D構造の利用に移行するにつれて、正確な量(厚さ)の共形膜材料を堆積させる能力の重要性が、益々増している。共形膜材料としては、特に誘電体が挙げられるが、様々なドーパント含有材料も可能である。原子層堆積は、共形膜の堆積を実現する技術の1つであり、通常は、複数の堆積サイクルによって所望の膜厚を実現する。
本明細書で説明される方法は、任意の適切な半導体基板処理装置によって実施されてよい。適切な装置は、処理操作を実現するためのハードウェアと、本明細書で開示される様々なチャネルドーピング手法にしたがって処理操作を制御するための命令を有するシステムコントローラとを含む。一部の実施形態では、ハードウェアは、マルチステーション式基板処理ツールに含まれる1つ以上のプロセスステーションと、本明細書で開示される処理技術にしたがって処理操作を制御するための機械読み取り可能命令を有する(又は機械読み取り可能命令へのアクセスを有する)コントローラとを含んでいてよい。
ALDプロセスにおいて、膜前駆体は、反応チャンバ内に存在する状態と、反応チャンバ内から排出された状態とを交互に繰り返す必要がある。寄生堆積を防ぐために、処理チャンバ内の余分な前駆体は、次の前駆体の導入前に処理チャンバ及び共通の前駆体通路(シャワーヘッドの柄部分など)から除去される。余分な前駆体の除去は、通例、供給通路及びチャンバを不活性ガスでパージすることによって成される。しかしながら、シャンデリア型のシャワーヘッドが使用される場合は、シャワーヘッドの後方に捕らわれた余分な前駆体を、シャワーヘッドからのパージガスで効果的に除去することができない。したがって、前駆体は、シャワーヘッドの裏側と、上板と、処理チャンバの壁とに、大量の寄生堆積を生じさせる恐れがある。このデッドスペースを固体の誘電体で満たすアプローチは、接地へのRF結合を引き起こす可能性が高いゆえに、不可能である。したがって、上述のように、このような寄生堆積を防ぐためには、シャワーヘッドの裏側から導入される二次パージガスが用いられてよい。このような二次パージを実現するためのハードウェアが、以下で詳しく説明される。
図2は、処理ツール200及びそのプロセスステーションのプロセス条件とハードウェア状態とを制御するために用いられるシステムコントローラ250の一実施形態も示している。システムコントローラ250は、1つ以上のストレージデバイス256と、1つ以上のマスストレージデバイス254と、1つ以上のプロセッサ252とを含んでいてよい。プロセッサ252としては、1つ以上のCPU、ASIC、汎用コンピュータ及び/又は専用コンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などが挙げられる。
開示された以上の技術、操作、プロセス、方法、システム、装置、ツール、膜、化学物質、及び組成は、明瞭及び理解を促す目的で具体的な実施形態との関連のもとで説明されてきたが、当業者ならば、本開示の趣旨及び範囲内に、以上の実施形態を実現するための多くの代替のやり方があることが明らかである。したがって、本明細書で説明された実施形態は、開示された発明の概念を、限定するのではなく例示するものだと見なされ、最終的に本開示の内容を定めたものであるいかなる特許請求の範囲も過度に限定するための揺るがない基準として使用されるべきではない。
適用例1:処理チャンバ内において半導体基板上に材料の膜を堆積させる方法であって、
(a)前記処理チャンバに膜前駆体を流し込むこと、
(b)前記膜前駆体が基板上に吸着制限層を形成するように、前記処理チャンバ内において前記膜前駆体を前記基板上に吸着させること、
(c)一次パージガスによって前記処理チャンバをパージすることによって、前記吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去すること、
(d)(c)において前記一次パージガスによって未吸着前駆体を除去した後、前記処理チャンバに二次パージガスが流し込まれている間に前記吸着膜前駆体を反応させて、前記基板上に膜層を形成させること、
を備え、前記二次パージガスは、O 2 のイオン化エネルギ及び/又は解離エネルギ以上のイオン化エネルギ及び/又は解離エネルギを有する化学種を含む、方法。
適用例2:適用例1に記載の方法であって、
前記二次パージガスは、O 2 である、方法。
適用例3:適用例1に記載の方法であって、
前記一次パージガスは、不活性ガスである、方法。
適用例4:適用例3に記載の方法であって、
前記一次パージガスは、Ar及び/又はN 2 である、方法。
適用例5:適用例1に記載の方法であって、
前記一次パージガスは、(a)〜(b)又は(d)の最中は前記処理チャンバへ流されない、方法。
適用例6:適用例5に記載の方法であって、
(d)に先立って、前記処理チャンバから実質的に全ての一次パージガスが除去される、方法。
適用例7:適用例1に記載の方法であって、
前記二次パージガスは、(a)〜(d)の最中に前記処理チャンバへ継続的に流される、方法。
適用例8:適用例1に記載の方法であって、
(a)において前記処理チャンバに前記膜前駆体を流し込むために、キャリアガス流が使用される、方法。
適用例9:適用例8に記載の方法であって、
前記キャリアガスは、不活性ガスである、方法。
適用例10:適用例9に記載の方法であって、
前記キャリアガスは、N 2 及び/又はArである、方法。
適用例11:適用例1ないし10のいずれか一項に記載の方法であって、更に、
(e)前記一次パージガスによって前記処理チャンバをパージすることによって前記吸着前駆体を反応させた後に脱離膜前駆体及び/又は反応副生成物が存在するときに、前記膜層を取り巻く空間からそれらの脱離膜前駆体及び/又は反応副生成物を除去することを備える方法。
適用例12:適用例1ないし10のいずれか一項に記載の方法であって、更に、
前記基板上に(1枚以上の)更なる層を堆積させるために(a)〜(d)を1回以上繰り返すことを備える方法。
適用例13:適用例1ないし10のいずれか一項に記載の方法であって、
前記膜前駆体は、(a)においてシャワーヘッドを通じて前記処理チャンバに流し込まれ、前記一次パージガスは、(c)において前記と同じシャワーヘッドを通じて前記処理チャンバに流し込まれる、方法。
適用例14:適用例13に記載の方法であって、
前記シャワーヘッドは、ヘッド部分と柄部分とを含み、前記一次パージガスは、前記シャワーヘッドの前記ヘッド部分の底面の開口部を通って前記処理チャンバに流し込まれる、方法。
適用例15:適用例1ないし10のいずれか一項に記載の方法であって、
前記一次パージガスは、前記基板の面に実質的に垂直な方向に前記処理チャンバに流し込まれる、方法。
適用例16:適用例15に記載の方法であって、
前記一次パージガスは、約5,000〜45,000sccmの流量で前記処理チャンバに流し込まれる、方法。
適用例17:適用例13に記載の方法であって、
前記二次パージガスは、シャワーヘッド環を通じて前記処理チャンバに流し込まれる、方法。
適用例18:適用例17に記載の方法であって、
前記シャワーヘッド環は、ヘッド部分と柄部分とを含み、前記二次パージガスは、前記柄部分の開口部を通って前記処理チャンバに流し込まれる、方法。
適用例19:適用例18に記載の方法であって、
前記シャワーヘッド環の前記柄部分の前記開口部は、スロット状である、方法。
適用例20:適用例1ないし10のいずれか一項に記載の方法であって、
前記二次パージガスは、前記基板の面に対して実質的に平行な方向に前記処理チャンバに流し込まれる、方法。
適用例21:適用例20に記載の方法であって、
前記二次パージガスは、約1〜30,000sccmの流量で前記処理チャンバに流し込まれる、方法。
適用例22:半導体基板上に材料の膜を堆積させるための装置であって、
処理チャンバと、
前記処理チャンバ内の基板ホルダと、
前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環と、
前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
半導体基板上に材料の膜を堆積させるために前記1つ以上の弁、前記真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
(a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
(b)処理チャンバ内において膜前駆体が前記基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
(c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって前記吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
(d)前記吸着膜前駆体の反応を活性化させて前記基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
(e)(d)において前記反応が活性化されている間にO 2 を含む二次パージガスを前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
を含む、1つ以上のコントローラと、
を備える装置。
適用例23:適用例22に記載の装置であって、
前記シャワーヘッドは、
柄部分と、
ヘッド部分と、
膜前駆体及び一次パージガスを前記処理チャンバに流し込むための、前記ヘッド部分の底面の開口部と、
を含み、
前記シャワーヘッド環は、
柄部分と、
ヘッド部分と、
二次パージガスを前記処理チャンバに流し込むための、前記柄部分の開口部と、
を含む、装置。
適用例24:適用例23に記載の装置であって、
前記シャワーヘッドの前記開口部は、穴であり、前記シャワーヘッド環の前記開口部は、スロットである、装置。
Claims (24)
- 処理チャンバ内において半導体基板上に材料の膜を堆積させる方法であって、
(a)前記処理チャンバに膜前駆体を流し込むこと、
(b)前記膜前駆体が基板上に吸着制限層を形成するように、前記処理チャンバ内において前記膜前駆体を前記基板上に吸着させること、
(c)一次パージガスによって前記処理チャンバをパージすることによって、前記吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去すること、
(d)(c)において前記一次パージガスによって未吸着膜前駆体を除去した後、前記処理チャンバに二次パージガスが流し込まれている間に前記吸着膜前駆体を反応させて、前記基板上に膜層を形成させること、
を備え、前記二次パージガスは、O2のイオン化エネルギ及び/又は解離エネルギ以上のイオン化エネルギ及び/又は解離エネルギを有する化学種を含む、方法。 - 請求項1に記載の方法であって、
前記二次パージガスは、O2である、方法。 - 請求項1に記載の方法であって、
前記一次パージガスは、不活性ガスである、方法。 - 請求項3に記載の方法であって、
前記一次パージガスは、Ar及び/又はN2である、方法。 - 請求項1に記載の方法であって、
前記一次パージガスは、(a)〜(b)又は(d)の最中は前記処理チャンバへ流されない、方法。 - 請求項5に記載の方法であって、
(d)に先立って、前記処理チャンバから実質的に全ての一次パージガスが除去される、方法。 - 請求項1に記載の方法であって、
前記二次パージガスは、(a)〜(d)の最中に前記処理チャンバへ継続的に流される、方法。 - 請求項1に記載の方法であって、
(a)において前記処理チャンバに前記膜前駆体を流し込むために、キャリアガス流が使用される、方法。 - 請求項8に記載の方法であって、
前記キャリアガスは、不活性ガスである、方法。 - 請求項9に記載の方法であって、
前記キャリアガスは、N2及び/又はArである、方法。 - 請求項1ないし10のいずれか一項に記載の方法であって、更に、
(e)前記一次パージガスによって前記処理チャンバをパージすることによって前記吸着膜前駆体を反応させた後に脱離膜前駆体及び/又は反応副生成物が存在するときに、前記膜層を取り巻く空間からそれらの脱離膜前駆体及び/又は反応副生成物を除去することを備える方法。 - 請求項1ないし10のいずれか一項に記載の方法であって、更に、
前記基板上に(1枚以上の)更なる層を堆積させるために(a)〜(d)を1回以上繰り返すことを備える方法。 - 請求項1ないし10のいずれか一項に記載の方法であって、
前記膜前駆体は、(a)においてシャワーヘッドを通じて前記処理チャンバに流し込まれ、前記一次パージガスは、(c)において前記と同じシャワーヘッドを通じて前記処理チャンバに流し込まれる、方法。 - 請求項13に記載の方法であって、
前記シャワーヘッドは、ヘッド部分と柄部分とを含み、前記一次パージガスは、前記シャワーヘッドの前記ヘッド部分の底面の開口部を通って前記処理チャンバに流し込まれる、方法。 - 請求項1ないし10のいずれか一項に記載の方法であって、
前記一次パージガスは、前記基板の面に実質的に垂直な方向に前記処理チャンバに流し込まれる、方法。 - 請求項15に記載の方法であって、
前記一次パージガスは、約5,000〜45,000sccmの流量で前記処理チャンバに流し込まれる、方法。 - 請求項13に記載の方法であって、
前記二次パージガスは、シャワーヘッド環を通じて前記処理チャンバに流し込まれる、方法。 - 請求項17に記載の方法であって、
前記シャワーヘッド環は、ヘッド部分と柄部分とを含み、前記二次パージガスは、前記柄部分の開口部を通って前記処理チャンバに流し込まれる、方法。 - 請求項18に記載の方法であって、
前記シャワーヘッド環の前記柄部分の前記開口部は、スロット状である、方法。 - 請求項1ないし10のいずれか一項に記載の方法であって、
前記二次パージガスは、前記基板の面に対して実質的に平行な方向に前記処理チャンバに流し込まれる、方法。 - 請求項20に記載の方法であって、
前記二次パージガスは、約1〜30,000sccmの流量で前記処理チャンバに流し込まれる、方法。 - 半導体基板上に材料の膜を堆積させるための装置であって、
処理チャンバと、
前記処理チャンバ内の基板ホルダと、
前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環と、
前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
半導体基板上に材料の膜を堆積させるために前記1つ以上の弁、前記真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
(a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
(b)処理チャンバ内において膜前駆体が前記基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
(c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって前記吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
(d)前記吸着膜前駆体の反応を活性化させて前記基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
(e)(d)において前記反応が活性化されている間にO2を含む二次パージガスを前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
を含む、1つ以上のコントローラと、
を備える装置。 - 請求項22に記載の装置であって、
前記シャワーヘッドは、
柄部分と、
ヘッド部分と、
膜前駆体及び一次パージガスを前記処理チャンバに流し込むための、前記ヘッド部分の底面の開口部と、
を含み、
前記シャワーヘッド環は、
柄部分と、
ヘッド部分と、
二次パージガスを前記処理チャンバに流し込むための、前記柄部分の開口部と、
を含む、装置。 - 請求項23に記載の装置であって、
前記シャワーヘッドの前記開口部は、穴であり、前記シャワーヘッド環の前記開口部は、スロットである、装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/447,203 | 2014-07-30 | ||
US14/447,203 US9617638B2 (en) | 2014-07-30 | 2014-07-30 | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020138491A Division JP7194713B2 (ja) | 2014-07-30 | 2020-08-19 | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2016036020A JP2016036020A (ja) | 2016-03-17 |
JP6752555B2 true JP6752555B2 (ja) | 2020-09-09 |
Family
ID=55180775
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015144504A Active JP6752555B2 (ja) | 2014-07-30 | 2015-07-22 | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 |
JP2020138491A Active JP7194713B2 (ja) | 2014-07-30 | 2020-08-19 | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020138491A Active JP7194713B2 (ja) | 2014-07-30 | 2020-08-19 | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 |
Country Status (5)
Country | Link |
---|---|
US (2) | US9617638B2 (ja) |
JP (2) | JP6752555B2 (ja) |
KR (2) | KR102454473B1 (ja) |
CN (2) | CN109913852B (ja) |
TW (2) | TWI662149B (ja) |
Families Citing this family (358)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9617638B2 (en) * | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9508547B1 (en) * | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
JP6685179B2 (ja) * | 2016-06-01 | 2020-04-22 | 東京エレクトロン株式会社 | 基板処理方法 |
US9738977B1 (en) * | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
CN107552258B (zh) * | 2016-07-01 | 2019-06-07 | 江苏鲁汶仪器有限公司 | 气体喷射装置 |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
KR102514043B1 (ko) | 2016-07-18 | 2023-03-24 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9698042B1 (en) | 2016-07-22 | 2017-07-04 | Lam Research Corporation | Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10128116B2 (en) * | 2016-10-17 | 2018-11-13 | Lam Research Corporation | Integrated direct dielectric and metal deposition |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9964863B1 (en) * | 2016-12-20 | 2018-05-08 | Applied Materials, Inc. | Post exposure processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
TWI649446B (zh) * | 2017-03-15 | 2019-02-01 | 漢民科技股份有限公司 | 應用於半導體設備之可拆卸式噴氣裝置 |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
DE102017206612A1 (de) * | 2017-04-19 | 2018-10-25 | Centrotherm Photovoltaics Ag | Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
CN109321894B (zh) * | 2017-07-31 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 一种增强清洗效果的沉积系统及方法 |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
KR102538177B1 (ko) | 2017-11-16 | 2023-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
KR102404061B1 (ko) | 2017-11-16 | 2022-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10843618B2 (en) * | 2017-12-28 | 2020-11-24 | Lam Research Corporation | Conformality modulation of metal oxide films using chemical inhibition |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11913113B2 (en) * | 2018-08-22 | 2024-02-27 | Lam Research Corporation | Method and apparatus for modulating film uniformity |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI781346B (zh) * | 2018-09-29 | 2022-10-21 | 美商應用材料股份有限公司 | 具有精確溫度和流量控制的多站腔室蓋 |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US20220005740A1 (en) * | 2018-11-15 | 2022-01-06 | Lam Research Corporation | Atomic layer etch systems for selectively etching with halogen-based compounds |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US12057300B2 (en) * | 2019-03-11 | 2024-08-06 | Lam Research Corporation | Apparatus for cleaning plasma chambers |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
US11560623B2 (en) * | 2019-05-15 | 2023-01-24 | Applied Materials, Inc. | Methods of reducing chamber residues |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20220035192A (ko) | 2019-07-17 | 2022-03-21 | 램 리써치 코포레이션 | 기판 프로세싱을 위한 산화 프로파일의 변조 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
US11631571B2 (en) | 2019-08-12 | 2023-04-18 | Kurt J. Lesker Company | Ultra high purity conditions for atomic scale processing |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20220155376A (ko) * | 2020-03-19 | 2022-11-22 | 램 리써치 코포레이션 | 샤워헤드 퍼지 칼라 |
TWI730699B (zh) * | 2020-03-27 | 2021-06-11 | 先豐通訊股份有限公司 | 噴盤檢測系統及其檢測方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
US11776788B2 (en) * | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN116288261A (zh) * | 2021-12-07 | 2023-06-23 | 拓荆科技股份有限公司 | 沉积系统及方法 |
US20230313373A1 (en) * | 2022-03-30 | 2023-10-05 | Microsoft Technology Licensing, Llc | Targeted temporal ald |
CN118086874B (zh) * | 2024-04-26 | 2024-09-06 | 安徽越好电子装备有限公司 | 等离子体增强原子层沉积的镀膜工艺 |
Family Cites Families (64)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB2075455B (en) | 1980-04-30 | 1984-08-22 | Nippon Steel Corp | Apparatus and method for supporting a metal strip under a static gas pressure |
US5871811A (en) | 1986-12-19 | 1999-02-16 | Applied Materials, Inc. | Method for protecting against deposition on a selected region of a substrate |
JPH01309973A (ja) * | 1988-06-07 | 1989-12-14 | Fujitsu Ltd | 薄膜形成装置 |
JP3422583B2 (ja) * | 1994-03-23 | 2003-06-30 | 東京エレクトロン株式会社 | 処理装置 |
US6002109A (en) | 1995-07-10 | 1999-12-14 | Mattson Technology, Inc. | System and method for thermal processing of a semiconductor substrate |
US5892235A (en) | 1996-05-15 | 1999-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
US6143081A (en) | 1996-07-12 | 2000-11-07 | Tokyo Electron Limited | Film forming apparatus and method, and film modifying apparatus and method |
US6217715B1 (en) | 1997-02-06 | 2001-04-17 | Applied Materials, Inc. | Coating of vacuum chambers to reduce pump down time and base pressure |
DE19852552C2 (de) | 1998-11-13 | 2000-10-05 | Daimler Chrysler Ag | Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors |
JP2000297368A (ja) * | 1999-04-14 | 2000-10-24 | Canon Inc | スパッタ方法及びスパッタ装置 |
EP2293322A1 (en) * | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US20020104556A1 (en) | 2001-02-05 | 2002-08-08 | Suraj Puri | Controlled fluid flow and fluid mix system for treating objects |
US6777352B2 (en) * | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6866255B2 (en) | 2002-04-12 | 2005-03-15 | Xerox Corporation | Sputtered spring films with low stress anisotropy |
CN1777696B (zh) * | 2003-03-14 | 2011-04-20 | 杰努斯公司 | 用于原子层沉积的方法和设备 |
JP2005142355A (ja) | 2003-11-06 | 2005-06-02 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
KR100616486B1 (ko) * | 2004-02-09 | 2006-08-28 | 백용구 | 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법 |
KR100673979B1 (ko) | 2005-03-17 | 2007-01-24 | 안강호 | 초미립자 제조장치 및 그 방법 |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
KR101218114B1 (ko) | 2005-08-04 | 2013-01-18 | 주성엔지니어링(주) | 플라즈마 식각 장치 |
US8409351B2 (en) | 2007-08-08 | 2013-04-02 | Sic Systems, Inc. | Production of bulk silicon carbide with hot-filament chemical vapor deposition |
KR100923453B1 (ko) * | 2007-09-21 | 2009-10-27 | 주식회사 피에조닉스 | 샤워헤드를 구비한 반도체 소자 제조 장비 |
US20090109595A1 (en) | 2007-10-31 | 2009-04-30 | Sokudo Co., Ltd. | Method and system for performing electrostatic chuck clamping in track lithography tools |
KR100891035B1 (ko) | 2007-11-05 | 2009-03-31 | 주식회사 계명엔지니어링 | 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관 |
KR101417728B1 (ko) * | 2008-03-12 | 2014-07-11 | 삼성전자주식회사 | 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법 |
US20090270849A1 (en) | 2008-03-17 | 2009-10-29 | Arqos Surgical Inc. | Electrosurgical Device and Method |
DE102008049494A1 (de) | 2008-09-27 | 2010-04-08 | Xtreme Technologies Gmbh | Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen |
CN102087955B (zh) * | 2009-12-04 | 2012-10-31 | 中芯国际集成电路制造(上海)有限公司 | 改善等离子体工艺中反应腔室内部颗粒状况的方法 |
CN102136410B (zh) * | 2010-01-27 | 2013-04-10 | 中芯国际集成电路制造(上海)有限公司 | 用于半导体工艺腔的清洁方法 |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
WO2012002232A1 (ja) * | 2010-06-28 | 2012-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置及び方法 |
TWI590335B (zh) | 2010-08-18 | 2017-07-01 | 半導體能源研究所股份有限公司 | 膜形成設備及膜形成方法 |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
NL2006962C2 (nl) | 2011-06-17 | 2012-12-18 | Draka Comteq Bv | Inrichting en werkwijze voor het vervaardigen van een optische voorvorm. |
US10132008B2 (en) | 2012-02-07 | 2018-11-20 | Mitsubishi Chemical Corporation | Horizontal heat treatment device |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
JP5953994B2 (ja) | 2012-07-06 | 2016-07-20 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US20140044889A1 (en) | 2012-08-10 | 2014-02-13 | Globalfoundries Inc. | Methods of making stressed material layers and a system for forming such layers |
KR20140033911A (ko) | 2012-09-11 | 2014-03-19 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 및 증착 방법 |
JP6287858B2 (ja) * | 2012-12-14 | 2018-03-07 | コニカミノルタ株式会社 | ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス |
US9399228B2 (en) | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
TWI624560B (zh) | 2013-02-18 | 2018-05-21 | 應用材料股份有限公司 | 用於原子層沉積的氣體分配板及原子層沉積系統 |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US20150030766A1 (en) | 2013-07-25 | 2015-01-29 | Novellus Systems, Inc. | Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline |
TWI769494B (zh) | 2013-08-16 | 2022-07-01 | 美商應用材料股份有限公司 | 用於高溫低壓環境中的延長的電容性耦合的電漿源 |
JP6529973B2 (ja) | 2013-11-26 | 2019-06-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | バッチ処理用傾斜プレート及びその使用方法 |
JP6616070B2 (ja) | 2013-12-01 | 2019-12-04 | ユージェヌス インコーポレイテッド | 誘電性複合体構造の作製方法及び装置 |
JP2017503079A (ja) | 2014-01-05 | 2017-01-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 |
WO2015106261A1 (en) | 2014-01-13 | 2015-07-16 | Applied Materials, Inc. | Self-aligned double patterning with spatial atomic layer deposition |
KR102135740B1 (ko) | 2014-02-27 | 2020-07-20 | 주식회사 원익아이피에스 | 기판 처리 장치 및 기판 처리 방법 |
US9336997B2 (en) | 2014-03-17 | 2016-05-10 | Applied Materials, Inc. | RF multi-feed structure to improve plasma uniformity |
TW201610215A (zh) | 2014-03-27 | 2016-03-16 | 應用材料股份有限公司 | 用於低熱預算處理的循環尖峰退火化學曝露 |
KR102371535B1 (ko) | 2014-04-18 | 2022-03-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 서셉터 온도 확인을 위한 장치 및 사용 방법들 |
US20150380221A1 (en) | 2014-06-30 | 2015-12-31 | Applied Materials, Inc. | Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source |
US9617638B2 (en) * | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
TWI696724B (zh) | 2014-09-10 | 2020-06-21 | 美商應用材料股份有限公司 | 空間原子層沈積中的氣體分離控制 |
US10273578B2 (en) | 2014-10-03 | 2019-04-30 | Applied Materials, Inc. | Top lamp module for carousel deposition chamber |
US20160138160A1 (en) | 2014-11-18 | 2016-05-19 | Lam Research Corporation | Reactive ultraviolet thermal processing of low dielectric constant materials |
US9508547B1 (en) | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US9738977B1 (en) * | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
-
2014
- 2014-07-30 US US14/447,203 patent/US9617638B2/en active Active
-
2015
- 2015-07-16 TW TW104123014A patent/TWI662149B/zh active
- 2015-07-16 TW TW108108815A patent/TWI676703B/zh active
- 2015-07-22 KR KR1020150103671A patent/KR102454473B1/ko active IP Right Grant
- 2015-07-22 JP JP2015144504A patent/JP6752555B2/ja active Active
- 2015-07-30 CN CN201811330813.1A patent/CN109913852B/zh active Active
- 2015-07-30 CN CN201510459965.1A patent/CN105316651B/zh active Active
-
2017
- 2017-02-28 US US15/445,632 patent/US10407773B2/en active Active
-
2020
- 2020-08-19 JP JP2020138491A patent/JP7194713B2/ja active Active
-
2022
- 2022-10-07 KR KR1020220128950A patent/KR102563427B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
US20170167017A1 (en) | 2017-06-15 |
TWI676703B (zh) | 2019-11-11 |
US10407773B2 (en) | 2019-09-10 |
US9617638B2 (en) | 2017-04-11 |
CN105316651A (zh) | 2016-02-10 |
KR102454473B1 (ko) | 2022-10-12 |
TWI662149B (zh) | 2019-06-11 |
CN109913852B (zh) | 2021-04-20 |
CN109913852A (zh) | 2019-06-21 |
US20160035566A1 (en) | 2016-02-04 |
JP7194713B2 (ja) | 2022-12-22 |
KR102563427B1 (ko) | 2023-08-03 |
JP2016036020A (ja) | 2016-03-17 |
TW201617473A (zh) | 2016-05-16 |
TW201923141A (zh) | 2019-06-16 |
KR20220141773A (ko) | 2022-10-20 |
CN105316651B (zh) | 2018-12-07 |
KR20160017610A (ko) | 2016-02-16 |
JP2020191474A (ja) | 2020-11-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6752555B2 (ja) | 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 | |
US10903071B2 (en) | Selective deposition of silicon oxide | |
US10679848B2 (en) | Selective atomic layer deposition with post-dose treatment | |
KR102691029B1 (ko) | 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템 | |
US10577691B2 (en) | Single ALD cycle thickness control in multi-station substrate deposition systems | |
KR102542125B1 (ko) | 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착 | |
US9589790B2 (en) | Method of depositing ammonia free and chlorine free conformal silicon nitride film | |
US9508547B1 (en) | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors | |
TWI595112B (zh) | 次飽和之原子層沉積及保形膜沉積 | |
TW201629253A (zh) | 含矽膜之原子層沉積中的選擇性抑制 | |
TW201623682A (zh) | 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備 | |
JP2016145412A (ja) | 膜均一性改善のためのハードウェアおよび処理 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20151217 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20180718 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20190604 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20190531 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200204 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20200427 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200703 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20200721 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20200819 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6752555 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |