TW201617473A - 二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備 - Google Patents

二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備 Download PDF

Info

Publication number
TW201617473A
TW201617473A TW104123014A TW104123014A TW201617473A TW 201617473 A TW201617473 A TW 201617473A TW 104123014 A TW104123014 A TW 104123014A TW 104123014 A TW104123014 A TW 104123014A TW 201617473 A TW201617473 A TW 201617473A
Authority
TW
Taiwan
Prior art keywords
processing chamber
substrate
thin film
depositing
film
Prior art date
Application number
TW104123014A
Other languages
English (en)
Other versions
TWI662149B (zh
Inventor
艾里恩 拉芙依
康虎
普魯夏坦 庫瑪
珊卡 史旺明內森
錢駿
法蘭克L 帕斯果
克洛伊 巴爾達塞羅尼
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201617473A publication Critical patent/TW201617473A/zh
Application granted granted Critical
Publication of TWI662149B publication Critical patent/TWI662149B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文中揭露的係在半導體基板上沉積材料薄膜的方法,且該方法應用二次清洗。該等方法包括使一薄膜前驅物流進一處理腔室中,並使該薄膜前驅物吸附於該處理腔室中的一基板之上,使得該前驅物在該基板上形成一吸附限制層。該方法更包括透過使用一次清洗氣體來清洗該處理腔室,俾將至少若干的未吸附的薄膜前驅物,從已吸附的前驅物之周圍容積中移除,並且之後,在使二次清洗氣體流進該處理腔室的同時,使已吸附的薄膜前驅物起反應,造成在該基板上的一薄膜層之形成。該二次清洗氣體包括游離能及/或解離能等於或大於O2 的化學物種。本文中亦揭露的係實施上述方法的設備。

Description

二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備
本發明係關於二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備。
隨著半導體產業中的裝置與特徵部尺寸持續微型化,以及亦隨著3D裝置結構(例如Intel的三閘電晶體構造)在積體電路(IC)設計中變得更盛行,沉積薄的保形薄膜(相對下伏結構之形狀而具有均勻厚度的材料薄膜,即使下伏結構並非平面)的能力將持續地增加重要性。原子層沉積(ALD)為一種適合沉積保形薄膜的薄膜形成技術,這係因為ALD的單一個循環僅沉積單一個材料薄層,而其厚度係受到在形成薄膜的化學反應本身之前,可吸附在基板表面上(也就是形成吸附限制層)之 一或更多的薄膜前驅反應物的量所限制。之後可應用複數個「ALD循環」來積累所期望厚度的薄膜,且因為各層既薄又保形,所以最終的薄膜實質上順應下伏裝置結構的形狀。
然而,有許多和ALD處理有關的挑戰。該等挑戰往往係關於因為ALD的單一循環僅沉積薄的一吸附限制層,而因此需要許多的ALD循環以積累具有看得出的(appreciable)厚度的薄膜。各個循環需要時間,且需要重複設備(用於完成沉積處理)的順序操作。因此,吾人尋求改良的方法及設備,以提高晶圓處理速度、且亦改善基板處理硬體(用於執行ALD操作)之使用壽命與維護需求。
本文中揭露的係在半導體基板上沉積材料薄膜的方法,且該方法應用二次清洗。該等方法包括使一薄膜前驅物流進一處理腔室中,並使該薄膜前驅物吸附於該處理腔室中的一基板之上,使得該前驅物在該基板上形成一吸附限制層。該方法更包括透過使用一次清洗氣體來清洗該處理腔室,俾將至少若干的未吸附的薄膜前驅物,從已吸附的前驅物之周圍容積中移除,並且之後,在使二次清洗氣體流進該處理腔室的同時,使已吸附的薄膜前驅物起反應,造成在該基板上的一薄膜層之形成。該二次清洗氣體包括游離能及/或解離能等於或大於O2 的化學物種。
本文中亦揭露的係用於在半導體基板上沉積材料薄膜的設備。該等設備包括一處理腔室、位於該處理腔室中的一基板固持器、一噴淋頭(用於使薄膜前驅物與一次清洗氣體流進該處理腔室中)、一噴淋頭軸套(用於使二次清洗氣體流進該處理腔室中)、一或更多的一次流量閥(用於控制通過該噴淋頭的薄膜前驅物的流量與一次清洗氣體的流量)、一或更多的二次流量閥(用於控制通過該噴淋頭軸套的二次清洗氣體的流量)、一閥傳動(valve-operated)真空來源(用於將一次與二次清洗氣體從該處理腔室中移除並且將薄膜前驅物從在該處理腔室中的基板的周圍容積中移除)、一電漿產生器(用於產生該處理腔室中的電漿)、以及包括機器可讀指令的一或更多的控制器(用於操作一或更多的閥、真空來源、及電漿產生器,俾將材料薄膜沉積在半導體基板上)。該控制器的指令可包括:用於操作該一次流量閥,以使薄膜前驅物流進該處理腔室中的指令;用於控制該處理腔室中的條件,使得該薄膜前驅物吸附在該處理腔室中的基板之上而形成一吸附限制層的指令;用於操作該一次流量閥以使一次清洗氣體流進該處理腔室中、以及用於操作該閥傳動真空來源而將該一次清洗氣體排空(藉此將至少若干的未吸附的該薄膜前驅物,從已吸附的前驅物之周圍容積中移除)的指令;用於操作該電漿產生器以在該處理腔室中形成電漿的指令,該電漿使已吸附的薄膜前驅物的反應活化,俾在該基板上形成一薄膜層;以及用於在透過電漿使已吸附的薄膜前驅物的反應活化的同時,操作該二次流量閥以使二次清洗氣體(包括O2 )流進該處理腔室中的指令。
為了提供發明之全面性的理解,在接下來的實施方式中提出許多具體的細節。然而本發明毋須一些或全部該等具體細節而可被實施。在一些例子中,為了不必要地混淆本發明,熟知的製程作業未詳細描述。雖然本發明結合具體的詳細實施例來描述,但應知悉的係,該等具體的詳細實施例不欲限制本文中揭露之發明概念的範疇。
本文中揭露的係用於在半導體基板處理腔室中抑制寄生電漿產生的方法與設備,而該半導體基板處理腔室係用於透過原子層沉積(ALD)來沉積保形薄膜。
ALD係用於透過執行複數個「ALD循環」來沉積具有期望厚度的材料薄膜,而各循環僅沉積一材料薄層(通常厚度僅為一分子層)。如將在下文中詳細描述的,用於在處理腔室中將單一個材料層沉積在基板上的基本ALD循環包括:(i)使薄膜前驅物吸附在基板上,使得其形成一吸附限制層,(ii)將(至少若干)未吸附的薄膜前驅物,從已吸附的前驅物之周圍容積中移除,以及(iii)將未吸附的前驅物移除之後,使已吸附的薄膜前驅物起反應,俾在該基板上形成一薄膜層。通常,ALD循環更包括操作(iv),將已脫附的薄膜前驅物及/或反應副產物,從該薄膜層(形成在該基板上)之周圍容積中移除。
操作(ii)及(iv)中的移除可藉由將該基板的周圍容積清洗(purge)、透過泵抽至基礎壓力(base pressure)(pump-to-base)而排空等達成。在一些實施例中,清洗可邏輯上分為本文中所稱的「一次清洗」或「突發(burst)清洗」、以及「二次清洗」。該一次清洗涉及使用本文中所稱的「一次清洗氣體」,其源自「一次清洗氣體來源」,並且經由一次清洗氣體流動路徑(通過一或更多的一次清洗氣體入口)而被引入處理腔室中。相似地,該二次清洗涉及使用本文中所稱的「二次清洗氣體」,其源自「二次清洗氣體來源」,並且經由二次清洗氣體流動路徑(通過一或更多的二次清洗氣體入口)而被引入處理腔室中。
該一次清洗發生出現在操作(ii)期間,且在操作(iv)中有另一清洗的實施例中,該一次清洗亦發生在該另一清洗期間。然而,該一次清洗通常不發生在操作(i)與(iii)期間,且在一些實施例中,在操作(iii)的反應之前,實質上所有的一次清洗氣體已被從處理腔室中移除。因此,因為一次清洗氣體的流動係間歇性的(intermittent),所以一次清洗在本文中亦稱為「突發清洗」(使用「突發清洗氣體」)。一次清洗與突發清洗兩個用語在本文中同義地使用。
在本文中將稱為「二次清洗」者,視為與「一次清洗」不同。與該一次清洗形成對比,在二次清洗期間,氣體在反應(發生在操作(iii)中)期間,以一種實質上不中斷或不干擾反應過程(發生於基板表面上)的方式流進處理腔室中。在一些實施例中,該二次清洗氣體亦在操作(i)-(ii)及/或(iv)期間流進處理腔室中,且在某些此種實施例中,在整個操作(i)-(iv)期間,其連續地流進處理腔室中。
該二次清洗氣體流進處理腔室的流速可與該一次清洗氣體流進處理腔室的流速不同,視實施例而定。在一些實施例中,該一次清洗氣體以約1,000到100,000sccm、或較佳地約5,000到45,000sccm、或甚至約10,000到30,000sccm的速率,流進處理腔室中。在一些實施例中,該二次清洗氣體以約1到50,000sccm、或較佳地約1到30,000sccm、或甚至約1,000到20,000sccm的速率,流進處理腔室中。
在ALD處理中使用二次清洗可得到若干有益的效果,係關於二次清洗在操作(iii)期間被啟動,且又係關於二次清洗氣體被引導至處理腔室的遠端區域(而非如同一次清洗般被直接地引導到基板上)。二次清洗氣體到腔室的遠端區域(也就是非直接靠近基板表面的區域)的流動,首先,可幫助將多餘的未吸附的薄膜前驅物從處理腔室中移除,再者,甚至有助於避免薄膜前驅物流動到在腔室的該等遠端區域。為了達到後者的效果,二次清洗亦在操作(i)期間(薄膜前驅物流進腔室中時)被啟動。在操作(iii)期間應用二次清洗可保護腔室的內側表面,例如不受到任何寄生沉積,寄生沉積可能因下列原因而發生:在反應過程(發生於基板表面上)期間,前驅物從基板表面脫附,然後在別處(例如在腔室側壁上)再吸附並且反應。在描述配備用以應用二次清洗的基板處理設備的詳細範例之前,現提供薄膜沉積設備之整體概要。   [薄膜沉積設備之概要]
在半導體基板上沉積薄膜的操作大致上可在如圖1所示的一基板處理設備中執行。圖1的設備100(將於下文進一步詳述)具有單一個處理腔室102,而在透過真空幫浦118維持於真空下的一內部容積中有單一個基板固持器108。為了(舉例來說)薄膜前驅物、載氣、及/或清洗及/或處理氣體、二次反應物等的輸送,而亦與處理腔室102流體耦接(fluidically coupled)的係氣體輸送系統101與噴淋頭106。圖1中亦呈現用於產生處理腔室中的電漿的設備(將於下文進一步詳述)。總之,示意地描繪於圖1中的設備,提供在半導體基板上執行薄膜沉積操作(例如ALD)的基本設備(如下文詳述般)。
雖然在一些情況下,如同圖1中的一基板處理設備係足夠的,但當涉及耗時的薄膜沉積操作時,同時在複數個半導體基板上透過平行地執行複數個沉積操作而提高基板處理產出量係有優勢的。為此目的,可應用多站基板處理設備,如示意地描繪於圖2中者。圖2的基板處理設備200仍應用單一個基板處理腔室214,然而,在由處理腔室的腔壁所界定之單一個內部容積中的係複數個基板處理站,而每一個基板處理站可用於在一基板(固持於該處理站中的晶圓固持器上)上執行處理作業。在此具體實施例中,多站基板處理設備200顯示為具有四個處理站201、202、203、及204。該設備亦應用一基板裝載裝置(在此例中為基板搬運機器226),其用以將基板裝載到處理站201與202中;以及一基板傳送裝置(在此例中為基板旋轉料架290),其用以在多個處理站201、202、203、及204之間傳送基板。其他相似的多站基板處理設備可具有更多或更少的處理站,取決於實施例、以及(舉例來說)平行晶圓處理所期望之等級、尺寸/空間的限制等。圖2(將於下文進一步詳述)中亦顯示一控制器250,其亦幫助達到執行有效率的基板沉積操作(在原子層沉積(ALD)操作中引入一次與二次清洗氣體)之目標。
應注意的係,就設備成本與操作性花費兩者而言,透過使用如圖2所示之多站處理設備,可達到多樣的效果。例如,在全部4個處理站方面,單一的真空幫浦(圖2中未顯示,但例如圖1中的真空幫浦118)可用於為全部4個處理站建立單一個高真空環境,且可用於將失效的處理氣體排空等。視實施例而定,各個處理站可具有其本身專屬的噴淋頭(例如請見圖1的噴淋頭106) 用以氣體輸送,但共用相同的一氣體輸送系統(例如圖1的氣體輸送系統101)。同樣地,在處理站當中可共用電漿產生器之設備的某些元件(例如功率供應器),但某些態樣可由特定的站處理(例如,將噴淋頭用於施加產生電漿的電位–請見下文圖1的討論),視實施例而定。然而,再一次應知悉的係,如此效果可透過在每一個處理腔室中使用較多或較少的處理站的數量(例如每一個處理腔室有2、3、5、6、7、8、9、10、11、12、13、14、15、或16、或更多的處理站)而達到較大或較小之程度。   [二次清洗的實施與應用]
應用噴淋頭設計的基板處理設備尤其得益於使用二次清洗。在此種設計中,噴淋頭的主要用途係提供薄膜前驅物藉以引入處理腔室的機制(用於操作(i)中的基板表面吸附)。相較於僅以一些噴嘴作為流量的點源所能達到的,噴淋頭設計允許薄膜前驅物流量對基板表面有空間上更均勻的分布。一旦施加適當的電位,噴淋頭亦可作為兩電極(用於操作(iii)中的電漿產生,俾造成表面反應的活化)的其中之一。除了這些用途之外,噴淋頭亦可用於在操作(ii)及/或(iv)期間,將一次清洗氣體的流量引入處理腔室,如此一來,在一次清洗氣體方面亦達到更佳的空間均勻性。然而,針對此清洗氣體引入處理腔室的方法,隨之而來的問題係該流量一般無法有效地清洗位在噴淋頭背側的空腔。因此,使二次清洗氣體直接地流進噴淋頭背側/上方的空間/空腔中,係相當有益的,因為這可最小化或避免在噴淋頭背側、以及在噴淋頭背側/上方的腔壁等的,不合意的沉積作用。
此種實施例示意地圖解於圖3中。圖3呈現單站基板處理設備300的剖面示意圖,其具有處理腔室102、噴淋頭106、及噴淋頭軸套330,並分別地繪出一次與二次清洗氣體的流動路徑310與320。在顯示於圖3的配置中,來自一次清洗氣體來源312的一次清洗氣體,係透過噴淋頭106流進腔室102中,但來自二次清洗氣體來源322的二次清洗氣體,係透過噴淋頭軸套330流進腔室102中。因此,本文中的二次清洗氣體,係靠近在噴淋頭106背側的中心軸而引入處理腔室102中,且以實質上平行於基板112(固持在支座108上)之平面的流量來引入。在從十字板(cross plate)303的附近離開腔室之前,被如此引入的二次清洗氣體亦會繞著噴淋頭周圍而沿著腔室側壁向下流動(如圖中用箭頭示意地描繪般)。依此方式,二次清洗氣體可最小化及/或避免腔室102之內部腔壁上的沉積作用。在一些範例中,在噴淋頭背側的空腔中,清洗氣體的流量滿足匹列條件(Peclet condition)(典型上匹列數大於1),所以避免了該空腔中的前驅物的逆擴散(或流動),這因此減少有效的腔室容積,但最小化不合意的沉積作用 。
使用該二次清洗氣體(一般根據圖3來實施)的另外的益處為,使用惰性氣體(而非相當昂貴的薄膜前驅物)在處理腔室中建立腔室壓力的能力。較高的腔室壓力可作為薄膜前驅物的空氣屏蔽(gas curtain),藉此增加前驅物在基板區的分壓,但降低在其他地方的前驅物分壓。較高的腔室壓力本身由於較高的壓力強度(pressure regime),亦抑制在內側腔室表面/腔壁上的寄生沉積作用,且亦降低寄生電漿產生的機會(或強度) (於下文更詳細討論)。
可應用於產生一次與二次清洗氣體流量的適當的噴淋頭與噴淋頭軸套(與示意地描繪在圖3中者類似)將在下文中參考圖6-9更進一步詳述。此種二次清洗氣體的使用、在噴淋頭之背側的二次清洗氣體的引入、以及相關設備之細節,亦記載於先前之美國專利申請案第13/659231號, 申請日為2012年10月24日,案名為「SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION」,其美國專利公告號為第2013/0344245號,該案以全文以及其各種用途加入本案之參考資料。   [應用二次清洗的方法與設備中的寄生電漿抑制]
因為二次清洗典型上係在ALD處理的薄膜形成反應操作期間(前文之操作(iii))進行,故一般選用惰性氣體作為二次清洗氣體,使得其不會干擾薄膜形成反應。在先前之成果中,通常選用N2 作為二次清洗氣體。然而,在某些應用(例如雙重圖案化,double-patterning)中,必須嚴格地控制所沉積之薄膜的氮含量,且因為使用N2 作為二次清洗氣體常導致氮摻入(incorporation)到所沉積之薄膜中,所以N2 通常不是好的選擇。
此說明於下方之表格1,其顯示4個薄膜的成分(composition),該等薄膜係使用一次/突發清洗(“BP”)氣體、二次清洗(“2nd P”)氣體、以及載氣的4種不同組合來沉積。矽與氧的含量以原始離子計數(raw ion counts)表列;氮、氫、及碳的含量以密度(計數/cm3 )表列。使用二次離子質譜儀 (SIMS)來量測薄膜成分。該表格的第一行顯示基準薄膜成分,該薄膜係使用N2 作為一次清洗氣體、二次清洗氣體、及載氣而製備。相對於此基準成分,該表格的第二行顯示出,用Ar取代N2 作為一次/突發、以及二次清洗氣體,使所沉積之薄膜中的氮濃度減少約40%(以 矽離子計數尺度化(scale))。然後,表列於該表格的第三行中的範例說明了,在保持N2 作為二次清洗氣體的同時,用Ar取代作為該一次/突發清洗氣體,未產生類似的氮濃度減少。因為僅在一次/突發清洗使用Ar並未達到相同的氮濃度減少,所以可推斷出:一次/突發清洗氣體的選擇對於沉積薄膜中的氮濃度不具有明顯的影響。此可基於下列根據做合理的解釋:在薄膜形成反應步驟期間(前文之操作(iii)) 的處理腔室中,沒有(或很少)一次/突發清洗氣體存在而可能摻入到所沉積之薄膜中。另一方面,二次清洗氣體在薄膜形成反應步驟期間(操作(iii))典型上係存在的,所以其會貢獻化學物種給所沉積之薄膜。表格I中的最末行(作為此分析的另一驗證)表列出使用Ar作為一次/突發清洗氣體以及載氣兩者的薄膜成分。因為此並未導致氮含量下降(相對於該基準成分),而驗證了下列分析結果:所沉積之薄膜的主要的氮貢獻者係二次清洗氣體。表格 I 在多種清洗條件下從晶圓測得的成分的 SIMS 資料
因此,上述SIMS實驗例證了在ALD處理中使用氬氣(Ar)取代N2 作為惰性二次清洗氣體,對於控制/降低最後的所沉積之薄膜中的氮含量係有效的。然而,使用氬氣的實驗顯示氬氣作為二次清洗氣體同樣不甚理想(儘管其理由不同於N2 )。因為操作(iii)中的薄膜形成反應典型上係透過電漿活化的,所以在二次清洗期間的處理腔室中,產生電漿的RF電場一般係存在的。實驗顯示,RF電場除了在基板表面的周圍產生「主要電漿」(用於使已吸附的薄膜前驅物的表面反應活化的電漿)之外,此RF電場亦在腔室的遠端區域產生「寄生電漿」。例如,在實施例(其中噴淋頭用於分配薄膜前驅物與一次清洗氣體兩者,且其中二次清洗氣體係從噴淋頭上方/背側分配) (如圖3所示之實施例中實現的)中發現,在處理腔室的噴淋頭背側/上方的區域,產生強/密集的寄生電漿。因為此非預期的電漿被觀測到係非常亮的,所以推測其非常密集/強。再者,因為介於噴淋頭的上方與處理腔室的頂部腔壁/板之間的區域的容積係相當大的,所以此電漿的體積相當巨大,再加上其具有高電漿密度,其可能吸引大量的功率離開主電漿 (用於活化基板上的薄膜形成反應)。
寄生電漿之形成因下列各種理由所以係不樂見的:寄生電漿係「不受控制的」電槽(power sink),其可能吸引功率離開主電漿,並壓低主電漿的密度。再者,因為寄生電漿的密度與功率吸引會基於各種因素而變化,所以寄生電漿對於主電漿(活化薄膜形成反應)的影響亦會改變且無法預測,進而成為促成晶圓與晶圓之間的變異的一重大因素。此外,寄生電漿造成增強的沉積作用出現在腔壁表面上;該沉積作用可能成為微粒的來源,而汙染基板上的所沉積之薄膜。因此,出現強/密集的寄生電漿的操作,造成長期性的問題(晶圓與晶圓之間的可重現性、工具偏差(tool drift)、製程微粒表現(process particle performance)、噴淋頭元件及/或其他腔室元件的耗損(erosion)增加)、及/或其他產量的問題,故此,為了避免/最小化這些不合意的結果, 一重要的目標為部分或完全抑制/消除ALD處理系統中的寄生電漿生成。
解決此問題的一方法為審慎地選擇二次清洗氣體,其不易形成強電漿(或根本不形成電漿),但仍然不干擾薄膜形成反應(操作(iii)中)或不利地改變薄膜的成分。一此種選擇為氧分子(O2 )。當使用O2 作為二次清洗氣體、連同 Ar作為一次清洗氣體時發現,產生在噴淋頭背側的寄生電漿比使用Ar作為一次與二次清洗氣體兩者時所產生的寄生電漿更加地弱。
毋須侷限於特定理論,一般認為使用O2 時的寄生電漿相對的弱(相對於Ar)之理由係:與Ar基電漿相比,需要更多的RF功率位準來維持O2 基電漿。可想見這係因為與氧分子鍵結有關的巨大解離能、以及與氧原子有關的高游離能。因此,業已發現,針對給定的用於維持的一RF功率,O2 基電漿具有低電子密度(相較於其他類型的電漿,例如Ar基電漿),所以大致上可將O2 基電漿稱為「弱電漿」。如此一來,在ALD循環的電漿活化步驟中,用於產生/引燃Ar電漿(位於噴淋頭與基板之間)的RF功率與噴淋頭電壓,不足以產生/引燃O2 電漿(位於噴淋頭上方的空腔中),或者,若有一些引燃,O2 電漿將非常弱(且顯然昏暗)。關於優選的二次清洗氣體的其他特色,應注意的係,不同於N2 ,不僅發現Ar/O2 混合物可與一般的電漿活化ALD表面反應相容,亦發現O2 的存在確實改善薄膜品質(至少在一些實施例中)。
業已完成許多數值性與實驗性研究,以針對具體的範例而詳述及定量下列事項:因Ar基寄生電漿的存在而使RF功率被吸引離開主電漿的程度;以及使用O2 作為二次清洗氣體可達到的改善程度。
圖表2表列不同的5組製程條件,該等製程條件由一次/突發與二次清洗氣體、以及RF功率位準的多樣組合所組成。根據各個表列的5組製程條件來處理一晶圓。顯示於該圖表中的資料由左到右為:RF 功率位準(瓦特)、平均沉積薄膜厚度(Å) (在各個晶圓表面上量測49點)、NU% (厚度不均勻性百分比) (亦在各晶圓的表面上量測49點,1標準差,經尺度化)、所沉積之薄膜的厚度範圍 (所沉積之薄膜的最薄與最厚點之間的差)、NU%(R/2) (一種統計量測值–稱為「半程不均勻性(half range nonuniformity)」–其定義為 ½ * (maxthickness -minthickness )/meanthickness * 100 %)、每一處理站的沉積循環數量 (使用4個處理站)、 每一ALD循環的沉積速率(例如1.508 Å/循環 = 349.8 Å / (58 循環 x 4 ))、估算的功率輸送程度(相對於使用N2 /N2 (一次/二次)清洗組合)、以及功率損失百分比(亦相對於使用N2 /N2 )。圖表 II :估算的主電漿功率損失 ( 起因於寄生電漿 )
實施於圖表II中的策略為,確認沉積速率與RF功率位準之間的定量關係(在無寄生電漿存在之情況下),然後使用Ar與O2 作為二次清洗氣體,再次量測沉積速率,以估算功率在寄生電漿存在的情況下的損失程度。因此,圖表II中的前3行對應到的實驗為:使用N2 作為一次與二次清洗氣體兩者,但該等3行當中的RF功率位準不相同。之後將此3行資料點繪製於圖4(沉積速率(“DepR”)相對於RF功率位準)中,並在該圖中顯示計算出的最佳配適線,以顯示沉積速率與功率之間的關係。
接下來,圖表II中的第4行顯示沉積實驗(使用Ar作為一次/突發清洗與二次清洗氣體兩者)的結果。該圖表顯示1600 W的沉積速率從1.508 Å/循環  (以 1600 W的 RF功率並使用 N2 而得)增加到1.66 Å/循環 。從圖4中的關係中可估算相對於使用N2 的功率損失大約為 47%  (沉積速率與功率位準成反比;請見圖4)。
最後,圖表II中的第5行顯示使用O2 替代Ar作為二次清洗氣體的效果。該圖表顯示,在此實驗中1600 W的沉積速率降回至1.545 Å/循環 ,較接近使用 N2 時的沉積速率。與此對應的相對於N2 的功率損失(因為寄生電漿的存在)僅11%,與使用Ar相比之下係一顯著的改善。
因此,業已發現使用氧分子可大程度地緩和前文討論的問題。簡而言之,該等資料與相關的計算顯示,被寄生電漿消耗的RF功率接近輸送至處理站中的總RF功率的50%,然而O2 的替代可將功率損失降低到接近10% (至少在此範例中)。歸納前述之分析,可得出的結論係:具有大的游離能與解離能的化學物種(相對於氬–或用於在操作(iii)中幫助活化反應的電漿的其他物種–的游離能),係作為二次清洗氣體的好選擇。當然,該等化學物種的存在/使用必須亦與薄膜形成反應、以及所沉積之薄膜的期望的特性相容(針對O2 的情況,但非針對 N2 )。圖表III統整前述之重點: [原子層沉積技術與所沉積之薄膜的實施方式]
如前文所述,隨著裝置尺寸持續微型化,而ICs朝向3-D電晶體與其他3-D結構的應用邁進,沉積精確的量(厚度)的保形薄膜材料(尤其係介電質,但亦包括多樣的含摻雜物的材料)的能力變得更加重要。原子層沉積係一種用於達成保形薄膜沉積的技術,其典型上涉及沉積作用的複數個循環,以得到具有期望厚度的薄膜。
與化學氣相沉積(CVD)處理(使用活化的氣相反應來沉積薄膜)相比,ALD處理使用表面介導的(surface-mediated)沉積反應來一層一層地沉積薄膜。例如,在ALD處理的一類型中,將第一薄膜前驅物(P1)以氣體的型態引入一處理腔室中、將其暴露到基板、然後使其吸附在該基板之表面上(一般聚集(population)在表面活化位置上)。P1的一些分子(包括P1的化學吸附物種與物理吸附分子)在該基板表面的頂上形成凝態。然後將該基板表面的周圍容積排空,以將氣態與物理吸附的P1移除,使得僅化學吸附物種被留下。之後將第二薄膜前驅物(P2)引入該處理腔室中,使得P2的一些分子吸附在該基板之表面上。再次將該基板(在該處理腔室之中)的周圍容積排空,而這次係為了將未結合的(unbound)P2移除。接續地,提供給該基板的能量(例如熱或電漿能)將P1與P2的已吸附的分子之間的表面反應活化,而形成一薄膜層。最後,再次將該基板的周圍容積排空,以將未反應的P1及/或P2、及/或反應副產物(若存在)移除,結束ALD的單一個循環。
用於沉積保形薄膜(具有多種化學品)的ALD技術,以及根據基本ALD處理的許多變化,詳細地記載於美國專利申請案第13/084399號,申請日為4/11/2011,案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」(代理人案號 NOVLP405);美國專利申請案第13/242084號,申請日為9/23/2011,案名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」,現為美國專利案第8637411號(代理人案號 NOVLP427);美國專利申請案第13/224240號,申請日為 9/1/2011,案名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」 (代理人案號NOVLP428);以及美國專利申請案第13/607386號,申請日為  9/7/2012,案名為「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」 (代理人案號NOVLP488),該等案以全文以及其各種用途加入本案之參考資料。 如該等先前申請案中所記載的,用於將單一個材料層沉積在基板上的基本ALD循環包括:  (i) 使薄膜前驅物吸附在基板上,使得其形成一吸附限制層,(ii) 將未吸附的前驅物從已吸附的前驅物之周圍容積中移除, (iii) 使已吸附的前驅物起反應,以在該基板上形成一薄膜層,以及(iv) 將已脫附的薄膜前驅物及/或反應副產物從該薄膜層(形成在該基板上)之周圍容積中移除 。可藉由將該基板的周圍容積清洗、排空、泵抽至基礎壓力等來達成操作 (ii) 與 (iv)中的移除。應注意的係,操作 (i) 到 (iv) 的基本ALD順序,不需如前述之範例般包括兩個化學吸附反應物種P1 與 P2 ,且其甚至不需要包括第二個反應物種, 但可根據所涉及的期望的沉積化學品來應用這些可能性/選擇。
然而,因為ALD受吸附作用限制的特性,ALD的單一個循環僅沉積一材料薄層,且通常僅係單一個材料單分子層。例如,根據攙入(dosing)薄膜前驅物的操作的暴露時間、以及薄膜前驅物對於基板表面的的黏附係數(sticking coefficients) ,各ALD循環僅沉積厚度約0.5到3Å的一薄膜層,因此,通常將一般的ALD循環的操作順序(前述之操作 (i)到 (iv))重複多次,以形成具有期望厚度的一保形薄膜。因此,在一些實施例中,連續地重複操作 (i)到 (iv) ,至少1次、或連續地至少 2次、或至少 3次、或至少5次、或至少 7次、或至少10次。沉積一ALD薄膜的速率可為每一ALD循環大約或介於0.1 Å 與2.5 Å 、或每一ALD循環大約或介於 0.2 Å 與2.0 Å 、或每一ALD循環大約或介於  0.3 Å 與1.8 Å 、或每一ALD循環大約或介於 0.5 Å與1.5 Å、或每一ALD循環大約或介於 0.1 Å 與1.5 Å 、或每一ALD循環大約或介於  0.2 Å 與 1.0 Å 、或每一ALD循環大約或介於  0.3 Å與1.0 Å、或每一ALD循環大約或介於  0.5 Å與 1.0 Å。
在一些用於形成薄膜的化學品中,除了稱為「薄膜前驅物」者之外,亦可使用輔助反應物或共反應物。在某些此種實施例中,可在步驟(i)到 (iv)的子集期間、或步驟(i)到 (iv)中之各者的整個期間(當重複該者時) 連續地流動該輔助反應物或共反應物。在一些實施例中,此另外的反應性化學物種(輔助反應物或共反應物等),在其與薄膜前驅物的反應之前,吸附在基板之表面上(如同上述之包含前驅物P1與P2的範例),然而在其他實施例中,該另外的反應性化學物種與已吸附的薄膜前驅物進行反應(當兩者接觸時),本質上毋須先前的吸附作用(吸附在基板之表面上)。此外,在一些實施例中,使已吸附的薄膜前驅物起反應的操作(iii) 可包括將該已吸附的薄膜前驅物與電漿接觸。該電漿可提供能量以驅動基板表面上的薄膜形成反應。在某些此種實施例中,該電漿可為氧化(oxidative)電漿,其係施加合適的RF功率而在反應腔室中產生(但在一些實施例中,其被遠離地產生)。在其他實施例中,可使用惰性電漿(而非氧化電漿)。氧化(oxidizing)電漿可由一或更多的氧化劑(例如O2 、N2 O、或CO2 )形成,且可取使捨性包括一或更多的稀釋劑(例如Ar、N2 、或He)。在一實施例中,該氧化電漿由O2 與Ar形成。合適的惰性電漿可由一或更多的惰性氣體(例如He或Ar)形成 。ALD 處理上的進一步變化被詳細地記載於前文引註(以及加入本文中之參考資料)之先前的專利申請案。
因此,透過ALD處理在基板上形成材料薄膜層的基本操作順序藉由圖5中的流程圖而示意地說明。如圖所示,用於在基板上形成單一個薄膜層的ALD處理始於操作115,使薄膜前驅物吸附於基板上,使該前驅物在該基板上形成一吸附限制層;之後接著操作512,將至少若干未吸附的薄膜前驅物從已吸附的前驅物之周圍容積中移除。接下來,在操作513中,使該已吸附的薄膜前驅物起反應,以在該基板上形成一薄膜層。最後,在一些實施例中(如在圖5中以虛線框標出者),並視薄膜形成反應的化學品而定,操作513之後可接續操作514,將已脫附的薄膜前驅物及/或反應副產物從該薄膜層之周圍容積中移除(若在操作513中使已吸附的前驅物起反應之後存在)。
前述之操作511到514的順序,代表單一個ALD循環,其結果為單一個薄膜層之形成。然而,因為藉由ALD所形成之單一個薄膜層典型上非常薄(通常僅有單分子的厚度),所以須連續地重複多次ALD循環,以積累具有可觀的厚度的薄膜。因此,再次參考圖5,若期望沉積具有(比如)N層的薄膜(或同樣地,可說薄膜的N層),則連續地重複多次ALD循環(操作511到514),然後在各個循環以操作514結束之後,在操作515中,判斷是否已執行ALD的N個循環。然後,若已執行N個循環,則結束薄膜形成操作,反之若未完成,該處理順序回到操作511以開始ALD的另一次循環。
在一些實施例中,由多層沉積而得的薄膜,可包括組成(composition)交替的區域/部分,其係透過(例如)下列動作形成: 保形地沉積複數個層(該等複數個層依序地具有一種組成),然後保形地沉積複數個層(該等複數個層依序地具有另一種組成),然後可能地重複並交替此兩個順序。一些所沉積之ALD薄膜的此等態樣,記載於(例如) 美國專利申請案第13/607386號,申請日為 9/7/2012,案名為「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」 (代理人案號為NOVLP488) ,該案以全文以及其各種用途加入本案之參考資料。 具有組成交替的部分的保形薄膜(包括用於攙入下伏的目標IC結構或基板區的薄膜)、以及形成該等薄膜之方法的更多範例,詳細地記載於:美國專利申請案第13/084399號,申請日為4/11/2011,且案名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」 (代理人案號為NOVLP405); 美國專利申請案第13/242084,申請日為9/23/2011,且案名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」,現為美國專利案第 8637411號 (代理人案號為NOVLP427) ; 美國專利申請案第13/224240,申請日為 9/1/2011,且案名為「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION」 (代理人案號為NOVLP428);  美國專利申請案第13/607386,申請日為 9/7/2012,且案名為「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」 (代理人案號為NOVLP488); 以及美國專利申請案第14/194549號,申請日為2/28/2014,且案名為「CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS」; 各個該等案以全文以及其各種用途加入本案之參考資料。
如前文引註之說明書中所詳述的,ALD處理通常用於沉積保形矽氧化物(SiOx)薄膜,然而如同樣揭露於前文引註之說明書中的,ALD處理亦可用於沉積其他化學品的保形介電薄膜。 在一些實施例中,透過ALD所形成的介電質薄膜,含有矽碳化物 (SiC) 材料、矽氮化物 (SiN) 材料、矽碳氮化物(SiCN) 材料、 或該者之組合。一些實施例中,在透過ALD所形成的薄膜中, 亦可形成矽-碳-氧化物、及矽-碳-氮氧化合物、及 矽-碳-氮化物。用於沉積該等類型的薄膜的方法、技術、及操作,詳細地記載於美國專利申請案第13/494836 號,申請日為12/6/2012,案名為「REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS」。代理人案號為NOVLP466/NVLS003722; 美國專利申請案第13/907699,申請日為 31/5/ 2013,案名為「METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES」,代理人案號為LAMRP046/3149; 美國專利申請案第14/062648,案名為「GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS」; 以及美國專利申請案第14/194549,申請日為2/28/2014,且案名為「CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS」; 各個該等案以全文以及其各種用途加入本案之參考資料。
透過ALD的薄膜沉積的其他範例(包括用於沉積含摻雜物薄膜的化學品),記載於前文列出與加入參考資料中的專利申請案(美國專利申請案第13/084399號、 第13/242084號、第13/224240號、及第 14/194549號)。如其中所載,可使用多種含摻雜物的薄膜的前驅物,來形成含摻雜物的薄膜,例如摻雜硼的矽酸鹽玻璃 (BSG)薄膜、摻雜磷的矽酸鹽玻璃 (PSG)薄膜、摻雜硼磷的矽酸鹽玻璃 (BPSG)薄膜、摻雜砷(As)的矽酸鹽玻璃(ASG)薄膜、以及其他薄膜。 含摻雜物的薄膜可包括B2 O3 、B2 O、P2 O5 、P2 O3 、 As2 O3 、 As2 O5 、以及其他薄膜。因此,具有硼以外的其他摻雜物的含摻雜物的薄膜亦為可行的。範例包括鎵、磷、或砷摻雜物、或適合摻雜半導體基板的其他元素,例如其他的III及V價的元素。
關於ALD的製程條件, ALD處理可在多種溫度下執行。在一些實施例中,ALD 反應腔室內的適當溫度範圍可介於約25 °C與450 °C、或介於約50 °C與300 °C、或介於約20 °C 與400 °C或介於約200 °C 與400 °、或介於約100 °C 與 350 °C。
相似地, ALD可在多種ALD反應腔室壓力下執行。在一些實施例中,反應腔室內的適當壓力範圍可介於約10 mTorr 與10 Torr、或介於約20 mTorr與8 Torr、或介於約50 mTorr與5 Tor、或介於約100 mTorr與2 Torr。
若在操作(iii)中使用電漿,可應用多種RF功率位準來產生電漿。在一些實施例中,適宜的RF功率範圍可介於約100 W與 10 kW、或介於約200 W與6 kW、或介於約500 W與 3 kW、或介於約1 Kw與 2 kW。
在操作(i)中可應用薄膜前驅物的多種流速。在一些實施例中,適宜的流速範圍可約或介於0.1 mL/min到10 mL/min、或約或介於0.5 mL/min與 5 mL/min、或約或介於1 mL/min與 3 mL/min。
在多種操作中可使用氣體的多種流速。在一些實施例中,總氣體流速範圍可約或介於 1 L/min與 20 L/min、或約或介於2 L/min與10 L/min。針對操作(ii)與 (iv)中的取捨性惰性沖洗步驟而言,所應用的突發流速範圍可約或介於20 L/min與 100 L/min、或約或介於40 L/min與 60 L/min。
在一些實施例中, 再一次,泵抽至基礎壓力之步驟係指涉透過將反應腔室直接地暴露到一或更多的真空幫浦,來將其泵抽至基礎壓力。在一些實施例中,該基礎壓力一般僅為若干毫托(例如,介於約1與20 mTorr)。  再者,如前文指出, 泵抽至基礎壓力之步驟可伴隨或不伴隨惰性沖洗,因此,當一或更多的閥打開通往真空幫浦的傳導路徑時,可以流動或不流動載氣。
又再一次,可重複多次ALD循環,以積累保形層的疊層。 在一些實施例中,各層具有實質上相同的組成,然而在一些實施例中,透過ALD而依序地沉積的層,可具有相異的組成,或在某些此種實施例中,組成可一層一層地交替、或具有不同組成的層級有重複的順序,如前文所述。因此,根據實施例,可使用特定的疊層工程概念以調變薄膜中的硼、磷、或砷的濃度,例如前文列出與加入參考資料的專利申請案(美國專利申請案第13/084399號、第13/242084號、及第13/224240號)中所揭露的。   [基板處理設備之實施方式]
本文中描述之方法可藉由任何適當的半導體基板處理設備來執行。適當的設備包括用於完成處理操作的硬體、以及具有指令的系統控制器,而該指令用於根據本文中揭露之多種攙入通道的方法來控制該等處理操作。在一些實施例中,該硬體可包括一或更多的處理站,該等處理站被包括在一多站基板處理工具中;以及具有(或可獲得)機器可讀指令的一控制器,該控制器用於根據本文中揭露之處理技術來控制該等處理操作。
因此,在一些實施例中,適合用於在複數個半導體基板上沉積材料薄膜的設備,可包括第一組一或更多的處理站,而各處理站具有一基板固持器並且容納在一處理腔室中;第二組一或更多的處理站,而各處理站具有一基板固持器並且容納在該處理腔室中;一或更多的閥,其用於控制薄膜前驅物到該等處理站的流量;以及一或更多的閥傳動真空來源,其用於將薄膜前驅物從該等處理站 (容納在該處理腔室中)的周圍容積中移除。以及,此種設備亦包括具有(或可獲得)機器可讀指令的一控制器,其用以操作基板裝載裝置、基板傳送裝置、一或更多的閥、以及真空來源,以將材料薄膜沉積於該等基板上。
因此,在一些實施例中,由該控制器執行的該等指令可包括用於在複數個處理站(容納在一處理腔室中)中的複數個基板上形成薄膜的指令,其中複數個薄膜層係藉由一連串的ALD循環而被形成在各個基板之上。因此,在某些此種實施例中,由該控制器執行的該等指令可包括用於執行ALD操作(i)到(iv)(如前述)的指令、以及用於重複ALD操作(i)到(iv)多次的指令,以在該等複數個基板(位於該基板處理設備中的複數個處理站中)上形成複數個薄膜層。
因此,圖1示意地顯示基板處理設備100的一實施例。為了明確性,將處理設備100描繪成單站的處理設備,其具有用以維持低壓環境的處理腔室102的本體。然而,應知悉的係,在一共同的處理工具環境中(例如在一共同的反應腔室中)可包括多個處理站,如本文所述。例如,圖2描繪多站處理工具之一實施例。再者,應知悉的係,在一些實施例中,可透過一或更多的系統控制器而程式化地調整處理設備100的一或更多的硬體參數(包括前文詳細討論者)。
處理設備100與反應物輸送系統101流體連通,以將處理氣體輸送至分配的噴淋頭106。反應物輸送系統101包括混合容器104,其用於混合及/或調節輸送至噴淋頭106的處理氣體。一或更多的混合容器入口閥120可控制處理氣體進到混合容器104中的引入。
一些反應物在被汽化並接續地被輸送到處理腔室102之前,以液體型態儲存。圖1中的實施例包括汽化點103,其用於將待供應到混合容器104的液態反應物汽化,在一些實施例中,汽化點103可為一加熱液體注入模組。在一些實施例中,汽化點103可為一加熱汽化器。若沒有適宜的控制(例如在將液態反應物汽化/霧化時未使用氦氣),由此種模組/汽化器所產生的飽和的反應物蒸氣可能在下游的輸送配管系統中凝結。不相容的氣體暴露到已凝結的該反應物,可能產生微粒。該等微粒可能阻塞配管系統、妨礙閥操作、汙染基板等。解決該等問題的一些方法,包括將該輸送配管系統淨化及/或排空,以移除殘留的反應物。然而,淨化該輸送配管系統增加處理站的循環時間,造成處理站的產出量下降。因此,在一些實施例中,將汽化點103下游的輸送配管系統進行熱處理。在一些範例中,亦將混合容器104進行熱處理。在一非限制性的範例中,汽化點103下游的配管系統具有增加的一溫度分布,從大約100 °C延伸到在混合容器104的大約150 °C。
如前文提及的,在一些實施例中,汽化點103可為一加熱液體注入模組(簡稱為「液體注入器」)。此種液體注入器可在混合容器的上游將液態反應物的脈衝注入一載氣氣流中。在一方案中,該液體注入器可藉由將液體從高壓急驟至低壓來汽化反應物。在另一方案中,該液體注入器可將液體霧化為分散的微液滴,然後該等微液滴接續地在加熱的輸送配管系統中被汽化。應知悉的係,較小的液滴比較大的液滴汽化得更快,故而將液體注入與完成汽化之間的延遲時間縮短。更快的汽化可將汽化點103下游的配管系統的長度縮短。在一方案中,液體注入器可直接地架設於混合容器104上。在另一方案中,液體注入器可直接地架設於噴淋頭106上。
在一些實施例中,在汽化點103上游提供一液體流量控制器(LFC) ,來控制用以汽化並輸送到處理腔室102中的液體的質流。例如, LFC可包括位在該LFC下游的熱質量流量計(MFM)。由與該MFM電性連接的比例-積分-微分 (PID) 控制器提供回饋控制訊號,然後可調整該LFC的柱塞閥以回應該回饋控制訊號。然而,使用回饋控制將液流安定化需要1秒鐘或更長的時間。這會延長攙入液態反應劑的時間。因此,在一些實施例中,該LFC可在回饋控制模式與直接控制模式之間動態地轉換。在一些實施例中,該LFC 透過使LFC的感測管路與該PID控制器停止作用,而從回饋控制模式動態地轉換到直接控制模式 。
噴淋頭 106將處理氣體及/或反應物(例如薄膜前驅物)分配至處理站中的基板112上,而處理氣體及/或反應物的流量係由在噴淋頭上游的一或更多的閥 (例如閥120、120A、105)來控制。在圖1所示之實施例中,基板 112 位在噴淋頭106的下方並呈現為放置在支座108上。應知悉的係,噴淋頭106 可具有任何適當形狀,且可具有埠口的任何適當數量與配置,以將處理氣體分配至基板112上。
在一些實施例中,微容積(microvolume)107位在噴淋頭106的下方。在處理站的一微容積中,靠近基板來執行ALD 處理(而非在處理腔室的整個容積中),可縮短反應物暴露與淨化的時間、可縮短改變製程條件 (例如壓力、溫度等)的時間、可限制處理站機器暴露到處理氣體的時間等。例示性微容積尺寸包括(但不限於)介於0.1L與2L的容積。
在一些實施例中,可將支座 108升起或降下,以將基板112暴露到微容積107中、及/或改變微容積的107的容積。例如,在基板傳送階段時,可將支座108降下,以允許將基板112裝載到支座108上。在基板上的沉積處理階段時,可將支座108升起,以將基板 112定位在微容積 107中。在一些實施例中,微容積107 可完全地圍繞基板 112以及一部份的支座108 ,以在沉積處理期間建立高流阻抗(flow impedance)的區域。
取捨性地,可在部分的沉積處理期間將支座108降下及/或升起,以調變微容積107內的處理壓力、反應物濃度等。在處理腔室102的本體於處理期間維持在基礎壓力的一方案中,將支座108降下以允許將微容積107排空。微容積比處理腔室容積的例示性比例包括(但不限於)介於1:500與1:10的容積比例。應知悉的係,在一些實施例中,可透過適宜的系統控制器來程式化地調整支座高度。
在另一方案中,調整支座108的高度可允許在電漿活化及/或處理循環(包含在例如一ALD或CVD處理中)期間改變電漿密度。在沉積處理階段結束時,可在另一基板傳送階段期間將支座108降下,以允許將基板112從支座108上移開。
雖然本文中描述之例示性微容積改變涉及高度可調整的一支座,但應知悉的係,在一些實施例中,可相對於支座108而調整噴淋頭106的位置來改變微容積107的容積。再者,應知悉的係,可透過本發明領域內之任何適宜的機制來改變支座108及/或噴淋頭106的垂直位置。在一些實施例中,支座108可包括一旋轉軸,其用以旋轉基板112的方向。應知悉的係,在一些實施例中,可藉由一或更多適宜的系統控制器來程式化地執行一或更多的此種例示性調整,而該等系統控制器具有可用於執行前述操作之全部或子集的機器可讀指令。
回到圖1所示之實施例,噴淋頭106與支座108,和RF功率供應器114與匹配網路116電性地連接,以對電漿施加功率。在一些實施例中,藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時程中之一或更多者,來控制電漿的能量(例如,經由具有合適的機器可讀指令的一系統控制器)。例如, RF功率供應器114與匹配網路116可在任何適當功率下操作,以形成具有自由基種類之期望組成的電漿。適當的功率的範例列於前文中。同樣地,RF功率供應器114可提供具有任何適當頻率的RF功率。在一些實施例中,RF功率供應器114可配置用以控制高與低頻RF功率來源(兩個功率來源彼此獨立)。例示性低頻RF頻率可包括(但不限於)介於50 kHz與500 kHz的頻率。例示性高頻RF頻率可包括(但不限於)介於1.8 MHz與 2.45 GHz的頻率。應知悉的係,可不連續或連續地調變任何適宜的參數,以將電漿能量提供給表面反應。在一非限制性的範例中,使電漿功率斷續地脈衝(相對於連續地對電漿施加功率),以減少和基板表面發生離子轟擊(ion bombarment)。
在一些實施例中,可藉由一或更多的電漿偵測器來對電漿進行原位偵測。在一方案中,藉由一或更多的電壓、電流感測器(例如VI探針)來偵測電漿功率。在另一方案中,藉由一或更多的光放射光譜(OES)感測器來量測電漿的密度及/或處理氣體的濃度。在一些實施例中,可根據來自此種原位電漿偵測器的量測值而程式化地調整一或更多的電漿參數。例如,可用回饋迴路的方式使用OES感測器以提供電漿功率的程式化控制。應知悉的係,在一些實施例中,可使用其他偵測器來偵測電漿與其他製程特性。此種偵測器可包括(但不限於)紅外線(IR)偵測器、聲波偵測器、以及壓力計。
在一些實施例中,可藉由輸入/輸出控制(IOC)連續指令來控制電漿。在一範例中,用於設定電漿活化階段的電漿狀態的指令,可包括在一製程配方的相對應的電漿活化配方階段中。在一些例子中,可時序地安排製程配方階段,使得針對一製程階段的所有指令與該製程階段同時地進行。在一些實施例中,用於設定一或更多的電漿參數的指令,可包括在先於電漿處理階段的一配方階段中。例如,第一配方階段可包括設定惰性(例如氦氣)及/或反應氣體的流速的指令、將電漿產生器設定到功率設定值的指令、以及針對第一配方階段的延遲時間指令。接續的第二配方階段可包括使電漿產生器運作的指令、以及針對第二配方階段的延遲時間指令。第三配方階段可包括使電漿產生器停止運作的指令、以及針對第三配方階段的延遲時間指令。應知悉的係,該等配方階段可透過任何在本發明領域內的適宜方式,來進一步細分及/或重複。
在一些沉積處理中,電漿衝擊(plasma strikes)歷時大約幾秒鐘或更長的持續時間。在本文所述之某些實施例中,在一處理循環期間可施加更為短暫的電漿衝擊。此可大約 50毫秒到1 秒,而具體範例為0.25秒。此種短暫的RF電漿衝擊要求電漿的快速安定化。為了達成電漿的快速安定化,該電漿產生器可配置以使得將阻抗匹配值預設至特定電壓值,但允許頻率浮動。習慣上,高頻電漿係在約13.56 MHz的RF頻率下產生。在本文中揭露的許多實施例中,允許將頻率浮動到異於此標準值的數值。透過允許頻率浮動,同時將阻抗匹配值固定在一預設電壓值,可更快地將電漿安定化,電漿的快速安定化對於在使用與ALD循環相關的非常短暫的電漿衝擊時係重要的。
在一些實施例中,支座108可透過加熱器110來控制溫度。再者,在一些實施例中,透過一或更多的閥傳動真空來源(例如蝶形閥118),對處理設備100提供壓力控制。如圖1之實施例所示,蝶形閥118對於由下游的真空幫浦(未顯示)所提供的真空進行節流控制。然而,在一些實施例中,亦可透過改變被引入處理腔室102中的一或更多的氣體的流速,來調節處理設備100的壓力控制。在一些實施例中,可使用一或更多的閥傳動真空來源(例如蝶形閥118),以在合適的ALD操作性階段期間將薄膜前驅物從處理站之周圍容積中移除。
如上所述,在一多站基板處理工具之中可包括一或更多的處理站。圖 2示意地描繪多站處理工具200的一範例,其將複數個處理站201、 202、203、204包括在共同的一低壓處理腔室214中。藉由將各站維持在低壓環境下,可避免因為在薄膜沉積處理間打破真空而產生的缺陷。
如圖2所示,多站處理工具200具有基板裝載埠220、以及基板搬運機器226,其配置以將基板從透過容器228而裝載的卡匣、移動經過基板裝載埠220、進入到處理腔室214中、並最終放上一處理站。具體而言,在此例子中,基板搬運機器226將基板裝載到處理站201、202中,而基板傳送裝置(在此例子中為基板旋轉料架290)在多個處理站201、202、203、及204之間傳送基板。在圖2所示之實施例中,基板裝載裝置被描繪成具有2個手臂(用於基板的操控)的基板搬運機器226,故如所描繪般,其可將基板裝載到站201與202兩者上(可能同時地、或可能依序地)。接下來,在基板裝載於站201與202後,基板傳送裝置(圖2中描繪之旋轉料架290)可180度旋轉(沿著其中心軸,該中心軸實質上垂直於基板的平面(凸出紙面)且實質上與該等基板等距離),以將2個基板從站201與202傳送到站203與204中。此時,搬運機器226可將2個新的基板裝載到站201與202上,而完成此裝載處理。可反向進行這些步驟以卸載,除此之外,若要處理複數組的4個晶圓,則在將傳送旋轉料架290旋轉180度之前,每次透過搬運機械226的2個基板的卸載,會伴隨2個新的基板的裝載。相似地,在4步驟的裝載處理中,可使用單臂的搬運機械(其配置係用以僅在1站,比如站201,放置基板),伴隨旋轉料架290的4次90度旋轉,以將基板裝載到全部4個站中。
顯示在圖2中,所繪製的處理腔室 214 提供4個處理站 201、 202、 203、及 204。各站具有加熱的支座(例如請見圖3中的支座108)以及氣體管線入口。應知悉的係,在一些實施例中,各處理站可具有不同或複數個功能。例如,在一些實施例中,處理站可在ALD處理模式與CVD處理模式之間轉換。另外地或替代地,在一些實施例中,處理腔室214 可包括ALD/CVD處理站的一或更多的配對。雖然所繪製的處理腔室214包含 4個處理站,應知悉的係,根據本發明的一處理腔室可具有任何適當數量的站。例如在一些實施例中,一處理腔室可具有 1、或 2、或3、或4、或5、或6、或7、或8、或9、或10、或11、或12、或13、或14、或15、或16、或更多的處理站(或可將一組實施例描繪成在每一反應腔室中具有一範圍中的若干個處理站,該範圍由上述數值的任一配對所界定,例如在每一反應腔室中具有2到6個處理站、或在每一反應腔室中具有4到8個處理站、或在每一反應腔室中具有8到16個處理站等)。
如前文指出,圖2描繪基板傳送裝置290的一實施例,基板傳送裝置290用於在處理站201、202、203、及204(位於處理腔室214之中)當中傳送基板。應知悉的係,可應用任何適宜的基板傳送裝置。非限制性的範例包括晶圓旋轉料架、以及基板搬運機器。   [噴淋頭與噴淋頭軸套的詳細說明]
在ALD 處理中,在反應腔室中,必須交替地使薄膜前驅物存在然後排空。為了避免寄生沉積,在引入下一次前驅物之前,將處理腔室中過量的前驅物從處理腔室以及共用的前驅物路徑(例如噴淋頭的桿部)中移除。通常以惰性氣體清洗輸送路徑與腔室,來達成過量前驅物之移除。然而,當使用枝形燈架型噴淋頭(chandelier-type showerhead)時,從噴淋頭而來的清洗氣體無法將卡在該噴淋頭背側的過量的前驅物有效地移除。因此,該前驅物可能在該噴淋頭的背側、頂部板、以及處理腔室的腔壁上,產生可觀的量的寄生沉積。不可能用固體介電質填充死角,因為這方法可能造成RF接地耦合問題。因此,如前文所述,可應用從噴淋頭的背側引入二次清洗氣體來避免此種寄生沉積作用。現將詳細描述用於實施此種二次清洗的硬體:
現參考圖6,顯示基板處理系統650的一範例,其包括具有噴淋頭670的處理腔室660。噴淋頭包括桿部672與頭部674。頭部674界定內側空腔675。流體(例如前驅物或清洗氣體)流經桿部672、到達分配板676、並進入內側空腔675中。然後該等流體通過位在頭部674的底部表面中的孔洞/間隔洞678,並進入到處理腔室660中。
噴淋頭670的桿部672 透過噴淋頭軸套680而被連接到處理腔室 660的頂部腔壁 。噴淋頭軸套680具有大致上「T」-型的橫剖面,且包括頭部 681與桿部 683。噴淋頭軸套680界定圓柱型的內側空腔684,且內側空腔684接收噴淋頭670的桿部672 。複數的槽形孔洞686在桿部 683中形成,以使二次清洗氣體可從內側空腔684流動到桿部 683的外側表面。從圖6中的槽形孔洞686的方向、以及顯示於圖3中的二次清洗流動路徑320可以明白,二次清洗氣體以實質上平行於基板的平面的一動向,流進處理腔室中(但流動方向在腔室腔壁的附近改變,如圖3中所示)。
流體連接器690被連接到噴淋頭軸套680的頭部 681的邊緣,且流體連接器690用於供應流體(例如清洗氣體)。流體連接器690包括大致地標示在692的一或更多的導管及/或接頭。噴淋頭軸套680的頭部 681同樣包括大致地標示在693的導管及/或接頭,以將流體的流量引導至噴淋頭軸套680的內側空腔684中。
平板700安置在噴淋頭670的頭部674與噴淋頭軸套680之間。平板700包括上部表面704、中心開口或孔710、以及底部表面714。在一些範例中,平板700由陶瓷製成。可選擇平板700的厚度以最小化材料以及與地面或寄生電漿的電容耦合。平板700的上部表面704與噴淋頭軸套680的底部邊緣保持一間距,以允許流體通過其間。中心孔710亦與桿部672保持一間距,以允許流體通過其間。平板700的底部表面714與噴淋頭670的上部表面保持一間距,以允許流體通過其間。在一些範例中,可省略平板700,且處理腔室可毋須平板700即可操作。
透過軸套流動二次清洗氣體,可制止沉積處理的化學品進入該空腔區域中,進而避免該處不樂見的薄膜沉積作用。可選擇該等槽口及其他孔隙的尺寸,以避免其中的電漿引燃,並且允許滿足匹列條件以避免逆擴散,而得到所期望之氣體流速。
現參考圖7,顯示噴淋頭軸套680的一範例。噴淋頭軸套680包括頭部 681與桿部 683。槽口686可具有弧形的形狀且圍繞桿部 683而安置。槽口686允許流體從內側空腔684流經槽口686。頭部 681包括接合部718,接合部718與流體連接器690的相應的一接合部囓合。當連接時,噴淋頭軸套680的導管693與流體連接器690的導管692對準。
現參考圖8,顯示用於噴淋頭軸套680的流體連接器690之一範例。雖然將流體連接器690顯示為包括第二接合部720、導管730、接頭732、導管734、以及接頭736,但可考慮流體連接器的其他配置。
現參考圖9A與圖9B,顯示平板700的範例。在圖9A中,平板700的上部表面704顯示為具有大致上圓形的橫剖面、以及設置在平板700的中央的中心孔710。中心孔710包括一或更多的凸起部740,凸起部740從中心孔710徑向地向內延伸。凸起部740在平板700與桿部672之間提供一致的間距。在圖9B中,平板700的底部表面714顯示為包括凸起部744,凸起部744向下(相對於處理腔室的頂部)延伸。凸起部744在平板700的底部表面714與噴淋頭670的頭部674之上表面之間提供一致的間距。亦注意到的係,RF隔離/抑制裝置可將噴淋頭背側的空腔中的電場降低,而這亦有助於進一步降低寄生電漿在噴淋頭背側區域中產生的機會或程度。例如,凸起部740與744所提供的間距係夠靠近而足以減少寄生電漿產生,例如若應用大約3mm或更小的間距。針對一般的製程條件而言,這樣的一間距,造成不夠讓電漿與電漿鞘一起形成的間距(小於2個電漿鞘的長度)。電漿的形成受到電漿密度、電漿電子溫度、以及電漿鞘的跨壓影響。當然,如前文詳細討論的,使用O2 作為二次清洗氣體亦為避免/最小化寄生電漿產生的有效的一種技術。   [系統控制器]
圖2亦描繪系統控制器250的一實施例,其使用於控制處理工具200以及其處理站的製程條件與硬體狀態。系統控制器250可包括一或更多的記憶體裝置256、一或更多的大量儲存裝置254、以及一或更多的處理器252。處理器可包括一或更多的CPUs、ASICs、一般用途電腦、及/或特殊用途電腦、一或更多的類比及/或數位的輸入/輸出連接件、一或更多的步進馬達控制板等。
在一些實施例中,系統控制器250控制處理工具200的一或更多的操作,包括其個別的處理站之操作。系統控制器250可在處理器252上執行機器可讀的系統控制指令258;在一些實施例中,系統控制指令258從大量儲存裝置254載入至記憶體裝置256中。系統控制指令258包括用以控制下列事項之指令:時程、氣態與液態反應物的混合、腔室及/或站的壓力、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板支座、吸盤、及/或承受器的定位、以及其他由處理工具200所執行的特定製程的參數。該等製程包括多種類型的製程,包括(但不限於)與基板上之薄膜沉積相關的製程。可以任何適宜的方式來配置系統控制指令258。例如,可寫入許多處理工具元件的子程序或控制物件,以控制對於實現許多處理工具製程所需之處理工具元件之運作。可以任何適宜的電腦可讀程式語言將系統控制指令258編碼。在一些實施例中,以軟體來執行系統控制指令258,在另一些實施例中,以硬體來執行系統控制指令258,例如硬編碼成ASIC(特殊應用積體電路)中的邏輯,或在其他實施例中,實施成軟體與硬體之結合。
在一些實施例中,系統控制軟體258可包括輸入/輸出控制(IOC)順序指令,用以控制上述許多參數。例如,沉積處理的各階段中可包括一或更多由系統控制器250所執行的指令。例如,用於設定薄膜沉積製程階段之製程條件的指令,可包括在相對應的沉積配方階段之內,而對於封蓋(capping)薄膜沉積的階段也相似。在一些實施例中,可依序地安排配方階段, 使得針對一製程階段的所有指令與該製程階段同時地被執行。
在一些實施例中,可使用其他電腦可讀指令及/或程式,其儲存在與系統控制器250連接的大量儲存裝置254及/或記憶體裝置256中。程式或程式區段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式包括對於處理工具元件的指令,其用於將基板裝載到支座上,以及用於控制基板與處理工具200的其他部件之間的間距。該定位程式包括按需要將基板適當地移進或移出反應腔室的指令以在基板上沉積薄膜。
處理氣體控制程式包括用於控制氣體組成與流速的指令,以及取捨性地用於在沉積之前使氣體流進一或更多的處理站之周圍容積中,以將該等容積中的壓力安定化。在一些實施例,該處理氣體控制程式包括在基板上的薄膜沉積期間,將某些氣體引入一或更多處理站(位於一處理腔室中)的周圍容積中的指令。該處理氣體控制程式亦包括根據所沉積之薄膜的組成,在相同速率下,以一段相同的時間(或在不同速率下及/或以不同的時間)輸送該等氣體的指令。該處理氣體控制程式亦包括在氦氣或一些其他的載氣存在的情況下,將液態反應物在加熱注入模組中霧化/汽化的指令。
壓力控制程式包括用於控制處理站內的壓力的指令,例如,透過調節處理站之排空系統的節流閥、使氣體流動進入處理站之中等。該壓力控制程式包括在許多薄膜類型在基板上的沉積期間,維持相同或不同的壓力的指令。
加熱器控制程式包括控制通到用以加熱基板的加熱元件的電流的指令。替代地或另外地,該加熱器控制程式控制熱傳送氣體(例如氦氣)到基板的輸送。該加熱器控制程式包括在許多薄膜類型在基板上的沉積期間,在反應腔室及/或處理站之周圍容積中維持相同或不同的溫度的指令。
電漿控制程式包括根據本文中之實施例,設定在一或更多的處理站中的RF功率位準、頻率、以及暴露時間的指令。在一些實施例中,該電漿控制程式包括在基板上的薄膜沉積期間,使用相同或不同的RF功率位準、及/或頻率、及/或暴露時間的指令。
在一些實施例中,有一和系統控制器250連接的一使用者介面。該使用者介面包含一顯示螢幕、該設備及/或製程條件的圖示軟體顯示器、以及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,被系統控制器250調節的參數,與製程條件有關。非限制性的範例包括:處理氣體的組成與流速、溫度、壓力、電漿狀態(例如RF偏壓功率位準與暴露時間)等。可經由配方(可用使用者介面來輸入)的形式將該等參數提供給使用者。
透過系統控制器250之類比及/或數位輸入連接件,可從多種處理工具之感測器提供用以監控製程的訊號。用以監控製程的訊號可在處理工具200之類比及/或數位輸出連接件上輸出。可被監控的處理工具之感測器的非限制性的範例,包括質流控制器(MFCs)、壓力感測計(例如壓力計)、溫差電偶等。可使用經適當程式化的回饋與控制運算法,和來自該等感測器的資料一起維持製程條件。
系統控制器250提供機器可讀指令以實施前文所述之沉積處理。該等指令可控制多種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。根據本文所述之多種實施例,該等指令可控制該等參數,以執行薄膜疊層之原位沉積。
系統控制器典型上包括一或更多的記憶體裝置、以及一或更多的處理器,其配置係用以執行機器可讀指令,俾使設備根據本文中揭露之製程而執行操作。含有用於控制根據本文中揭露的基板摻雜處理操作之指令的機器可讀、非暫態之媒介可耦合至該系統控制器。
上述之多種該等設備與方法,可連同微影圖案化的工具及/或製程一起使用,例如用於半導體裝置、顯示器、LEDs、太陽能平板以及類似物的加工或製造。典型地但非必要地,可在共同的一製造場所中,一起及/或同時地使用此種工具或執行此種製程。
薄膜的微影圖案化典型上包括一些或全部下述之操作,每項操作藉由若干合理的工具而促成:(1)塗佈光阻劑於基板(例如在上方有所形成之矽氮化物薄膜的基板)上,使用旋塗或噴塗工具;(2)使光阻劑硬化,使用熱板或熔爐或其他適宜的硬化工具;(3)將該光阻劑暴露到可見光或UV光或X光,使用如晶圓步進器的工具;(4)使該光阻劑顯影,以選擇性地移除光阻劑並藉此使之圖案化,使用如濕式清潔台或噴塗顯影劑的工具;(5)將該光阻劑圖案轉移到下層的薄膜或基板中,使用乾式或電漿輔助蝕刻工具;以及(6)移除該光阻劑,使用如RF或微波電漿光阻剝離器的工具。在一些實施例,在塗佈光阻劑之前,沉積可灰化(ashable)硬遮罩層(例如非晶碳層)以及其他適宜的硬遮罩(例如抗反射層)。   [其他實施例]
雖然前述之技術、操作、製程、方法、系統、設備、工具、薄膜、化學品、以及組成,為了提升明確性與理解,故已在具體實施例的內文中詳細地描述,但對於本發明領域中具有通常知識者而言,顯然有許多實施前述實施例的替代方式係在本發明之精神與範圍之內。因此,本文揭露之實施例應被視為發明概念之例示性揭露(而非限制性),且不允許被做為不當地限制任何申請專利範圍(最終指向本發明標的)的依據。
100‧‧‧處理設備
101‧‧‧輸送系統
102‧‧‧腔室
103‧‧‧汽化點
104‧‧‧混合容器
105‧‧‧閥
106‧‧‧噴淋頭
107‧‧‧微容積
108‧‧‧基板固持器/支座
110‧‧‧加熱器
112‧‧‧基板
114‧‧‧RF功率供應器
116‧‧‧匹配網路
118‧‧‧真空幫浦/蝶形閥
120‧‧‧閥
120A‧‧‧閥
150‧‧‧控制器
200‧‧‧處理設備/處理工具
201‧‧‧站
202‧‧‧站
203‧‧‧站
204‧‧‧站
214‧‧‧處理腔室
220‧‧‧基板裝載埠
226‧‧‧搬運機械
228‧‧‧容器
250‧‧‧控制器
252‧‧‧處理器
254‧‧‧大量儲存裝置
256‧‧‧記憶體裝置
258‧‧‧系統控制指令
290‧‧‧旋轉料架
300‧‧‧基板處理設備
303‧‧‧十字板
310‧‧‧流動路徑
312‧‧‧一次清洗氣體來源
320‧‧‧流動路徑
322‧‧‧二次清洗氣體來源
330‧‧‧噴淋頭軸套
511‧‧‧操作
512‧‧‧操作
513‧‧‧操作
514‧‧‧操作
515‧‧‧操作
650‧‧‧基板處理系統
660‧‧‧處理腔室
670‧‧‧噴淋頭
672‧‧‧桿部
674‧‧‧頭部
675‧‧‧內側空腔
676‧‧‧分配板
678‧‧‧孔洞/間隔洞
680‧‧‧噴淋頭軸套
681‧‧‧頭部
683‧‧‧桿部
684‧‧‧內側空腔
686‧‧‧槽形孔洞/槽口
690‧‧‧流體連接器
692‧‧‧導管
693‧‧‧導管
700‧‧‧平板
704‧‧‧上部表面
710‧‧‧中心孔
714‧‧‧底部表面
718‧‧‧接合部
720‧‧‧第二接合部
732‧‧‧接頭
734‧‧‧導管
736‧‧‧接頭
740‧‧‧凸起部
圖1為具有一處理腔室的基板處理設備(其中有單一個處理站)的一剖面示意圖。
圖2為具有一基板搬運機械以及一控制器的四站基板處理設備的一示意圖,其中該基板搬運機械係用於從兩個處理站中裝載及卸載基板,而該控制器係用於操作該設備。
圖3為具有一噴淋頭以及一噴淋頭軸套的單站基板處理設備的處理腔室的一剖面示意圖,且該圖繪出(feature)一次與二次清洗氣體的流動路徑。
圖4為沉積速率與RF功率的一作圖,其用於說明寄生電漿(形成於處理腔室中的噴淋頭背側)的存在(presence)與強度。
圖5為透過ALD處理而在基板上形成材料薄膜的例示性操作順序的一流程圖。
圖6為基板處理腔室中的噴淋頭與噴淋頭軸套的更詳細的一剖面圖,且該圖亦描繪一次與二次清洗氣體的流動路徑。
圖7為噴淋頭軸套的範例的一立體圖。
圖8為用於圖7之噴淋頭軸套的例示性流體連接器的一立體圖。
圖9A與9B為圖6之噴淋頭的例示性平板(plate)之俯視與仰視平面圖。
102‧‧‧腔室
303‧‧‧十字板
106‧‧‧噴淋頭
108‧‧‧基板固持器/支座
112‧‧‧基板
300‧‧‧基板處理設備
310‧‧‧流動路徑
312‧‧‧一次清洗氣體來源
320‧‧‧流動路徑
322‧‧‧二次清洗氣體來源
330‧‧‧噴淋頭軸套

Claims (24)

  1. 一種在處理腔室中將材料薄膜沉積在半導體基板上的方法,該方法包括下列步驟: (a)     使薄膜前驅物流進該處理腔室中; (b)      使該薄膜前驅物吸附於該處理腔室中的基板上,使得該前驅物在該基板上形成一吸附限制層; (c)       透過使用一次清洗氣體來清洗該處理腔室,將至少若干的未吸附的該薄膜前驅物,從已吸附的前驅物之周圍容積中移除;以及 (d)      在步驟(c)中使用該一次清洗氣體將未吸附的前驅物移除之後,在使二次清洗氣體流進該處理腔室的同時,使該已吸附的薄膜前驅物起反應,以在該基板上形成一薄膜層; 其中該二次清洗氣體包括游離能及/或解離能等於或大於O2 的化學物種。
  2. 如申請專利範圍第1項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該二次清洗氣體為O2
  3. 如申請專利範圍第1項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該一次清洗氣體為惰性氣體。
  4. 如申請專利範圍第3項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該一次清洗氣體為Ar及/或N2
  5. 如申請專利範圍第1項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該一次清洗氣體在步驟(a)-(b)或(d)期間,未流進該處理腔室中。
  6. 如申請專利範圍第5項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中在步驟(d)之前,實質上所有該一次清洗氣體已從該處理腔室中移除。
  7. 如申請專利範圍第1項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中在步驟(a)-(d)期間,使該二次清洗氣體連續地流進該處理腔室中。
  8. 如申請專利範圍第1項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中在步驟(a)中,使用載氣的氣流使該薄膜前驅物流進該處理腔室中。
  9. 如申請專利範圍第8項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該載氣為惰性氣體。
  10. 如申請專利範圍第9項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該載氣為N2 及/或Ar。
  11. 如申請專利範圍第1-10項之任一者之在處理腔室中將材料的薄膜沉積在半導體基板上的方法,更包括: (e)       透過使用該一次清洗氣體清洗該處理腔室,將已脫附的薄膜前驅物及/或反應副產物(當使該已吸附的前驅物起反應之後存在時)從該薄膜層的周圍容積中移除。
  12. 如申請專利範圍第1-10項之任一者之在處理腔室中將材料薄膜沉積在半導體基板上的方法,更包括將步驟(a)-(d)重複一或更多次,以將其他的薄膜層沉積在該基板上。
  13. 如申請專利範圍第1-10項之任一者之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中在步驟(a)中,該薄膜前驅物透過一噴淋頭流進該處理腔室中,以及在步驟(c)中,該一次清洗氣體透過相同的該噴淋頭流進該處理腔室中。
  14. 如申請專利範圍第13項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該噴淋頭包括一頭部與一桿部,且其中該一次清洗氣體透過位於該噴淋頭之頭部的底部表面中的孔洞而流進該處理腔室中。
  15. 如申請專利範圍第1-10項之任一者之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該一次清洗氣體以實質上垂直於該基板之平面的方向,流進該處理腔室中。
  16. 如申請專利範圍第15項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該一次清洗氣體以約5000到45000sccm的速率流進該處理腔室中。
  17. 如申請專利範圍第13項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該二次清洗氣體係透過一噴淋頭軸套而流進該處理腔室中。
  18. 如申請專利範圍第17項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該噴淋頭軸套包括一頭部與一桿部,且其中該二次清洗氣體透過位於該桿部中的孔洞而流進該處理腔室中。
  19. 如申請專利範圍第18項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中位於該噴淋頭軸套的桿部中的該孔洞為槽形形狀。
  20. 如申請專利範圍第1-10項之任一者之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該二次清洗氣體以實質上平行於該基板之平面的方向,流進該處理腔室中。
  21. 如申請專利範圍第21項之在處理腔室中將材料薄膜沉積在半導體基板上的方法,其中該二次清洗氣體以約1到30000sccm的速率流進該處理腔室中。
  22. 一種用於將材料薄膜沉積在半導體基板上的設備,該設備包括: 一處理腔室; 位於該處理腔室中的一基板固持器; 一噴淋頭,其用於使薄膜前驅物與一次清洗氣體流進該處理腔室中; 一噴淋頭軸套,其用於使二次清洗氣體流進該處理腔室中; 一或更多的一次流量閥,其用於控制通過該噴淋頭的薄膜前驅物的流量與一次清洗氣體的流量; 一或更多的二次流量閥,其用於控制通過該噴淋頭軸套的二次清洗氣體的流量; 一閥傳動真空來源,其用於將該一次與二次清洗氣體從該處理腔室中移除,以及用於將薄膜前驅物從位於該處理腔室中的基板的周圍容積中移除; 一電漿產生器,其用於在該處理腔室中產生電漿;以及 包括機器可讀指令的一或更多的控制器,其用於操作該一或更多的閥、該真空來源、以及該電漿產生器,以將材料薄膜沉積在半導體基板上,其包括用於執行下列操作的指令: (a)  操作該一次流量閥,以使薄膜前驅物流進該處理腔室中; (b)      控制該處理腔室中的條件,使得薄膜前驅物吸附在該處理腔室中的基板上,俾形成一吸附限制層; (c)       操作該一次流量閥,以使一次清洗氣體流進該處理腔室中,以及操作該閥傳動真空來源,以將該一次清洗氣體排空,藉此將至少若干的未吸附的薄膜前驅物,從已吸附的前驅物的周圍容積中移除; (d)      操作該電漿產生器,以在該處理腔室中形成電漿,該電漿將已吸附的薄膜前驅物的反應活化,俾在該基板上形成一薄膜層;以及 (e)       在(d)中活化該反應的同時,操作該二次流量閥,以使二次清洗氣體流進該處理腔室中,其中該二次清洗氣體包括O2
  23. 如申請專利範圍第22項之用於將材料薄膜沉積在半導體基板上的設備,其中: 該噴淋頭包括: 一桿部; 一頭部;以及 位於該頭部的底部表面中的孔洞,其用於使薄膜前驅物與一次清洗氣體流進該處理腔室中;以及 該噴淋頭軸套包括: 一桿部; 一頭部;以及 位於該桿部中的孔洞,其用於使二次清洗氣體流進該處理腔室中。
  24. 如申請專利範圍第23項之用於將材料薄膜沉積在半導體基板上的設備,其中該噴淋頭的孔洞為圓孔,以及該噴淋頭軸套的孔洞為槽孔。
TW104123014A 2014-07-30 2015-07-16 二次清洗啓動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備 TWI662149B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/447,203 US9617638B2 (en) 2014-07-30 2014-07-30 Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US14/447,203 2014-07-30

Publications (2)

Publication Number Publication Date
TW201617473A true TW201617473A (zh) 2016-05-16
TWI662149B TWI662149B (zh) 2019-06-11

Family

ID=55180775

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104123014A TWI662149B (zh) 2014-07-30 2015-07-16 二次清洗啓動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備
TW108108815A TWI676703B (zh) 2014-07-30 2015-07-16 二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108108815A TWI676703B (zh) 2014-07-30 2015-07-16 二次清洗啟動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備

Country Status (5)

Country Link
US (2) US9617638B2 (zh)
JP (2) JP6752555B2 (zh)
KR (2) KR102454473B1 (zh)
CN (2) CN105316651B (zh)
TW (2) TWI662149B (zh)

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积系统及方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US20220005740A1 (en) 2018-11-15 2022-01-06 Lam Research Corporation Atomic layer etch systems for selectively etching with halogen-based compounds
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231621A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Methods of reducing chamber residues
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
WO2021011950A1 (en) * 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230024424A (ko) 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP2023518718A (ja) * 2020-03-19 2023-05-08 ラム リサーチ コーポレーション シャワーヘッドパージカラー
TWI730699B (zh) * 2020-03-27 2021-06-11 先豐通訊股份有限公司 噴盤檢測系統及其檢測方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11776788B2 (en) * 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288261A (zh) * 2021-12-07 2023-06-23 拓荆科技股份有限公司 沉积系统及方法
US20230313373A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc Targeted temporal ald

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
JPH01309973A (ja) * 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
JP2000297368A (ja) * 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
EP1613792B1 (en) * 2003-03-14 2014-01-01 Genus, Inc. Methods and apparatus for atomic layer deposition
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR100616486B1 (ko) * 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
KR100923453B1 (ko) * 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
KR101417728B1 (ko) * 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
CN102087955B (zh) * 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101772723B1 (ko) * 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
US10132008B2 (en) 2012-02-07 2018-11-20 Mitsubishi Chemical Corporation Horizontal heat treatment device
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
JP6287858B2 (ja) * 2012-12-14 2018-03-07 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI717610B (zh) 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
CN105765697B (zh) 2013-11-26 2020-03-17 应用材料公司 用于批处理的倾斜板及其使用方法
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
US9765434B2 (en) 2014-04-18 2017-09-19 Applied Materials, Inc. Apparatus for susceptor temperature verification and methods of use
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Also Published As

Publication number Publication date
KR102563427B1 (ko) 2023-08-03
JP6752555B2 (ja) 2020-09-09
US9617638B2 (en) 2017-04-11
KR20220141773A (ko) 2022-10-20
US20160035566A1 (en) 2016-02-04
CN109913852B (zh) 2021-04-20
US10407773B2 (en) 2019-09-10
TW201923141A (zh) 2019-06-16
KR20160017610A (ko) 2016-02-16
JP7194713B2 (ja) 2022-12-22
KR102454473B1 (ko) 2022-10-12
CN105316651A (zh) 2016-02-10
CN109913852A (zh) 2019-06-21
US20170167017A1 (en) 2017-06-15
JP2016036020A (ja) 2016-03-17
JP2020191474A (ja) 2020-11-26
CN105316651B (zh) 2018-12-07
TWI662149B (zh) 2019-06-11
TWI676703B (zh) 2019-11-11

Similar Documents

Publication Publication Date Title
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10176984B2 (en) Selective deposition of silicon oxide
KR101701024B1 (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
TWI714619B (zh) 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法
US20210395885A1 (en) Throughput improvement with interval conditioning purging