CN109913852B - 抑制喷头背面寄生等离子体的方法和装置 - Google Patents

抑制喷头背面寄生等离子体的方法和装置 Download PDF

Info

Publication number
CN109913852B
CN109913852B CN201811330813.1A CN201811330813A CN109913852B CN 109913852 B CN109913852 B CN 109913852B CN 201811330813 A CN201811330813 A CN 201811330813A CN 109913852 B CN109913852 B CN 109913852B
Authority
CN
China
Prior art keywords
flow
process chamber
purge gas
film
primary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811330813.1A
Other languages
English (en)
Other versions
CN109913852A (zh
Inventor
阿德里安·拉维依
康胡
普鲁肖坦·库马尔
尚卡·斯瓦米纳坦
钱俊
弗兰克·L·帕斯夸里
克洛伊·巴尔达赛罗尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109913852A publication Critical patent/CN109913852A/zh
Application granted granted Critical
Publication of CN109913852B publication Critical patent/CN109913852B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文公开的是抑制喷头背面寄生等离子体的方法和装置,具体公开了采用次级清扫的用途在半导体衬底上沉积材料膜的方法。该方法可以包括使膜前体流入处理室并使所述膜前体吸附到所述处理室中的衬底,使得所述前体在衬底上形成吸附受限层。该方法还可以包括通过用初级清扫气体清扫处理室从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体,然后,在次级清扫气体流入所述处理室时使吸附的膜前体反应,导致在衬底上形成膜层。次级清扫气体可包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质。还公开了其中实现前述处理的装置。

Description

抑制喷头背面寄生等离子体的方法和装置
本申请是申请号为201510459965.1、申请日为2015年7月30日、发明名称为“抑制喷头背面寄生等离子体的方法和装置”的发明专利申请的分案申请。
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及抑制喷头背面寄生等离子体的方法和装置。
背景技术
在半导体行业中,随着器件和特征尺寸不断变小,并且随着三维器件结构(例如,英特尔公司的三栅极晶体管架构)在集成电路(IC)设计中变得越来越普遍,沉积薄的共形膜(具有与下伏结构的形状相对应的均匀厚度的材料膜,尽管下伏结构不是平坦的)的能力将继续得到重视。原子层沉积(ALD)是非常适合于沉积共形膜的一种膜形成技术,原因在于以下事实:单个循环ALD仅沉积单一的薄的材料层,其厚度受限于在成膜的化学反应本身之前可吸附到衬底表面上的一种或多种膜前体反应物的量(即,形成吸附受限层)。然后可以使用多个“ALD循环”来制成期望厚度的膜,由于每一层是薄的且是共形的,因此,所得到的膜与下伏的设备结构的形状基本一致。
但是,存在与ALD工艺相关联的许多挑战。通常这些挑战必须解决以下事实:每个ALD循环只沉积薄的吸附受限层,所以需要许多的ALD循环来制成显著厚度的膜。每个循环需要时间并需要按顺序重复操作用以完成沉积工艺的装置。因此,寻求用改进的方法和装置来提高晶片处理的速率,并且也改善用于执行ALD操作的衬底处理硬件的寿命和维护要求。
发明内容
公开的是采用次级清扫的用途在半导体衬底上沉积材料膜的方法。所述方法可以包括使膜前体流入处理室并在所述处理室中使所述膜前体吸附到衬底上,使得所述前体在衬底上形成吸附受限层。所述方法可进一步包括通过用初级清扫气体清扫所述处理室从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;以及然后在次级清扫气体流入所述处理室时使所吸附的膜前体反应,导致在所述衬底上形成膜层。所述次级清扫气体可以包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质。
还公开了用于在半导体衬底上沉积材料膜的装置。所述装置可包括:处理室;在所述处理室中的衬底支架;喷头,其用于使膜前体和初级清扫气体流入所述处理室;喷头轴环,其用于使次级清扫气体流入所述处理室;一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从所述处理室中的围绕所述衬底的体积去除膜前体;等离子体发生器,其用于在所述处理室中产生等离子体;以及一个或多个控制器,其包括用于操作所述一个或多个阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令。所述控制器的指令可包括:用于操作所述初级流量阀以使膜前体流入所述处理室的指令;用于控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上而形成吸附受限层的指令;用于操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体的指令;用于操作所述等离子体发生器以在所述处理室中形成等离子体,从而所述等离子体激活所吸附的膜前体的反应以在所述衬底上形成膜层的指令;以及用于在由等离子体激活所述膜前体的反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室的指令,所述次级清扫气体包括O2
附图说明
图1是具有带有单一处理站的处理室的衬底处理装置的横截面示意图。
图2是四站式衬底处理装置的示意图,其具有用于从两个处理站加载和卸载衬底的衬底搬运机械手和用于操作该装置的控制器。
图3是具有喷头和喷头轴环,并具有初级和次级清扫气体流路的单一站式衬底处理装置的处理室的横截面示意图。
图4是沉积速率与RF功率的关系曲线图,用来说明在喷头后方的处理室形成的寄生等离子体的存在和强度。
图5是显示经由ALD工艺在衬底上形成材料膜的操作序列的实施例的流程图。
图6是在衬底处理室中的喷头和喷头轴环的更详细的剖面图,还示出了初级和次级清扫流路。
图7是喷头轴环的一个例子的透视图。
图8是用于图7的喷头轴环的示例性流体连接器的透视图。
图9A和9B是图6的喷头的示例性板的顶部和底部平面图。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对本发明的透彻理解。然而,本发明可以在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,未详细描述公知的处理操作以免不必要地使本发明难以理解。尽管将会结合具体的详细实施方式描述本发明,但是应当理解,这些具体的详细实施方式并不旨在限制本发明所公开的创造性构思的范围。
本文公开了用于抑制半导体衬底处理室中寄生等离子体产生的方法和装置,所述半导体衬底处理室用于通过原子层沉积(ALD)沉积共形膜。
ALD用于通过执行多个“ALD循环”沉积具有所期望的厚度的膜材料,每个ALD循环只沉积一薄层材料(常常只有一个分子层厚度)。如在下面详细描述的,用于在处理室中在衬底上沉积单层材料的基本ALD循环可以包括:(i)将膜前体吸附到衬底上,使得所述前体形成吸附受限层,(ii)从围绕被吸附的前体的体积去除(至少一些)未被吸附的膜前体,以及(iii)在去除未被吸附的前体之后,使吸附的膜前体反应以在衬底上形成膜层。通常情况下,ALD循环另外涉及(iv)从围绕在衬底上形成的膜层的体积去除解吸的膜前体和/或反应副产物的操作。
在操作(ii)和(iv)中的去除可以经由清扫,通过抽真空到基本压强(“抽排至基压”)将围绕衬底的体积抽空等来完成。在一些实施方式中,这些清扫可以在逻辑上划分成在本文中称之为“初级清扫”或“突发清扫”,以及“次级清扫”的清扫。初级清扫涉及使用来源于“初级清扫气体源”的在本文中称之为“初级清扫气体”,并经由初级清扫气体通路通过一个或多个初级清扫气体入口引入处理室中的物质。类似地,次级清扫涉及使用来源于“次级清扫气体源”的在本文中称之为“次级清扫气体”,并经由次级清扫气体流路(flow path)通过一个或多个次级清扫气体入口引入处理室中的物质。
初级清扫通常在操作(ii)期间进行,在操作(iv)中存在另一清扫的实施方式中,在该清扫期间也进行初级清扫。但是,初级清扫通常不在操作(i)和(iii)期间进行,并且在一些实施方式中,在操作(iii)中的反应之前,基本上所有的初级清扫气体可以从处理室去除。因此,由于初级清扫气体的流是间歇性的,所以初级清扫在本文中也被称为“突发清扫”(采用“突发清扫气体”)。本文中短语初级清扫和突发清扫被同义地使用。
在本文中所称的“次级清扫”可以被认为与“初级清扫”不同。与初级清扫相反,在操作(iii)中发生反应期间,在次级清扫过程中,气体可以以使得基本上不扰乱或不干扰在衬底表面上进行的反应过程的方式流入处理室。在一些实施方式中,在操作(i)-(ii)和/或(iv)期间次级清扫气体也可流入处理室,并且在某些这样的实施方式中,在整个操作(i)-(iv)的过程中次级清扫气体连续地流入处理室。
进入处理室的次级清扫气体的流率可以与进入处理室的初级清扫气体的流率不同,这要根据实施方式确定。在一些实施方式中,初级清扫气体可以以约1000至100,000sccm,或更优选为约5000至45000sccm,或甚至约10,000至30,000sccm的速率流入处理室。在一些实施方式中,次级清扫气体可以以约1至50,000sccm,或更优选为约1至30,000sccm,或甚至为约1,000至20,000sccm的速率流入处理室。
在ALD工艺中次级清扫的使用可以具有若干有益效果,有益效果涉及在操作(iii)期间次级清扫起作用,以及涉及次级清扫气体被引导到处理室的偏远地区,而不是直接引导在衬底上(与初级清扫相似)。次级清扫气体的流至室的偏远地区,即流至不是紧邻衬底表面附近的区域,这有助于从处理室去除过量的未被吸附的膜前体,而且,甚至可有助于防止膜前体首先流到室的这些偏远地区。为了实现后者,然后次级清扫在操作(i)期间也将起作用,在操作(i)中膜前体流入到室中。例如,在操作(iii)期间,采用次级清扫来保护室的内表面免受由于在此处发生的反应过程期间前体从衬底表面解吸然后在别处(如在室的侧壁)重新吸附和反应而造成的任何寄生沉积。在描述被装备以采用次级清扫的衬底处理装置的详细实施例之前,现在提供膜沉积装置的总体概述。
膜沉积装置概述
在诸如图1中所示的衬底处理装置中,通常可以执行用于在半导体衬底上沉积膜的操作。将在下面更详细描述的图1的装置100有单一的处理室102,处理室102具有位于内部体积内的单一的衬底保持架108,该内部体积可通过真空泵118被保持在真空条件下。气体输送系统101和喷头106也流体耦合到室以输送(例如)膜前体、载体和/或清扫和/或工艺气体、二级反应物等。用于在处理室中产生等离子体的设备也显示于图1并将在下面进一步详细地描述。在任何情况下,如在下面详细地描述的,在图1示意性地显示的装置提供了用于在半导体衬底上执行诸如ALD之类的膜沉积操作的基本设备。
虽然在某些情况下,像图1那样的衬底处理装置可能是足够的,但是当涉及费时的膜沉积操作时,通过同时在多个半导体衬底上并行地进行多个沉积操作以提高衬底处理吞吐量,这可能是有利的。基于这样的目的,如图2所示意性示出的那样,可以采用多站式衬底处理装置。图2的衬底处理装置200仍采用单个衬底处理室214,然而,在由处理室的壁所限定的单一内部体积内,是多个衬底处理站,每一个衬底处理站可以用于在被保持在该处理站的晶片保持架中的衬底上执行处理操作。在该特定实施方式中,多站式衬底处理装置200被显示为具有4个处理站201、202、203和204。所述装置还采用衬底加载设备(在这种情况下为衬底搬运机械手226)以在处理站201和202加载衬底,以及衬底传送设备(在这种情况下为衬底转盘290)以在各处理站201、202、203和204之间传送衬底。其他类似的多站式处理装置可具有较多或较少的处理站,具体取决于实施方式以及例如并行晶片处理的期望程度、尺寸/空间的限制、成本限制等。如图2所示,将在下面更详细地描述的是控制器150,其也有助于执行在原子层沉积(ALD)操作中涉及初级和次级清扫气体的高效率的衬底沉积操作这一目标。
注意,就设备成本和运营费用这两方面而言,通过使用如图2中所显示的那样的多站式处理装置可以实现多种效率。例如,单个真空泵(未在图2中示出,而是例如图1中的118)可以被用来为所有的4个处理站创建单个高真空环境,并且可以排空例如所有4个处理站中的已用过的工艺气体。根据实施方式的不同,每一个处理站可以具有它自己的用于气体输送的专用喷头(参见,例如,图1中的106),但共享相同的气体输送系统(例如,图1中的101)。同样,等离子体发生器设备的某些元件可在处理站之间被共用(例如,电源),但是根据实施方式的不同,某些方面可以是处理站专用的(例如,如果喷头用于施加生成等离子体的电位,参见以下图1的讨论)。然而,再次,应当理解的是,这样的效率还可以通过每个处理室使用更多或更少数量的处理站(例如每个反应室使用2个、3个、5个、6个、7个、8个、9个、10个、11个、12个、13个、14个、15个或16个、或更多的处理站)而在较大或较小的程度上实现。
次级清扫的实施和应用
采用喷头设计的衬底处理装置可特别受益于次级清扫的使用。在这样的设计中,喷头的主要目的是提供在操作(i)中将膜前体引入到处理室中用于衬底表面吸附的机构。相比于以其他方法将只有几个喷嘴作为流的点源来实现的方式,该喷头设计使得膜前体流能相对于衬底表面空间上更均匀分布。在被施加适当的电势时,喷头也可以作为用于导致激活表面反应的在操作(iii)中产生等离子体的两个电极中的一个。除了这些目的,喷头也可用于在操作(ii)和/或(iv)过程中引入初级清扫气体的流到处理室,并且在这样做的时候还实现与初级清扫气体相关的空间上更好的均匀性。然而,引入清扫气体进入处理室的这种方法的一个问题是所述流通常并不有效地清扫喷头后面的腔。出于这个原因,次级清扫气体直接流入喷头后方/上方的空间/腔可能是相当有益的,因为它可以减少或防止不希望有的沉积在喷头的背面和喷头后方/上方的室壁上,等。
图3示意性地示出一个这样的实施方式。图3呈现了单个站衬底处理装置300的横截面示意图,其具有处理室102、喷头106和喷头轴环330、并分别具有初级和次级清扫气体流路310和320。在图3所示的结构中,来自初级清扫气体源312的初级清扫气体通过喷头106流入室102,而来自次级清扫气体源322的次级清扫气体330通过喷头轴环330流入室102。因此,在这里,次级清扫气体被引入处理室102在喷头106的背面的中心轴线附近,并用以基本上平行于保持在底座108上的衬底112的平面的流引入。这样引入的次级清扫气体然后在喷头周围流动并沿室侧壁向下,然后在横板103的附近离开室(如由在图中的箭头示意性地示出)。以这种方式,次级清扫气体可以最小化和/或防止沉积在室102的内壁上。在一些实施例中,在喷头后面的腔中清扫气体的流动满足佩克莱特条件(通常佩克莱特数大于一),因此在所述腔防止前体的反扩散(或流动),其结果是,减小了有效室容积,同时最小化不需要的沉积。
根据图3基本实现次级清扫气体的用途的另一个益处是利用惰性气体代替膜前体使处理室形成室压力的能力,利用膜前体使处理室形成室压力可能是相当昂贵的。较高室压力可作为膜前体的空气幕,从而提高前体在衬底区域中的局部压力,同时降低其他区域的前体分压。较高室压力本身也减少了在内部室表面/壁的寄生沉积,也降低了由于较高的压力状态导致的寄生等离子体产生的机会(或强度)(将在下面更详细地讨论)。
可采用来用于产生与在图3中示意性示出的初级和次级清扫气体流类似的初级和次级清扫气体流的合适的喷头和喷头轴环在下面参照图6-9更详细地描述。这样的次级清扫气体的使用,其在该喷头的背面上的引入,以及相关装置的细节也都在于2012年10月24日提交的,名称为“SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSINGSYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION”,以美国专利公开No.2013/0344245公布的现有的美国专利申请No.13/659231中有描述,其全部内容通过引用并入本文,并为了用于所有目的。
用次级清扫抑制寄生等离子体的方法和装置
因为次级清扫通常在ALD工艺的成膜反应性操作(上述操作(iii))期间进行,所以惰性气体通常被选择作为次级清扫气体,使得其不会干扰成膜反应。在以往的工作中,N2通常被用来作为次级清扫气体。然而,在某些应用中,如双图案化(double-patterning)应用中,必须精密地控制沉积的膜中的氮含量,并且由于N2作为次级清扫气体的使用往往导致氮引入沉积的膜,因此N2通常是欠妥的选择。
在下面的表I中所示,其示出用初级/突发清扫(“BP”)气体,次级清扫(“2ndP”)气体和载气的4种不同的组合,沉积的4种膜组合物。硅和氧含量被列为原始离子计数;氮、氢和碳含量被列为以每立方厘米计数为单位的密度。膜组合物使用二次离子质谱分析(“SIMS”)进行测定。表中的第一行示出了对于初级清扫气体、次级清扫气体和载气利用N2制备的基准膜组合物。相对于该基准组合物,在表的第二行显示Ar替换N2作为初级/突发和次级清扫气体使在沉积膜中氮的浓度降低了约40%(由硅离子计数换算)。表I的第三行中列出的示例然后说明Ar的替换作为初级/突发清扫气体,同时保持N2作为次级清扫气体没有产生类似的N浓度降低。因为相同的氮浓度降低不是仅仅由于使用Ar用于初级/突发清扫来实现,一种结论是,初级/突发清扫气体的选择对沉积的膜中的N浓度没有显著影响。基于在形成膜的反应步骤(上述操作(iii))期间没有(或很少)初级/突发清扫气体存在于该处理室中用于潜在地并入沉积的膜,这可能是合理的。另一方面,次级清扫气体在成膜反应步骤(操作(iii))期间通常存在,因此它贡献化学物质到沉积的膜中。作为这种分析的附加确认,在表I的最后项,列出其中Ar同时用于初级/突发清扫气体和载气的膜组合物。这不会导致N-含量相对于基准组合物降低的事实证实了分析,即次级清扫气体是对沉积的膜的主要氮贡献者。
表I:在各种清扫条件下从晶片测得的组合物SIMS数据
Figure BDA0001859922150000091
前述SIMS实验从而表明在ALD工艺中使用氩(Ar)代替N2作为惰性次级清扫气体有效地控制/减少所产生的沉积膜中的N-含量。然而,尽管出于不同的原因,使用Ar的实验也显示,它作为次级清扫气体比N2较不理想。因为在操作(iii)中成膜反应通常是等离子体激活的,所以在次级清扫期间产生等离子体的RF场通常存在于处理室内。实验已经表明,这种RF场,除了在衬底表面的附近产生“主等离子体”以外,还可以在室的远端区域产生“寄生等离子体”,主等离子体用于激活被吸附的膜前体的表面反应。例如,在其中喷头被用于分配膜前体和初级清扫气体两者且其中从喷头的上方/后方分配次级清扫气体的实施方案中,如在图3中所示的实施方式中完成的实施方案,已经发现,在处理室的喷头后方/上方的区域中产生强/稠密的寄生等离子体。已经观察到这非计划的等离子体非常明亮,因此想必非常稠密/强。此外,由于该喷头的顶部和处理室的顶部壁/天花板之间的区域的体积是相当大的,所以该等离子体可以是容积上相当大的,并且与它相关的是有高的等离子体密度,它可能会从用于激活衬底上的成膜反应的主等离子体汲取显著量的功率。
因此由于各种原因寄生等离子体的形成是不希望的:寄生等离子体是“不受控的”功率耗散器,潜在地从主等离子体汲取功率并降低主等离子体的密度。此外,由于寄生等离子体的密度和功率汲取可以基于多种因素而有所不同,因此其对主等离子体(其激活膜形成反应)的影响也可以不同并且不可预测,且因此会是促成晶片到晶片的可变性的显著因素。此外,寄生等离子体可导致室壁表面增强的沉积;所述沉积可作为衬底上沉积的膜的颗粒污染来源。因此,具有较强/稠密寄生等离子体的操作可导致晶片到晶片重复性、工具漂移、工艺颗粒性能、喷头组件和/或其它室组件的侵蚀加剧、和/或其他生产力问题的长期的问题,因此,为了防止/最小化这些不希望有的后果,在ALD处理系统中部分或完全抑制/消除寄生等离子体产生是重要的目标。
解决这一问题的一种方法是明智地选择次级清扫气体,该次级清扫气体不容易形成较强的等离子体(或不形成等离子体),而且也不干扰成膜反应(在操作(iii)中),也不以相反的方式改变膜中的内容物。一种这样的选择是分子氧(O2)。已经发现,当O2被用作次级清扫气体伴随氩作为初级清扫气体时,在喷头后方产生的寄生等离子体比当使用Ar既作为初级清扫气体又作为次级清扫气体时产生的寄生等离子体弱得多。
不受限于任何特别的理论,可以认为,相对于氩当使用氧气时寄生等离子体相对弱化的原因是,O2基等离子体相对于Ar基等离子体需要高得多的RF功率电平来维持它。据推测这是由于与分子氧-氧键相关的高解离能,以及与氧原子相关的高电离能。因此,O2基等离子体相对于其他类型的等离子体(例如Ar基等离子体)已经被发现针对给定的维持RF功率具有低的电子密度,因此人们可以一般称他们为“弱等离子体”。因此,在ALD循环的等离子体活化步骤中,用于产生/点燃喷头和衬底之间的Ar等离子体的RF功率和喷头电压都不足以在喷头上方的腔中产生/点燃O2等离子体,或如果有一些点燃的话,O2等离子体也将是非常弱(和明显暗淡)的。至于优选的次级清扫气体的其他特征,应该指出的是不同于N2,已发现Ar/O2混合物与典型的等离子体活化的ALD表面反应不仅相容,而且已实际上发现在O2的存在下改善了膜的质量(至少在一些实施方式中)。
已经进行了数值模拟和实验研究,以便对于具体的实施例细节化和量化通过Ar基寄生等离子体的存在从主等离子体抽走RF功率的程度,以及可以通过使用氧气作为次级清扫气体来实现的改善的程度。
表II列出了5组不同的工艺条件,包括初级/突发和次级清扫气体和RF功率电平的各种组合。一个晶片按照列出的5组工艺条件中的每一组进行处理。从左至右,在表中所示的数据是RF功率电平(瓦),平均沉积膜厚(埃,
Figure BDA0001859922150000101
)(在每个晶片的表面上49个点测量的),NU%(百分比厚度非均匀性)(1标准偏差,换算的,也在每个晶片的表面上49个点测量的),沉积膜厚度范围(沉积膜的最薄和最厚点之差),NU%(R/2)(统计测量-称为“半范围不均”,其被定义为1/2*(最大厚度-最小厚度)/平均厚度*100%),每个处理站沉积循环次数(使用4个处理站),每个ALD循环的沉积速率(例如,
Figure BDA0001859922150000112
),相对于使用N2/N2初级/次级清扫组合估计的功率输送水平,和功率损耗百分比(再次相对于使用N2/N2)。
表II:估算的由于寄生等离子造成的主等离子体功率损耗
Figure BDA0001859922150000111
在表II中体现的策略模式是为了在没有寄生等离子体的情况下建立沉积速率和RF功率电平之间的定量关系,然后使用Ar和O2作为次级清扫气体再次测量沉积速率以估算其中由于寄生等离子体的存在而导致的功率损失的程度。因此,在表II中开始的3项对应于其中N2既用于初级清扫气体又用于次级清扫气体的实验,而RF功率电平在3项之间变化。然后将这3个数据点绘制在图4中-沉积速率(“DepR”)与RF功率电平的关系图-以及计算的最佳拟合线被显示在图中以显示出沉积速率和功率之间的关系。
在表II的第4项,然后示出了其中Ar既用作初级/突发清扫气体又用作次级清扫气体的沉积实验的结果。该表显示,沉积速率从在使用N2在1600W的RF功率得到的1.508埃/循环增加到在1600W的1.66埃/循环。然后从图4中的关系来估算,该功率损耗相对于使用N2为约47%。(沉积与功率电平成反比;参照图4)。
最后,在表II中第5项表示用Ar替代O2作为次级清扫气体的效果。该表显示,这个实验中的沉积速率下降回至在1600瓦的1.545埃/循环,更接近使用N2的沉积速率。这对应于由于寄生等离子体的存在相对于N2的只有11%的功率损失,相比于使用Ar显著改善。
因此,已经发现,使用分子氧很大程度减轻上面讨论的问题。总之,这些数据和相关联的计算表明,由寄生等离子体所消耗的RF功率可能接近输送到处理站的总RF功率的50%,而O2的替代可以降低功率损失至接近10%(至少在本实施例中)。概括上述分析,可以得出结论:相比于氩的电离能具有大的电离和离解的能量的化学物质或用来支持在操作(iii)中的激活等离子体的反应的其它物质可以是用作次级清扫气体的好的候选者。当然,它们的存在/使用还必须与成膜反应和所沉积的膜的所需特性相容(对于O2的情况,但不对于N2的情况)。表III总结了上述各点:
Figure BDA0001859922150000121
原子层沉积技术与所沉积的膜的详细描述
如上文所讨论的,随着器件尺寸继续缩小以及集成电路发展到使用3-D晶体管和其它3-D结构,沉积精确数量(厚度)的共形膜材料(特别是电介质,还有各种含掺杂剂的材料)的能力已变得日益重要。原子层沉积是一种用于实现保形膜沉积以得到所需厚度的膜的技术,该保形膜沉积通常涉及多个沉积循环。
相比于化学气相沉积(CVD)工艺,其中,使用激活的气相反应来沉积膜,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。例如,在一类ALD工艺中,第一膜前体(P1)被以气相引入处理室中,被暴露于衬底,以及被允许吸附在衬底的表面(通常在成群的表面活性位点处)上。P1的一些分子可以在衬底表面上形成稠相,稠相包括P1的化学吸附物质和物理吸附分子。然后,可以排空围绕衬底表面的体积以除去气相和物理吸附的P1,使得只有化学吸附物质存在。随后可将第二膜前体(P2)引入到处理室中,使得P2的一些分子吸附到衬底表面。在处理室中围绕衬底的体积可再次抽空,这一次是除去未结合的P2。接着,提供到衬底的能量(例如,热能或等离子体能量)活化P1和P2的吸附分子之间的表面反应,从而形成膜层。最后,围绕衬底的体积被再次抽空以除去未反应的P1和/或P2和/或反应副产物(如果存在的话),结束ALD的单个循环。
在下述文献中详细描述了用于沉积具有多种化学物质(及在ALD工艺序列中的许多变体)的共形膜的ALD技术:于2011年4月11日提交的、名称为“PLASMA ACTIVATEDCONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(律师档案号NOVLP405);于2011年9月23日提交的、名称为“PLASMA ACTIVATED CONFORMAL DIELECTRIC FILMDEPOSITION”的美国专利申请No.13/242,084,现在的美国专利No.8,637,411(律师档案号NOVLP427);于2011年9月1日提交的、名称为“PLASMA ACTIVATED CONFORMAL DIELECTRICFILM DEPOSITION”的美国专利申请No.13/224,240(律师档案号NOVLP428);以及于2012年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYERDEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师档案号NOVLP488);其中的每一个其全部内容出于所有目的通过引用并入本文。如在这些现有申请中所描述的,用于在衬底上沉积单层材料的基本的ALD循环可以包括:(i)将膜前体吸附在衬底上使得其形成吸附受限层,(ii)从围绕被吸附的前体的体积去除未被吸附的前体,(iii)使被吸附的前体反应以在衬底上形成膜层,以及(iv)从围绕形成在衬底上的膜层的体积去除解吸后的膜前体和/或反应副产物。操作(ii)和(iv)中的去除可以经由将围绕衬底的体积清扫、抽排、抽空到基础压强(“抽排至基压”)等来完成。应注意的是,操作(i)到(iv)的这种基本的ALD序列不必要在上述的实施例中那样涉及两种化学吸附反应物质P1和P2,甚至也不会必然涉及第二反应物质,但这种可能性/选项都可以使用,具体取决于所涉及的所需沉积化学物质。
但是,由于ALD的吸附限定性质,因此单个ALD循环只沉积薄的材料膜,并且常常只沉积单个的单层材料。例如,根据膜前体投配操作的暴露时间和膜前体(对衬底表面)的粘着系数的不同,每个ALD循环可沉积仅约0.5-3埃厚的膜层。因此,典型的ALD循环中的操作序列(刚才所描述的操作(i)到(iv))通常被重复多次以形成所需厚度的共形膜。因此,在一些实施方式中,操作(i)到(iv)连续重复至少1次,或至少2次,或至少3次,或至少5次,或至少7次,或至少10次。ALD膜可以以以下速率沉积:每ALD循环大约0.1埃和2.5埃或介于0.1埃和2.5埃之间的厚度;或每ALD循环大约0.2埃和2.0埃或介于0.2埃和2.0埃之间的厚度;或每ALD循环大约0.3埃和1.8埃或介于0.3埃和1.8埃之间的厚度;或每ALD循环大约0.5埃和1.5埃或介于0.5埃和1.5埃之间的厚度;或每ALD循环大约0.1埃和1.5埃或介于0.1埃和1.5埃之间的厚度;或每ALD循环大约0.2埃和1.0埃或介于0.2埃和1.0埃之间的厚度;或每ALD循环大约0.3埃和1.0埃或介于0.3埃和1.0埃之间的厚度;或每ALD循环大约0.5埃和1.0埃或介于0.5埃和1.0埃之间的厚度。
在一些成膜化学物质中,除了使用被称为“膜前体”的物质外,还可使用辅助反应剂或共反应剂。在某些这样的实施方式中,在步骤(i)至(iv)的子步骤期间或者遍及步骤(i)至(iv)中的每一个步骤期间,辅助反应剂或共反应剂可以在重复这些步骤时连续流动。在一些实施方式中,这种其它活性化学物质(辅助反应剂、共反应剂等)可以在其与膜前体反应之前与膜前体被吸附到衬底的表面上(如在上述的涉及前体P1和P2的实施例中所示的),然而,在其它实施方式中,辅助反应剂或共反应剂在没有事先吸附到衬底表面上的情况下、在与被吸附的膜前体接触时本身与被吸附的膜前体发生反应。此外,在一些实施方式中,使被吸附的膜前体反应的操作(iii)可以涉及使被吸附的膜前体与等离子体接触。等离子体可提供能量以在衬底表面上驱动成膜反应。在某些这样的实施方式中,等离子体可以是在反应室中通过应用合适的RF功率所产生的氧化性等离子体(但在一些实施方式中,其可以远程产生)。在其他实施方式中,不是使用氧化性等离子体,而是可以使用惰性等离子体。氧化性等离子体可以由一种或多种氧化剂(如O2、N2O、或CO2)形成,并且可以任选地包括如Ar、N2或He之类的一种或多种稀释剂。在一个实施方式中,氧化性等离子体由O2和Ar形成。合适的惰性等离子体可以由一种或多种惰性气体(如He或Ar)形成。在ALD工艺过程中的进一步的变体在刚刚所引述的现有的专利申请(并且其通过引用并入本发明)中进行了详细描述。
因此,图5中的流程图示意性地示出了经由ALD工艺在衬底上形成材料膜层的基本操作序列。如图中所示,用于在衬底上形成单层膜的ALD工艺可开始于操作511,在操作511,将膜前体吸附到衬底上,使得所述前体在衬底上形成吸附受限层,随后进行操作512,在操作512,从围绕被吸附的前体的体积去除至少一些未被吸附的膜前体。此后,在操作513中,被吸附的膜前体反应从而在衬底上形成膜层。最后,在一些实施方式中(如在图5中的虚线画的框所指示的)并且根据成膜反应的化学过程的不同,操作513之后可以进行操作514,在操作514,在使被吸附的前体于操作513中反应后,从围绕膜层的体积去除存在的解吸后的膜前体和/或反应副产物。
前面的操作序列511至514代表单个ALD循环,单个ALD循环导致形成单个膜层。然而,由于经由ALD形成的单个膜层通常非常薄-通常仅单个分子的厚度,因此按顺序重复多个ALD循环以制成预期厚度的膜。因此,再次参照图5,如果要沉积N层的膜(或,等同地,N层膜),则按顺序重复多个ALD循环(操作511至515)合乎期望,在操作514结束每个ALD循环后,在操作515中,判定是否已执行N个ALD循环。然后,如果已经执行N个循环,则成膜操作结束,而如果还没有执行N个循环,则处理程序返回到操作511,以开始另一个ALD循环。
在一些实施方式中,所沉积的多层膜可以包括通过例如下述方式形成的交替组合物的区域/部分:共形地按顺序沉积具有一种组合物的多个层,接着共形地按顺序沉积具有另一种组合物的多个层,然后可以重复和交替这两个顺序。所沉积的ALD膜的这些方面中的一些例如描述在2012年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMA ACTIVATEDATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师档案号No.NOVLP488)中,该专利申请其全部内容基于所有目的通过引用并入本文。具有交替组合物的部分的共形膜(包括用于对下伏的目标IC结构或衬底区域进行掺杂的膜)的另外的实例以及形成这些膜的方法详细描述于下述文献中:于2011年4月11日提交的、名称“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(律师档案号NOVLP405);于2011年9月23日提交的、名称为“PLASMA ACTIVATEDCONFORMAL DIELECTRIC FILM DEPOSITION”的美国专利申请No.13/242,084,现在的美国专利No.8,637,411(律师档案号NOVLP427);于2011年9月1日提交的、名称为“PLASMAACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION”的美国专利申请No.13/224,240(律师档案号NOVLP428);于2012年9月7日提交的、名称为“CONFORMAL DOPING VIA PLASMAACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美国专利申请No.13/607,386(律师档案号NOVLP488);以及于2014年2月28日提交的、名称为“CAPPEDALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS”的美国专利申请No.14/194,549;这些专利文献中的每一个其全部内容基于所有目的通过引用并入本文。
正如在以上引用的说明书中所详细描述的,ALD工艺常常用于沉积共形氧化硅膜(SiOx),但是ALD工艺也可用于沉积其它化学物质的共形电介质膜,如在前述并入的说明书中所公开的。ALD形成的电介质膜在一些实施方式中可以包含碳化硅(SiC)材料、氮化硅(SiN)材料、硅碳氮化物(SiCN)材料、或它们的组合。在一些实施方式中,硅-碳氧化物和硅-碳-氧氮化物以及硅-碳-氮化物也可以形成ALD形成的膜。用于沉积这些类型的膜的方法、技术和操作在下述专利文献中进行了详细描述:于2012年6月12日提交的、名称为“REMOTEPLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS”的美国专利申请No.13/494,836,(律师档案号NOVLP466/NVLS003722);于2013年5月31日提交的、名称为“METHOD TO OBTAINSiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES”的美国专利申请No.13/907,699(律师档案号LAMRP046/3149);名称为“GROUND STATE HYDROGEN RADICALSOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS”的美国专利申请No.14/062,648;以及于2014年2月28日提交的、名称为“CAPPED ALD FILMS FORDOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS”的美国专利申请No.14/194,549;这些专利文献中的每一个其全部内容基于所有目的通过引用并入本文。
通过ALD沉积膜的其它实施例包括用于沉积含掺杂剂膜的化学物质,如上面通过引用所列出和并入的专利申请(美国专利申请No.13/084,399、No.13/242,084、No.13/224,240、以及No.14/194,549)中描述的。如其中所述,各种含掺杂剂的膜前体可用于形成含有掺杂剂的膜,例如硼掺杂的硅酸盐玻璃(BSG)膜、磷掺杂的硅酸盐玻璃(PSG)膜、硼磷掺杂的硅酸盐玻璃(BPSG)膜、砷(As)掺杂的硅酸盐玻璃(ASG)膜、以及类似物。含掺杂剂的膜可包括B2O3、B2O、P2O5、P2O3、As2O3、As2O5、以及类似物。因此,具有不同于硼的掺杂剂的含掺杂剂膜是可行的。实施例包括镓、磷、或砷掺杂剂、或适于对半导体衬底进行掺杂的其它元素,如其他III族和V族元素。
就ALD工艺条件而言,ALD工艺可以在多种温度下进行。在一些实施方式中,在ALD反应室中合适的温度范围可以介于约25℃和450℃之间,或介于约50℃和300℃之间,或介于约20℃和400℃之间,或介于约200℃和400℃之间,或介于约100℃和350℃之间。
类似地,ALD工艺可以在多种ALD反应室压强下进行。在一些实施方式中,反应室中适当的压强的范围可以介于约10毫托和10托之间,或介于约20毫托和8托之间,或介于约50毫托和5托之间,或介于约100毫托和2托之间。
如果在操作(iii)中使用等离子体,则可以采用多种RF功率电平以产生该等离子体。在一些实施方式中,合适的RF功率的范围可以介于约100瓦和10千瓦之间,或介于约200瓦和6千瓦之间,或介于约500瓦和3千瓦之间,或介于约1千瓦和2千瓦之间。
在操作(i)中可以采用多种膜前体流率。在一些实施方式中,合适的流率范围可以从约0.1毫升/分钟至10毫升/分钟或介于0.1毫升/分钟至10毫升/分钟之间,或为约0.5毫升/分钟和5毫升/分钟之间或介于0.5毫升/分钟和5毫升/分钟之间,或为约1毫升/分钟和3毫升/分钟之间或介于1毫升/分钟和3毫升/分钟之间。
在各种操作中可以使用多种气体流率。在一些实施方式中,一般的气体流率范围可以为约或介于1升/分钟和20升/分钟之间,或为约或介于2升/分钟和10升/分钟之间。对于在操作(ii)和(iv)中的任选的惰性清扫步骤,所采用的冲击流率范围可以为约或介于20升/分和100升/分钟之间,或为约或介于40升/分钟和60升/分钟之间。
再次,在一些实施方式中,抽排至基压步骤指通过将反应室直接暴露于一个或多个真空泵从而抽排该反应室至基本压强。在一些实施方式中,基本压强通常可以只有几毫托(例如,介于约1至20毫托之间)。此外,如上面所指出的,抽排至基压步骤可以伴随着惰性清扫或可以不伴随着惰性清扫,因而当一个或多个阀打开通向真空泵的导通路径时,载气可以流动或可以不流动。
此外,再一次,可重复多个ALD循环以建立堆叠的保形层。在一些实施方式中,每一层可具有基本上相同的组合物,而在其它实施方式中,按顺序ALD沉积的层可以具有不同的组合物,或在某些这样的实施方式中,组合物可一层一层地交替变换或可以存在重复序列的具有不同组合物的层,如上所述。因此,根据实施方式的不同,可以使用诸如上文所列出且通过引用并入的专利申请(美国专利申请No.13/084,399、13/242,084以及13/224,240)中公开的某些堆叠设计构思来调整这些膜中的硼、磷或砷的浓度。
衬底处理装置的详细描述
本文中所描述的方法可以用任何合适的半导体衬底处理装置来执行。合适的装置包括用于完成处理操作的硬件和具有用于根据本文公开的各种沟道掺杂方法控制处理操作的指令的系统控制器。在一些实施方式中,硬件可以包括包含在多站式衬底处理工具中的一个或多个处理站以及具有(或访问)用于根据本文公开的处理技术控制处理操作的机器可读指令的控制器。
因此,在一些实施方式中,适合在多个半导体衬底上沉积膜材料的装置可以包括:第一成组的一个或多个处理站,每一个处理站都具有包含在处理室中的衬底保持架;第二成组的一个或多个处理站,每一个处理站都具有包含在处理室中的衬底保持架;用于控制膜前体朝向处理站流动的一个或多个阀;以及用于从围绕包含在一个或多个处理室内的处理站的体积去除膜前体的一个或多个阀操作式真空源。并且,这样的装置还可以包括具有(或访问)用于操作衬底加载设备、衬底传送设备、一个或多个阀、以及真空源以沉积膜材料到衬底上的机器可读指令的控制器。
因此,在一些实施方式中,由控制器执行的所述指令可包括用于在包含在处理室中的多个处理站处的多个衬底上形成膜的指令,其中通过ALD循环序列在每个衬底上形成膜的多个层。因此,在某些这类实施方式中,由控制器执行的所述指令可以包括用于执行如上所述的ALD的操作(i)至(iv)的指令以及用于重复ALD的操作(i)至(iv)多次以在衬底处理装置的多个处理站处的多个衬底上形成膜的多个层的指令。
因此,图1示意性示出了衬底处理装置100的一种实施方式。为简单起见,处理装置100被描述成具有用于维持低压环境的处理室主体102的独立处理站。然而,应当理解,多个处理站可以包括在共同的处理工具环境中,例如,在共同的反应室内,如本文所述。例如,图2描绘了多站式处理工具的一种实施方式。此外,应理解的是,在一些实施方式中,处理装置100的包括在上面详细讨论的那些硬件参数在内的一个或多个硬件参数可以通过编程方式由一个或多个系统控制器调整。
处理站100与反应物输送系统101流体地连通以便将工艺气体输送至分配喷头106。反应物输送系统101包括用于混合和/或调节输送至喷头106的工艺气体的混合容器104。一个或多个混合容器入口阀120可控制工艺气体朝向混合容器104的导入。
一些反应物可在汽化和随后输送到处理室102之前以液体形式存储。图1的实施方式包括用于将拟被供给到混合容器104的液体反应物汽化的汽化站点103。在一些实施方式中,汽化站点103可以是加热的液体喷射模块。在一些实施方式中,汽化站点103可以是加热的蒸发器。从这样的模块/蒸发器产生的饱和反应物蒸气没有在合适位置受到充分的控制时(例如,当没有氦气用于汽化/雾化液体反应物时)会在输送管路下游凝结。不相容的气体暴露于凝结的反应物会产生小颗粒。这些小颗粒会堵塞管路、阻碍阀门操作、污染衬底等。解决这些问题的一些方法涉及打扫和/或排空输送管以去除残留的反应物。然而,打扫输送管可能会增加处理站的循环时间、降低处理站的吞吐量。因此,在一些实施方式中,汽化站点103下游的输送管道也可以进行热处理。在一些实施例中,混合容器804也可以进行热处理。在一个非限制性的实施例中,汽化站点103下游的管道具有从约100℃升高至在混合容器104处的约150℃的递增的温度分布。
如上所述的,在一些实施方式中,汽化站点103可以是加热的液体喷射模块(简称“液体喷射器”)。这样的液体喷射器可喷射液体反应物的脉冲到混合容器上游的载气流中。在一种情况下,液体喷射器可通过从较高的压强到较低的压强来闪蒸液体,从而汽化反应物。在另一种情况下,液体喷射器可将液体雾化成随后在加热的输送管中汽化的分散微滴。应该理解的是,较小的液滴比较大的液滴会较快汽化,从而减少液体喷射和完全汽化之间的延迟。较快汽化可以缩短汽化站点103下游管路的长度。在一种情况下,液体喷射器可直接安装到混合容器804上。在另一种情况下,液体喷射器可直接安装到喷头106上。
在一些实施方式中,汽化站点103上游的液体流量控制器(LFC)可以被设置用于控制液体的质量流量以便使其汽化并输送到处理室102。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。然后可响应于由与该MFM电通信的比例-积分-微分(PID)控制器提供的反馈控制信号调节LFC的柱塞阀。然而,它可能需要一秒或更多时间以使用反馈控制来稳定液体流。这可能延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间进行动态切换。在一些实施方式中,LFC可以通过禁用LFC和PID控制器的感应管道而被动态地从反馈控制模式切换到直接控制模式。
喷头106将工艺气体和/或反应物(例如,膜前体)朝在处理站处的衬底112分配,工艺气体和/或反应物(例如,膜前体)的流动由喷头上游的一个或多个阀(例如,阀120、120A、105)控制。在图1中所示的实施方式中,衬底112位于喷头106的下方,并显示为搁置在底座108上。应该理解的是,喷头106可具有任何合适的形状,并且可以具有任何合适数量和布置的端口以便分配工艺气体到衬底112。
在一些实施方式中,微体积107位于喷头106下方。在处理站靠近衬底的微体积中执行ALD工艺而不是在处理室的整个体积中执行ALD工艺,这样可以减少反应物的暴露和打扫次数,可减少用于改变工艺条件(例如,压力、温度等)的次数,可以限制处理站的机械手暴露于工艺气体等。微体积尺寸的实施例包括但不限于介于0.1升和2升之间的体积。
在一些实施方式中,底座108可以升高或降低以暴露衬底112给微体积107和/或改变微体积107的体积。例如,在衬底传送阶段,底座108可被降低,以使衬底112能被加载到底座108上。在衬底上进行沉积的处理阶段,底座108可被升高以将衬底112定位在微体积107内。在一些实施方式中,微体积107可完全围绕衬底112以及底座108的一部分,以在沉积处理期间创建高流动性阻抗区域。
任选地,可将底座108在沉积处理的部分期间降低和/或升高以调节微体积107内的处理压强、反应物浓度等。在处理室主体102在处理期间保持在基本压强的一种情况下,降低底座108可使得微体积107能被抽空。微体积比处理室体积的示例性比率包括,但不限于,介于1:500和1:10之间的体积比。应理解的是,在一些实施方式中,底座高度可以经由合适的系统控制器通过编程方式进行调整。
在另一种情况下,调整底座108的高度可以使得等离子体密度在包含例如在ALD或CVD工艺中的等离子体活化和/或处理循环期间能够变化。在沉积处理阶段结束时,底座108可以在另一衬底传送阶段被降低以使得衬底112能从底座108移走。
虽然在本发明描述的示例性微体积变化指的是高度可调的底座,但应该理解的是,在一些实施方式中,喷头106的位置可以相对于底座108被调整以改变微体积107的体积。此外,应当理解的是,底座108和/或喷头106的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,底座108可包括用于旋转衬底112的方向的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调整中的一个或多个可以通过一个或多个适当的系统控制器以编程方式执行,该控制器具有用于执行前述操作的全部或子集的机器可读指令。
返回至图1所示的实施方式中,喷头106和底座108电连通RF功率源114和匹配网络116以激励等离子体。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制(例如,经由具有适当的机器可读指令的系统控制器)。例如,RF功率源114和匹配网络116可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例包括在上文中。同样地,RF功率源114可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源114可以被配置为彼此独立地控制高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调整。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体激活阶段的等离子条件的指令可被包括在工艺配方的相应的等离子体激活配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或一个以上的等离子体参数的指令可以被包括在等离子体工艺阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体(例如,氦)和/或反应气体的流率的指令、用于设置等离子体发生器至功率设定点的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于启用等离子体发生器的指令以及用于第二配方阶段的时延指令。第三配方阶段可以包括用于禁用等离子体发生器的指令以及用于第三配方阶段的时延指令。应当理解,这些配方阶段可进一步以在本公开的范围内的任何合适的方式细分和/或重复。
在一些沉积处理中,等离子体激励持续约几秒钟或更长的持续时间。在此处描述的某些实施方式中,远远较短时间的等离子体激励可应用在处理循环期间。这些远远较短时间可以是约50毫秒至1秒,0.25秒是一个具体实施例。如此短时间的RF等离子体激励要求等离子体的快速稳定。为了实现这一点,可以将等离子体发生器配置为使得所述阻抗匹配被预设为特定的电压,同时使频率能浮动。按惯例,高频等离子体在约13.56MHz的RF频率下产生。在本文公开的各种实施方式中,使频率能浮动到不同于该标准值的值。通过使频率能浮动,同时固定阻抗匹配到预定电压,可以远远较快地稳定等离子体,其结果在使用与ALD循环相关的非常短时间的等离子体激励时可能是重要的。
在一些实施方式中,底座108可通过加热器110进行温控。另外,在一些实施方式中,对于处理装置100的压力控制可通过诸如蝶形阀118之类的一个或者多个阀操作式真空源来提供。如图1的实施方式中所示,蝶形阀118调节由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理装置100的压力控制也可以通过改变引入到处理室102的一种或多种气体的流率进行调整。在一些实施方式中,一个或多个阀操作式真空源(如蝶形阀118)可以用于在合适的ALD操作阶段从围绕处理站的体积去除膜前体。
如上所述,一个或多个处理站可以被包括在多站式衬底处理工具中。图2示意性地示出了多站式处理工具200的一实施例,其包括在共同的低压处理室214中的多个处理站201、202、203和204。通过将每个站保持在低压环境中,可避免由膜沉积处理之间的真空中断所引起的缺陷。
如图2所示,多站式处理工具200具有衬底加载端口220和衬底搬运机械手226,衬底搬运机械手226被配置为将衬底从通过吊舱228装载的盒移动通过大气端口220,进入处理室214,并且最终到达处理站。具体地,在本案例中,衬底搬运机械手226在处理站201和202装载衬底,以及衬底传送装置(在本案例中为衬底转盘290)在各处理站201、202、203以及204之间传输衬底。在图2中所示的实施方式中,衬底装载装置被描绘为具有用于控制衬底的2个臂的衬底搬运机械手226,因此,如所描绘的,它可以在两个站201和202装载衬底(也许同时,或者也许按顺序地)。然后,在站201和202装载之后,图2中描绘的衬底传送装置、转盘290可以做180度的旋转(绕其中心轴线,中心轴线基本上垂直于衬底(从页面出来)的平面,并在衬底之间基本上等距)以从站201和202传送两个衬底到站203和204。在这一点上,搬运机械手226可在站201和202装载2个新衬底,完成装载过程。为了卸载,可以颠倒这些步骤,除了下列操作以外:如果要处理多组的4个晶片,那么每次通过搬运机械手226卸载2个衬底将伴随通过在将传送转盘290转动180度之前装载2个新的衬底。类似地,配置放置衬底在仅1站(例如201)的独臂搬运机械手将在伴随转盘290旋转90度的4步装载工艺中使用以在所有4个站装载衬底。
在图2中示出的所描述的处理室214提供了四个处理站201、202、203和204。每个站具有加热底座(对于处理站201以218显示)以及气体管线入口。应当理解,在一些实施方式中,每一个处理站可以具有不同的用途或多个用途。例如,在一些实施方式中,处理站可以在ALD工艺模式和CVD工艺模式之间切换。附加地或替代地,在一些实施方式中,处理室214可以包括一个或多个匹配的成对的ALD/CVD处理站。虽然所描绘的处理室214包括四个处理站,但是应当理解,根据本公开的处理室可以具有任何适当的数目的站。例如,在一些实施方式中,处理室可具有1个、或2个、或3个、或4个、或5个、或6个、或7个、或8个、或9个、或10个、或11个、或12个、或13个、或14个、或15个、或16个、或更多的处理站(或成组的实施方式可以被描述为每个反应室具有在由任何成对的前述值所限定的范围内的处理站的数量,例如每个反应室具有2至6个处理站,或每个反应室具有4至8个处理站,或每个反应室8至16个处理站等)。
如上所指出的,图2还示出了衬底传送设备290的实施方式,衬底传送设备290用于在处理室214内的处理站201、202、203和204之间传送衬底。应当理解,可以采用任何合适的衬底传送设备。非限制性的实施例包括晶片转盘和衬底搬运机械手。
喷头和喷头轴环的详细描述
在ALD工艺中,膜前体需要在反应室中交替地存在,然后排空。为了防止寄生沉积,在引入下一个前体之前,从处理室和共享的前体通路(如喷头的杆部)去除在处理室中的过量的前体。通常通过用惰性气体清扫传送通路和室进行过量的前体的除去。但是,当使用吊灯型喷头时,来自喷头的清扫气体不能够有效地去除受困在该喷头的后面的过量的前体。因此,前体可引起喷头的背侧、顶板和处理室壁上可察觉量的寄生沉积。用固体电介质填充死空间是不可能的,因为这种做法可能会引起RF耦合接地的问题。因此,如上所述,从喷头的背侧引入次级清扫气体可用于防止这种寄生沉积。现在将详细地描述用于实施这种次级清扫的硬件:
现在参照图6,显示了包括具有喷头670的处理室660的衬底处理系统650的示例。喷头670包括杆部672和头部674。头部674限定内腔675。流体(例如前体或清扫气体)流过杆部672,到分散板676并进入内腔675。流体然后穿过在头部674的底表面中的孔/间隔的通孔678并进入处理室。
喷头670的杆部672通过喷头轴环680连接到处理室660的上壁。喷头轴环680具有大致“T”形的横截面,并包括头部681和杆部683。喷头轴环680限定内腔684,内腔684是圆筒形的并接收喷头670的杆部672。多个槽形孔686在杆部683形成,以使次级清扫气体从内腔684流到杆部分683的外表面。从图6中的槽形孔的取向,以及图3中所示的次级清扫流动线320,显而易见的是次级清扫气体可以在基本上平行于衬底的平面的方向上流入处理室(虽然流动方向在室壁附近变化,如图3中所示)。
流体连接器690可以连接到喷头轴环680的头部681的边缘,并用于供应流体(如清扫气体)。流体连接器690包括一个或多个导管和/或连接器,通常标记为692。喷头轴环680的头部681同样包括导管和/或连接器(通常标记为693)以引导流体流到喷头轴环680的内腔684。
板700被布置在喷头670的头部674和喷头轴环680之间。板700包括上表面704,定心开口或定心孔710,以及底表面714。在一些示例中,板700由陶瓷制成。板700的厚度可以被选择为使材料和电容性耦合接地或寄生等离子体最小化。板700的上表面704与喷头轴环680的底部边缘间隔开,以允许流体在两者之间通过。定心孔710也与杆部672间隔开,以允许流体在两者之间通过。板的底表面714与喷头670的上表面间隔开,以允许流体在两者之间通过。在一些示例中,板700可被省略,并且处理室可以在没有板700的情况下进行操作。
使次级清扫气体流过轴环抑制工艺沉积化学物进入腔体中的区域,以防止在那里不必要的膜沉积。可以选择槽和其它缝隙的尺寸,以防止等离子体在其中点燃并且以允许佩克莱特条件得到满足,以为了所需的气体流率防止反扩散。
现在参照图7,示出了喷头轴环680的例子。喷头轴环680包括头部681和杆部683。槽686可以具有弓形形状,并且可以围绕杆部683布置。狭槽686允许流体从内腔684通过槽686流动。头部681可包括配合部分718,配合部分718与在流体连接器690上的相应配合部分配合。喷头轴环680的导管693与流体连接器690的导管692在连接时对准。
现在参照图8,示出了喷头轴环680的流体连接器690的例子。而流体连接器690被示为包括第二配合部720、导管730、连接器732、导管734,以及连接器736,流体连接器的其它配置是预期的。
现在参照图9A和9B,其示出了板700的例子。在图9A中,板700的上表面704被示出为具有大致圆形的横截面和布置在板700的中心的定心孔710。定心孔710包括从定心孔710向内径向延伸的一个或多个突起740。突起740在板700和杆部672之间设置均匀间距。在图9B中,板700的底表面714被示出包括相对于处理室的顶部向下延伸的突起744。突起744在板700的底表面714与喷头670的头部674的上表面之间设置均匀间隔。还指出,RF隔离/抑制装置可以减少喷头后面的腔中的电场,这也可以有助于进一步降低喷头后面的区域中的寄生等离子体产生的可能性或程度。例如,突起740和744可将间距设置成足够接近以减少寄生等离子体产生,例如如果采用约3毫米或更小的间距。对于典型的工艺条件,这样的间距导致不足够用于等离子体形成以及等离子体鞘形成的空间(少于两个等离子体鞘的长度)。等离子体的形成可受到等离子体密度、等离子体电子温度、以及通过鞘的电压的影响。当然,如上面详细讨论的,使用O2作为次级清扫气体也可作为防止/最小化寄生等离子体产生的有效的技术。
系统控制器
图2还示出了用于控制处理工具200的工艺条件和硬件状态以及其处理站的系统控制器250的一个实施方式。系统控制器250可包括一个或多个存储器设备256、一个或多个大容量存储设备254以及一个或多个处理器252。处理器252可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进电机控制器板等。
在一些实施方式中,系统控制器250控制处理工具200的包括其单个的处理站的操作在内的操作中的一些或全部。系统控制器250可以执行在处理器252上的机器可读系统控制指令258,在一些实施方式中,系统控制指令258可以从大容量存储装置254加载到存储器设备256中。系统控制指令258可包括用于控制时序、气体和液体反应物的混合物、室和/或站的压强、室和/或站的温度、晶片的温度、目标功率电平、RF功率电平、RF暴露时间、衬底底座、卡盘和/或基座的位置、以及通过处理工具200执行的特定处理的其它参数的指令。这些处理可以包括各种类型的处理,包括但不限于与在衬底上沉积膜相关的处理。系统控制指令258可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制的对象可以被写入以控制执行各种处理工具的进程所需要的处理工具组件的操作。系统控制指令258可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,系统控制指令258在软件中实现,在其他实施方式中,指令可在硬件中实现,例如,作为逻辑硬编码在ASIC(专用集成电路)中,或者,在其他实施方式中,作为软件和硬件的组合实现。
在一些实施方式中,系统控制指令258可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,一个或者多个沉积处理的每个阶段可以包括用于由系统控制器250执行的一个或多个指令。用于设置膜沉积处理阶段的处理条件的指令例如可以包括在相应的沉积配方阶段中并同样可以用于覆盖膜沉积阶段。在一些实施方式中,配方阶段可按顺序设置,以便处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中可以采用存储在与系统控制器250相关联的大容量存储设备254和/或存储器设备256上的其它计算机可读指令和/或程序。程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的指令,该处理工具组件用于将衬底加载到底座218上并控制衬底和处理工具200的其它部件之间的间隔。该定位程序可以包括用于根据需要适当地移动衬底进出反应室以将膜沉积在衬底上的指令。
工艺气体控制程序可包括用于控制气体组成和流率的指令和任选地用于使气体在沉积之前流到围绕一个或多个处理站的体积中以稳定在这些体积中的压强的指令。在一些实施方式中,工艺气体控制程序可以包括用于在衬底上沉积膜期间引入某些气体到围绕在处理室中的一个或多个处理站的体积的指令。工艺气体控制程序还可以包括以相同速率在相同的期间、或者以不同的速率和/或在不同的期间引入这些气体的指令,具体取决于将被沉积的膜的组分。工艺气体控制程序还可以包括用于在加热的喷射模块中在存在氦或一些其它的载气的情况下雾化/汽化液体反应物的指令。
压强控制程序可以包括用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的指令。压强控制程序可以包括用于在衬底上沉积各种类型的膜期间保持相同或不同的压强的指令。
加热器控制程序可包括用于控制流向用于加热衬底的加热单元的电流的指令。可替代地或附加地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。加热器控制程序可包括在衬底上沉积各种类型的膜期间用于在反应室和/或围绕处理站的体积内保持相同或不同的温度的指令。
等离子体控制程序可包括用于根据本文的实施方式设置一个或多个处理站内的RF功率电平、频率和暴露次数的指令。在一些实施方式中,等离子体控制程序可以包括用于在衬底上沉积膜期间使用相同或不同的RF功率电平和/或频率和/或暴露次数的指令。
在一些实施方式中,可以存在与系统控制器250相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器250调整的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平和暴露次数)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器250的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具200的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器250可以提供用于执行上述沉积处理的机器可读指令。所述指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压力、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜堆叠的原位沉积。
系统控制器通常包括一个或多个存储器设备和被配置成执行机器可读指令的一个或多个处理器以使该装置将执行根据本文所公开的工艺的操作。包含用于控制根据本发明所公开的衬底掺杂工艺的操作的指令的机器可读的非临时性介质可以耦合到系统控制器。
上面所描述的各种装置和方法可以与光刻图案化工具和/或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具将在普通的制造设施中一起和/或同时使用,或者此类工艺将在普通的制造设施中一起和/或同时执行。
光刻图案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在衬底上,例如涂覆在上面形成有氮化硅膜的衬底上;(2)使用热板或炉或其它合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台或喷射显影器之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的刻蚀工具将抗蚀剂图案转移到下伏膜或衬底;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
其它实施方式
尽管为了促进清楚和理解的目的,在具体实施方式的背景下,已经详细描述了前述公开的技术、操作、处理、方法、系统、装置、工具、膜、化学品和组合物,但对于本领域的普通技术人员而言,显而易见的是,存在许多实施前述实施方式的落入本发明的主旨和范围内的替代方式。因此,本文所描述的实施方式应被看作是说明本发明公开的创造性构思,而不是限制,并且不应被用作不适当地限制最终指向本发明的主题的任何权利要求的范围的不允许的基础。

Claims (9)

1.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体,其中所述初级清扫气体包括惰性气体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;以及
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质。
2.如权利要求1所述的装置,其中所述初级清扫气体包括Ar和/或N2
3.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质;以及
(f)操作所述次级流量阀以使所述次级清扫气体在(a)-(d)期间流入所述处理室。
4.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室,其中载气的流被用于使所述膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;以及
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质。
5.如权利要求4所述的装置,其中所述载气是惰性气体。
6.如权利要求5所述的装置,其中所述载气是N2和/或Ar。
7.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质;以及
(g)重复(a)-(e)一次或多次以在所述衬底上沉积膜的附加层。
8.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质;以及
(h)操作所述次级流量阀以使所述次级清扫气体在(a)期间的至少一部分时间内流入所述处理室。
9.一种用于在半导体衬底上沉积材料膜的装置,所述装置包括:
处理室;
在所述处理室中的衬底支架;
喷头,其用于使膜前体和初级清扫气体流入所述处理室;
喷头轴环,其用于使次级清扫气体流入所述处理室;
一个或多个初级流量阀,其用于控制通过所述喷头的膜前体的流以及初级清扫气体的流;
一个或多个次级流量阀,其用于控制通过所述喷头轴环的次级清扫气体的流;
阀操作式真空源,其用于从所述处理室去除初级和次级清扫气体,以及用于从围绕所述处理室中的所述衬底的体积去除膜前体;
等离子体发生器,其用于在所述处理室中产生等离子体;以及
一个或多个控制器,其包括用于操作所述一个或多个初级流量阀、所述一个或多个次级流量阀、真空源和等离子体发生器以在半导体衬底上沉积材料膜的机器可读指令,包括用于以下操作的指令:
(a)操作所述初级流量阀以使膜前体流入所述处理室;
(b)控制所述处理室内的条件,使得膜前体吸附到在所述处理室中的所述衬底上形成吸附受限层;
(c)操作所述初级流量阀以使初级清扫气体流入所述处理室并操作所述阀操作式真空源以抽空它从而从围绕所吸附的前体的体积去除至少一些未被吸附的膜前体;
(d)操作所述等离子体发生器,以在所述处理室中形成等离子体,所述等离子体激活所吸附的膜前体的反应,以在所述衬底上形成膜层;
(e)在(d)中激活所述反应的同时,操作所述次级流量阀以使次级清扫气体流入所述处理室,其中所述次级清扫气体包括具有等于或大于O2的电离能和/或解离能的电离能和/或解离能的化学物质;以及
(h)操作所述次级流量阀以使所述次级清扫气体在(a)、(b)、(c)和(d)的每一个期间的至少一部分时间内流入所述处理室。
CN201811330813.1A 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置 Active CN109913852B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/447,203 2014-07-30
US14/447,203 US9617638B2 (en) 2014-07-30 2014-07-30 Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
CN201510459965.1A CN105316651B (zh) 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201510459965.1A Division CN105316651B (zh) 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置

Publications (2)

Publication Number Publication Date
CN109913852A CN109913852A (zh) 2019-06-21
CN109913852B true CN109913852B (zh) 2021-04-20

Family

ID=55180775

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510459965.1A Active CN105316651B (zh) 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置
CN201811330813.1A Active CN109913852B (zh) 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510459965.1A Active CN105316651B (zh) 2014-07-30 2015-07-30 抑制喷头背面寄生等离子体的方法和装置

Country Status (5)

Country Link
US (2) US9617638B2 (zh)
JP (2) JP6752555B2 (zh)
KR (2) KR102454473B1 (zh)
CN (2) CN105316651B (zh)
TW (2) TWI676703B (zh)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) * 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积系统及方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113474873A (zh) 2018-11-15 2021-10-01 朗姆研究公司 用基于卤素的化合物进行选择性蚀刻的原子层蚀刻系统
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020185557A1 (en) * 2019-03-11 2020-09-17 Lam Research Corporation Apparatus for cleaning plasma chambers
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113994023A (zh) * 2019-05-15 2022-01-28 应用材料公司 减少腔室残留物的方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021030336A1 (en) 2019-08-12 2021-02-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US20230134061A1 (en) * 2020-03-19 2023-05-04 Lam Research Corporation Showerhead purge collar
TWI730699B (zh) * 2020-03-27 2021-06-11 先豐通訊股份有限公司 噴盤檢測系統及其檢測方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288261A (zh) * 2021-12-07 2023-06-23 拓荆科技股份有限公司 沉积系统及方法
US20230313373A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc Targeted temporal ald

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1777696A (zh) * 2003-03-14 2006-05-24 杰努斯公司 用于原子层沉积的方法和设备
KR100616486B1 (ko) * 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN105316651A (zh) * 2014-07-30 2016-02-10 朗姆研究公司 抑制喷头背面寄生等离子体的方法和装置

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
JPH01309973A (ja) * 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
JP2005142355A (ja) * 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
KR100923453B1 (ko) * 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
KR101417728B1 (ko) * 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
CN102087955B (zh) * 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
TWI590335B (zh) 2010-08-18 2017-07-01 半導體能源研究所股份有限公司 膜形成設備及膜形成方法
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
JP5578276B2 (ja) 2012-02-07 2014-08-27 三菱レイヨン株式会社 横型熱処理装置
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
WO2014092085A1 (ja) * 2012-12-14 2014-06-19 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI629918B (zh) 2013-08-16 2018-07-11 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
WO2015080900A1 (en) 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
KR102486400B1 (ko) 2014-01-13 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102371535B1 (ko) 2014-04-18 2022-03-04 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1777696A (zh) * 2003-03-14 2006-05-24 杰努斯公司 用于原子层沉积的方法和设备
KR100616486B1 (ko) * 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN105316651A (zh) * 2014-07-30 2016-02-10 朗姆研究公司 抑制喷头背面寄生等离子体的方法和装置

Also Published As

Publication number Publication date
KR102563427B1 (ko) 2023-08-03
TWI662149B (zh) 2019-06-11
CN105316651A (zh) 2016-02-10
TW201923141A (zh) 2019-06-16
KR102454473B1 (ko) 2022-10-12
JP6752555B2 (ja) 2020-09-09
CN105316651B (zh) 2018-12-07
US10407773B2 (en) 2019-09-10
US20170167017A1 (en) 2017-06-15
JP2016036020A (ja) 2016-03-17
JP7194713B2 (ja) 2022-12-22
TW201617473A (zh) 2016-05-16
US9617638B2 (en) 2017-04-11
KR20220141773A (ko) 2022-10-20
TWI676703B (zh) 2019-11-11
CN109913852A (zh) 2019-06-21
JP2020191474A (ja) 2020-11-26
KR20160017610A (ko) 2016-02-16
US20160035566A1 (en) 2016-02-04

Similar Documents

Publication Publication Date Title
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US10176984B2 (en) Selective deposition of silicon oxide
US9508547B1 (en) Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
US20180374697A1 (en) Methods and apparatuses for increasing reactor processing batch size
US20210395885A1 (en) Throughput improvement with interval conditioning purging

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant