JP7194713B2 - 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 - Google Patents

二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 Download PDF

Info

Publication number
JP7194713B2
JP7194713B2 JP2020138491A JP2020138491A JP7194713B2 JP 7194713 B2 JP7194713 B2 JP 7194713B2 JP 2020138491 A JP2020138491 A JP 2020138491A JP 2020138491 A JP2020138491 A JP 2020138491A JP 7194713 B2 JP7194713 B2 JP 7194713B2
Authority
JP
Japan
Prior art keywords
processing chamber
purge gas
flow
film
primary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020138491A
Other languages
English (en)
Other versions
JP2020191474A (ja
Inventor
エイドリアン・ラボイエ
フー・カン
クマル・プルショッタム
シャンカー・スワミナタン
チエン・ジュン
フランク・エル.パスクァーレ
クロエ・バルダッセローニ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020191474A publication Critical patent/JP2020191474A/ja
Application granted granted Critical
Publication of JP7194713B2 publication Critical patent/JP7194713B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

半導体産業におけるデバイス及び特徴の更なる小型化、並びに集積回路(IC)設計における3Dデバイス構造(例えば、IntelのTri-Gateトランジスタアーキテクチャ)の更なる普及にともなって、薄い共形膜(下方の構造の形状と比べて、たとえ平坦ではなくても厚さが均一な材料膜)を堆積させる能力の重要性が、益々高まっている。原子層堆積(ALD)は、共形膜の堆積に良く適した膜形成技術である。なぜならば、ALDは、その1つのサイクルで薄い材料層を1枚堆積させるのみであり、その堆積される層の厚さは、膜を形成する化学反応自体に先立って基板表面上に吸着され得る(すなわち、吸着制限層を形成する)1種以上の膜前駆体反応物の量によって制限されるからである。所望の厚さの膜を構築するために複数の「ALDサイクル」が使用されてよく、結果として得られる膜は、各層が薄くて且つ共形であるゆえに、下方のデバイス構造の形状に実質的に合致する。
しかしながら、ALDプロセスには、多くの課題が伴う。これらの課題は、多くの場合、ALDがその1つのサイクルで薄い吸着制限層を1層堆積させるのみであり、したがって、十分な厚さの膜を構築するためには多くのALDサイクルが必要とされ、堆積プロセスを達成するために使用される装置の一連の操作の繰り返しが必要であるという事実と関係がある。したがって、ウエハ処理の速度を向上させるとともに、ALD操作を実施するために使用される基板処理ハードウェアの寿命要件及び整備要件も向上させる、改善された方法及び装置が求められている。
二次パージの使用によって半導体基板上に材料の膜を堆積させる方法が開示される。この方法は、処理チャンバに膜前駆体を流し込むこと、膜前駆体が基板上に吸着制限層を形成するように、処理チャンバ内において膜前駆体を基板上に吸着させること、を含んでいてよい。方法は、更に、一次パージガスによって処理チャンバをパージすることによって、吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去すること、その後、処理チャンバに二次パージガスが流し込まれている間に上記吸着膜前駆体を反応させ、その結果として基板上に膜層を形成させること、を含んでいてよい。二次パージガスは、O2のイオン化エネルギ及び/又は解離エネルギ以上のイオン化エネルギ及び/又は解離エネルギ以上を有する化学種を含んでいてよい。
半導体基板上に材料の膜を堆積させるための装置も開示される。この装置は、処理チャンバと、この処理チャンバ内の基板ホルダと、処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、処理チャンバに二次パージガスを流し込むためのシャワーヘッド環と、シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、処理チャンバから一次パージガス及び二次パージガスを除去するための並びに処理チャンバ内において基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、半導体基板上に材料の膜を堆積させるために1つ以上の弁、真空源、及びプラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラと、を含んでいてよい。コントローラの命令は、処理チャンバに膜前駆体を流し込むように(1つ以上の)一次流量弁を操作するための命令と、処理チャンバ内において膜前駆体が基板上に吸着して吸着制限層を形成するように処理チャンバ内の条件を制御するための命令と、処理チャンバに一次パージガスを流し込むように(1つ以上の)一次流量弁を操作するための及び処理チャンバを真空に排気しそれによって吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように弁操作式真空源を操作するための命令と、吸着膜前駆体の反応を活性化させて基板上に膜層を形成させるプラズマを処理チャンバ内において発生させるようにプラズマ発生器を操作するための命令と、吸着膜前駆体の反応がプラズマによって活性化されている間にO2を含む二次パージガスを処理チャンバに流し込むように(1つ以上の)二次流量弁を操作するための命令と、を含んでいてよい。
処理ステーションが1つである処理チャンバを有する基板処理装置を示す概略図である。 2つのプロセスステーションへの/からの基板の取り込み/取り出しのための基板取扱ロボットと、装置を操作するためのコントローラとを有する4ステーション式基板処理装置を示す図である。 シャワーヘッドとシャワーヘッド環とを有する1ステーション式基板処理装置の処理チャンバを示す断面図であり、一次パージガス及び二次パージガスの流路が描かれている。 処理チャンバ内においてシャワーヘッドの後方に発生する寄生プラズマの存在及び強さを示すために使用される堆積速度対RF電力のグラフである。 ALDプロセスを通じて基板上に材料の膜を形成するための一連の操作の一例を示すフローチャートである。 基板処理チャンバ内のシャワーヘッド及びシャワーヘッド環を示す更に詳細な断面図であり、やはり、一次パージガス及び二次パージガスの流路が示されている。 シャワーヘッド環の一例を示す斜視図である。 図7のシャワーヘッド環のための流体コネクタの一例を示す斜視図である。 図6のシャワーヘッドの板の一例を示す上面図である。 図6のシャワーヘッドの板の一例を示す底面図である。
以下の説明では、本発明の完全な理解を与えるために、数々の具体的詳細が明記されている。しかしながら、本発明は、これらの詳細の一部又は全部を伴わずとも実施され得る。また、本発明を不必要に不明瞭にしないために、周知のプロセス操作の詳細な説明は、省略されている。本発明は、特定の詳細な実施形態との関連のもとで説明されているが、これらの特定の詳細な実施形態は、本発明の概念の範囲を本明細書で開示される範囲に制限することを意図していない。
本明細書で開示されるのは、原子層堆積(ALD)を通じて共形膜を堆積させるために使用される半導体基板処理チャンバ内において寄生プラズマの発生を抑制するための方法及び装置である。
ALDは、各サイクルで薄い材料層1枚のみ(多くの場合、単分子層1枚分の厚さに過ぎない)を堆積させる複数の「ALDサイクル」を実施することによって所望の厚さの材料の膜を堆積させるために使用される。以下で詳しく説明されるように、処理チャンバ内において基板上に1枚の材料層を堆積させるための基本のALDサイクルは、(i)膜前駆体を、それが基板上に吸着制限層を形成するように基板上に吸着させること、(ii)吸着前駆体を取り巻く空間から、未吸着膜前駆体(の少なくとも一部)を除去すること、(iii)未吸着膜前駆体を除去した後に、吸着膜前駆体を反応させて、基板上に膜層を形成させること、を含んでいてよい。多くの場合、ALDサイクルは、更に、(iv)基板上に形成された膜層を取り巻く空間から脱離膜前駆体及び/又は反応副生成物を除去することを含む。
操作(ii)及び操作(iv)における除去は、基板を取り巻く空間をパージする、ポンプで基準圧力まで下げる(「ポンプで基準圧力にする」)などを通じて成されてよい。一部の実施形態では、これらのパージは、論理上、本明細書で「一次パージ」又は「バーストパージ」と呼ばれるものと「二次パージ」と呼ばれるものとに分けられる。一次パージは、本明細書で「一次パージガス」として言及されるガスの使用を伴い、このガスは、「一次パージガス源」から発せられて、一次パージガス流路をたどり、1つ以上の一次パージガス入口を通って処理チャンバに導入される。同様に、二次パージは、本明細書で「二次パージガス」として言及されるガスの使用を伴い、このガスは、「二次パージガス源」から発せられて、二次パージガス流路をたどり、1つ以上の二次パージガス入口を通って処理チャンバに導入される。
一次パージは、通常は、操作(ii)の最中に生じ、操作(iv)において別のパージが成される実施形態では、そのパージの最中にも生じる。しかしながら、一次パージは、通常は、操作(i)及び操作(iii)の最中には生じず、一部の実施形態では、操作(iii)における反応に先立って、実質的に全ての一次パージガスが処理チャンバから除去することができる。したがって、一次パージは、一次パージガスの流れが間欠的であるゆえに、本明細書では(「バーストパージガス」を用いる)「バーストパージ」とも呼ばれる。一次パージという用語と、バーストパージという用語は、本明細書では同義として使用される。
本明細書で「二次パージ」と呼ばれるものは、「一次パージ」とは区別して捉えられる。一次パージとは対照的に、二次パージの最中は、ガスは、操作(iii)において反応が発生している間に、基板表面上で起きている反応プロセスを実質的に妨害しない、すなわち干渉しないやり方で処理チャンバに流し込まれてよい。一部の実施形態では、二次パージガスは、操作(i)~(ii)、及び/又は(iv)の最中に処理チャンバに流し込まれてもよく、そのうちの特定の実施形態では、操作(i)~(iv)の最初から最後まで継続的に処理チャンバに流し込まれてよい。
処理チャンバに入る二次パージガスの流量は、実施形態に応じて、処理チャンバに入る一次パージガスの流量と異なっていてよい。一部の実施形態では、一次パージガスは、約1,000~100,000sccmの、又はより好ましくは約5,000~45,000sccmの、又はひいては約10,000~30,000sccmの流量で、処理チャンバに流し込まれてよい。一部の実施形態では、二次パージガスは、約1~50,000sccmの、又はより好ましくは約1~30,000sccmの、又はひいては約1,000~20,000sccmの流量で、処理チャンバに流し込まれてよい。
ALDプロセスにおける二次パージの使用は、二次パージが操作(iii)の最中に実行されることに関係して、及び二次パージガスが(一次パージの場合のように)基板に直接向けられるのではなく処理チャンバ内の遠隔領域に向けられることに関係して、幾つかの有益な効果を有するだろう。チャンバ内の遠隔領域、すなわち基板表面のすぐ近くではない領域への二次パージガスの流れは、余分な未吸着膜前駆体を処理チャンバから除去するのに有用であり、更には、チャンバ内のそれらの遠隔領域に最初に膜前駆体が流れ込むのを防ぐにも役立つだろう。後者を実現するためには、二次パージは、膜前駆体がチャンバに流れ込む操作(i)の最中にも実行されることになる。操作(iii)の最中における二次パージの利用は、チャンバの内表面を、例えば、基板表面で起きる反応プロセスの最中にそこから前駆体が脱離し次いでチャンバ側壁上などのどこか他の場所に再吸着されて再反応する結果として発生するかもしれないあらゆる誤った堆積から保護する。二次パージを利用する機能を備えた基板処理装置の詳細な例を説明する前に、先ず、膜堆積装置の概要が説明される。
膜堆積装置の概要
半導体基板上に膜を堆積させるための操作は、通常は、図1に示されるような基板処理装置において実施することができる。以下で更に詳しく説明される図1の装置100は、真空ポンプ118によって真空下に維持可能な内部空間内に1つの基板ホルダ108(例えば、台座)を伴う1つの処理チャンバ102を有する。チャンバには、(例えば)膜前駆体、キャリアガス及び/又はパージガス及び/又はプロセスガス、二次反応物などの供給のために、ガス供給システム101及びシャワーヘッド106も流体的(流体連通可能)に結合されている。図1には、処理チャンバ内においてプラズマを発生させるための装備も示されており、以下で更に詳しく説明される。いずれにせよ、以下で詳しく説明されるように、図1で図解される装置は、半導体基板に対してALDなどの膜堆積操作を実施するための基本的な装備を提供するものである。
状況次第では、図1のような基板処理装置でも十分かもしれないが、時間がかかる膜堆積操作が関わる場合は、複数の堆積操作を複数の半導体基板に対して並列に同時に実施することによって基板処理のスループットを高めることが有利だろう。この目的のためには、図2に図解されるようなマルチステーション式基板処理装置が用いられてよい。図2の基板処理装置200は、やはり1つの基板処理チャンバ214を用いているが、処理チャンバの壁によって定められた1つの内部空間内に、複数の基板プロセスステーションがあり、各プロセスステーションは、そのプロセスステーションにおいてウエハホルダによって保持されている基板に対して処理操作を実施するために使用されてよい。この特定の実施形態では、マルチステーション式基板処理装置200は、4つのプロセスステーション201、201、203、及び204を有するものとして示されている。装置は、また、プロセスステーション201及び202に基板を搭載するために、この場合は基板取扱ロボット226である基板搭載機器と、様々なプロセスステーション201、202、203、及び204間で基板を移送するために、この場合は基板カルーセル290である基板移送機器とを用いる。その他の類似のマルチステーション基板処理装置は、実施形態、及び例えば所望の並列ウエハ処理レベル、サイズ/スペースの制限、コストの制約などに応じ、更に多い又は少ないプロセスステーションを有していてもよい。また、後ほど更に詳しく説明される図2には、原子層堆積(ALD)操作において一次パージガス及び二次パージガスを伴う基板堆積操作を効率良く実施するという目標にも有用なコントローラ250も示されている。
図2に示されるようなマルチステーション式基板処理装置の使用を通じて装備コスト及び運転費用の両方に関して様々な効率化が実現され得ることに留意せよ。例えば、4つ全てのプロセスステーションのために1つの高真空環境を形成するために、1つの真空ポンプ(図2には示されていないが、例えば図1における118)が使用されてよく、4つ全てのプロセスステーションに対し、消費されたプロセスガスなどを排出させるために使用することができる。実施形態によっては、各プロセスステーションは、ガス供給のために自身専用のシャワーヘッド(例えば図1における106を参照せよ)を有していてよく、ただし、ガス供給システム(例えば図1における101)に関しては、同じものを共有していてよい。同様に、プロセスステーション間では、プラズマを発生させる装備のうちの特定の構成要素(例えば電源)が共有されてよく、ただし、実施形態によっては、(例えば、プラズマ発生電位を印加するためにシャワーヘッドが使用される場合などでは(以下の図1の議論を参照せよ)、)特定の構成要素がプロセスステーョン特有であってよい。ただし、再度述べるが、このような効率性は、反応チャンバごとに2、3、5、6、7、8、9、10、11、12、13、14、15、又は16、又は更に多くなどのように、処理チャンバごとに更に多い又は少ない数のプロセスステーションを使用することによって、実現の程度が高められても抑えられてもよいことが理解される。
二次パージの実装及び用途
シャワーヘッド設計を利用する基板処理装置は、特に、二次パージの使用から恩恵を受けるだろう。このような設計では、シャワーヘッドの主目的は、操作(i)における基板表面への吸着のために処理チャンバに膜前駆体が導入されるメカニズムを提供することにある。シャワーヘッド設計は、点源として機能する数本のノズルのみで実現されるよりも空間的に均一に膜前駆体流が基板方面に分配されることを可能にする。シャワーヘッドは、また、適切な電位の印可を受けて、表面反応の活性化につながる操作(iii)におけるプラズマ発生のために使用される2つの電極のうちの1つとして機能してよい。これらの目的に加えて、シャワーヘッドは、操作(ii)及び/又は(iv)の最中に処理チャンバに一次パージガス流を導入するためにも使用されてよく、その際は、一次パージガスに関しても、より優れた空間的均一性を達成することができる。しかしながら、処理チャンバにパージガスを導入するこの方法に伴う問題は、上記の流れが、通常はシャワーヘッド後方の空所を効果的にパージするものではないことである。このため、シャワーヘッドの裏側やシャワーヘッド後方/上方のチャンバ壁などにおける望ましくない堆積を最小限に抑える又は防ぐことができるという点で、シャワーヘッド後方/上方の空間/空所に直接入る二次パージガス流が、極めて有益だろう。
このような実施形態の1つが、図3に図解されている。図3は、処理チャンバ102と、シャワーヘッド106と、シャワーヘッド環330とを有する1ステーション式基板処理装置300の断面を示しており、一次パージガス流路310及び二次パージガス流路320がそれぞれ描かれている。図3に示される構成では、一次パージガス源312からの一次パージガスが、シャワーヘッド106を通じてチャンバ102に流れ込み、二次パージガス源322からの二次パージガスが、シャワーヘッド環330を通じてチャンバ102に流れ込む。したがって、ここでの二次パージガスは、シャワーヘッド106の裏側の中心軸の近くで処理チャンバ102に導入され、台座108上に保持されている基板112の面に実質的に平行な流れで導入される。このように導入される二次パージガスは、(図中、矢印で図示されているように)クロス板303の付近においてチャンバから出る前に、シャワーヘッドを回り込み、チャンバの側壁沿いに下っていくだろう。このようにして、二次パージガスは、チャンバ102の内壁への堆積を最小限に抑える及び/又は防ぐことができる。一部の例では、シャワーヘッド後方の空所内におけるパージガス流は、ペクレ条件(通常は、ペクレ数が1よりも大きいこと)を満たしており、したがって、上記空所内では、前駆体の逆拡散(又は逆流)が阻止され、その結果、望ましくない堆積を最小限に抑えつつも、有効チャンバ体積が縮小される。
総じて図3にしたがって実現される二次パージガスの使用による更なる利点は、処理チャンバが、極めて高価であろう膜前駆体の代わりに不活性ガスを使用してチャンバ圧力を蓄積可能であることである。高いチャンバ圧力は、膜前駆体に対してエアカーテンの役割を果たすことによって、随所における前駆体の分圧を下げつつも、基板領域における前駆体の分圧を高めることができる。高いチャンバ圧力は、また、それ自体が、チャンバの内表面/内壁における誤った堆積を低減させられるうえに、高圧レジームゆえの寄生プラズマ発生(後ほど更に詳しく論じられる)の機会(又は強さ)も抑えることができる。
図3に図解されるものと同様な、一次パージガス流及び二次パージガス流を発生させるために利用可能な適切なシャワーヘッド及びシャワーヘッド環が、図6~9との関連のもとで、後ほど更に詳しく説明される。このような二次パージガスの使用、シャワーヘッドの裏側へのその導入、及び関連の装置の詳細は、2012年10月24日に出願された発明の名称を「SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION(基板領域の外における前駆体流及びプラズマを抑制することによる、基板処理システムにおける寄生堆積の抑制)」とする、先行する米国特許出願第13/659,231号でも説明されている。この出願は、米国特許公報第2013/0344245号として公開され、その全体をあらゆる目的のために参照によって本明細書に組み込まれる。
二次パージを利用する方法及び装置における寄生プラズマの抑制
二次パージは、通常は、ALDプロセスにおける膜形成反応操作(上記の操作(iii))の最中に進行中であるので、二次パージガスとしては、膜形成反応に干渉しないように、不活性ガスが選ばれるのが一般的である。先行研究では、二次パージガスとして、N2が選ばれることが多かった。しかしながら、二重パターン形成などの特定の用途では、堆積膜の窒素含量が厳密に制御されなければならず、また、二次パージガスとしてのN2の使用は堆積膜への窒素の取り込みを招くことが多いゆえに、N2は、優れた選択肢ではないことが多い。
これは、以下の表1に示されている。表1は、一次/バーストパージ(「BP」)ガス、二次パージ(「2ndP」)ガス、及びキャリアガスを4つの異なる組み合わせで使用して堆積された4種類の膜組成を示している。シリコン及び酸素の含量は、大体のイオン数で挙げられ、窒素、水素、及び炭素の含量は、一立方センチメートルあたりの数を単位とした密度で挙げられる。膜組成は、二次イオン質量分析法(「SIMS」)を使用して測定された。表の1行目は、一次パージガス、二次パージガス、及びキャリアガスとしてN2を使用して用意された基準膜組成を示している。この基準組成と比べて、表の2行目は、一次/バーストパージガス及び二次パージガスの両方としてのN2をArで置き換えると、堆積膜内の窒素濃度が(Siイオン数で計って)約40%減少することを示している。表1の3行目に挙げられた例は、一次/バーストパージガスをArで置き換える一方で二次パージガスとしてはN2を維持すると、同様なN2濃度の減少を得られないことを示している。一次/バーストパージガスとしてArを使用するだけでは、同程度の窒素濃度の減少が達成されないので、一次/バーストパージガスの選択は、堆積膜内のN濃度に大きく影響しないと結論付けられる。これは、堆積膜に取り込まれる可能性がある一次/バーストパージガスが、膜形成反応工程(上記の操作(iii))の最中には処理チャンバ内に存在しない(又はほとんど存在しない)ことに基づいて、理論的に説明することができる。これに対し、二次パージガスは、通常、膜形成反応工程(操作(iii))の最中に存在しており、ゆえに、堆積膜に化学種を与え得る。この分析を更に支持するものとして、表1の最後の行は、一次/バーストパージガス及びキャリアガスの両方としてArが使用される場合の膜組成を挙げている。これが基準組成と比べてN含量の減少をもたらさない事実は、堆積膜への主要な窒素提供元が二次パージガスであるという分析を支持している。
Figure 0007194713000001
以上のSIMS実験は、したがって、ALDプロセスにおける不活性二次パージガスとしてN2の代わりにアルゴン(Ar)を使用することが、結果として得られる堆積膜内のN含量を制御する/減少させるのに有効であることを示している。しかしながら、Arによる実験は、N2とは異なる理由ではあるが、Arもやはり、二次パージガスとしては理想とは言えないことを明らかにしている。なぜならば、操作(iii)における膜形成反応は、通常はプラズマによって活性化され、プラズマによって発生したRF場は、二次パージの最中に処理チャンバ内に存在しているのが一般的であるからである。実験によると、このRF場は、基板表面の付近に、吸着膜前駆体の表面反応を活性化させるために使用されるプラズマである「メインプラズマ」を発生させるのに加えて、チャンバ内の遠隔領域に、「寄生プラズマ」も形成する恐れがある。例えば、図3に示される実施形態で成されるように、膜前駆体及び一次パージガスの両方を分配するためにシャワーヘッドが使用され、このシャワーヘッドの上方/後方から二次パージガスが分配される実装形態では、処理チャンバ内におけるシャワーヘッドの後方/上方の領域に、強い/密な寄生プラズマが発生することがわかっている。この意図せぬプラズマは、非常に明るく、したがって、恐らくは非常に密である/強いことが認められている。更に、シャワーヘッドの上部と、処理チャンバの上壁/天井との間の領域の体積は、相当に大きいので、このプラズマは、体積的にかなり大きいと考えられ、それに結合されて高いプラズマ密度を有するので、基板上における膜形成反応を活性化させるために使用されるメインプラズマから、大量の電力を引き出す恐れがある。
寄生プラズマの形成は、したがって、様々な理由から望ましくないとされる。先ず、寄生プラズマは、メインプラズマから電力を引き出してその密度を落ち込ませる恐れがある「未制御の」電力溜めである。更に、寄生プラズマの密度及びそれによる電力引き出しは、様々な要因に基づいて変動し得るので、(膜形成反応を活性化させる)メインプラズマに対するその影響もまた、変動し得るうえに予測不能であり、したがって、ウエハ間のばらつきを招く大きな要因になる恐れがある。また、寄生プラズマは、結果としてチャンバ壁の表面への堆積を促すかもしれず、このような堆積は、基板上の堆積膜を汚染させる粒子の源として機能する恐れがある。したがって、強い/密な寄生プラズマを伴う操作は、ウエハ間の再現性、ツールのズレ、プロセス粒子の性能、シャワーヘッド構成要素及び/若しくはその他のチャンバ構成要素の腐食の増加に関係した長期的な問題、並びに/又は生産性に関係したその他の問題を招く可能性が高く、したがって、これらの望ましくない結果を防ぐ/最小限に抑えるためには、ALDプロセスにおける寄生プラズマの発生を部分的に又は完全に抑制/排除することが重要な目標になる。
この問題を解決するためのアプローチは、1つには、強いプラズマを容易に(又は全く)形成せずしかも(操作(iii)における)膜形成反応に干渉しない又は膜の中身を不利に変化させない二次パージガスを思慮深く選択することである。このような選択肢の1つが、分子酸素(O2)である。一次パージガスとしてのArとともに二次パージガスとしてO2が使用されるときは、シャワーヘッドの後方で発生する寄生プラズマが、一次パージガス及び二次パージガスの両方としてArを使用するときに発生するだろう寄生プラズマと比べて大幅に弱いことがわかっている。
特定の理論に縛られることなく、O2が使用されるときの寄生プラズマがArの場合と比べて弱いことの理由は、O2をベースにしたプラズマが、Arをベースにしたプラズマと比べて、それを維持するために大幅に高いレベルのRF電力を必要とするからだと考えらえる。これは、恐らくは、酸素-酸素分子結合に関係付けられた解離エネルギが大きいこと、及び酸素原子に関係付けられたイオン化エネルギが高いことに起因する。したがって、O2をベースにしたプラズマは、(Arをベースにしたプラズマなどの)その他のタイプのプラズマと比べて、プラズマを維持するためのRF電力が同じ場合の電子密度が低いことがわかっており、したがって、総じて、「弱プラズマ」と呼ぶことができる。このように、ALDサイクルのプラズマ活性化工程では、シャワーヘッドと基板との間にArプラズマを発生させる/点火するために使用されるRF電力及びシャワーヘッド電圧は、シャワーヘッド上方の空所内にO2プラズマを発生させる/点火するには不十分である、又はたとえもし幾らかの点火があったとしても、そのO2プラズマは非常に弱い(そして明らかに暗い)。好ましい二次パージガスのその他の特性に関しては、Ar/O2混合は、N2と異なり、代表的なプラズマALD表面反応と共存可能であることがわかっているのみならず、O2の存在によって(少なくとも一部の実施形態では)膜の品質が向上することも実際にわかっている。
特定の例について、Arをベースにした寄生プラズマの存在によってメインプラズマからRF電力が引き出される程度、及びO2を二次パージガスとして使用することによって達成され得る改善の程度を詳述して定量化するために、数値的な研究及び実験的な研究が実施されている。
表IIは、一次/バーストパージガス、二次パージガス、及びRF電力レベルの様々な組み合わせからなる5つの異なるプロセス条件集合を挙げている。挙げられた5つのプロセス条件集合のそれぞれにしたがって、1枚のウエハが処理された。表に示されるデータは、左から順に、ワット単位のRF電力レベル、オングストローム(Å)単位の平均堆積膜厚さ(各ウエハの表面上の49個の地点で測定される)、NU%(厚さの不均一性のパーセンテージ)(1標準偏差、やはり、各ウエハの表面上の49個の地点で測定される)、堆積膜厚さの範囲(堆積膜の最も薄い地点と最も厚い地点との間の差)、NU%(R/2)(「半区間不均一性」と呼ばれる統計的測定値であり、1/2×(最大厚さ-最小厚さ)/平均厚さ×100%として定義される)、プロセスステーションごとの堆積サイクル数(4つのプロセスステーションが使用された)、ALDサイクルごとの堆積速度(例えば、1.5408Å/サイクル=349.8Å/(58サイクル×4))、一次/二次パージガスの組み合わせとしてN2/N2を使用した場合と比べた推定電力供給レベル、及び(やはり、N2/N2を使用した場合と比べた)電力損失のパーセンテージである。
Figure 0007194713000002
表IIで用いられる手順は、寄生プラズマの不在下における堆積速度とRF電力レベルとの間の定量的関係を確立し、次いで、寄生プラズマの存在に起因して電力が失われる程度を推定するために二次パージガスとしてAr及びO2を使用して再び堆積速度を測定するものである。したがって、表IIにおける先頭から3つ目までのエントリは、一次パージガス及び二次パージガスの両方としてN2を使用しエントリごとにRF電力レベルを変えて行った実験に対応している。これら3つのデータ点は、次いで、堆積速度(「堆速」)対RF電力レベルのグラフである図4にプロットされ、堆積速度と電力との間の関係を示すために、算出された最良適合線が図に示された。
表IIにおける4番目のエントリは、次いで、一次/バーストパージガス及び二次パージガスの両方としてArが使用される堆積実験の結果を示している。表は、堆積速度が、N2を使用して1600WのRF電力で得られた1.508Å/サイクルから、1600Wにおける1.66Å/サイクルまで増加したことを示している。次いで、図4における関係から、電力損失が、N2を使用した場合のおおよそ47%であることが推定された(堆積速度は、電力レベルに反比例する。図4を参照。)。
最後に、表IIにおける5番目のエントリは、二次パージガスとしてArをO2で置き換えたことによる効果を示している。表は、この実験では、堆積速度が、1600Wにおける1.545Å/サイクルまで戻り、N2を使用した場合の堆積速度にずっと近くなったことを示している。これは、寄生プラズマの存在に起因する電力損失が、N2を使用した場合の僅か11%であったことに相当し、これは、Arを使用する場合と比べて大幅な改善である。
したがって、分子酸素の使用によって、上述された問題が大きく緩和されたことがわかる。要するに、データ及び関連の計算は、寄生プラズマによって消費されるRF電力が、処理ステーションに供給されるRF電力の合計の50%近くになり得るのに対し、O2への置き換えによって、電力損失が、(少なくともこの例では)10%近くまで軽減され得ることを示している。以上の分析を一般化すると、アルゴンの又は操作(iii)における反応活性化プラズマを支援するために使用されるその他の種のイオン化エネルギと比べて大きいイオン化エネルギ及び解離エネルギを有する化学種が、二次パージガスとして優れた候補であり得ることが結論付けられる。もちろん、それらの存在/使用は、膜形成反応と及び(N2の場合ではなくO2の場合の)堆積膜の所望特性と共存可能でもなければならない。表IIIは、以上の点をまとめたものである。
Figure 0007194713000003
原子層堆積技術及び堆積膜の詳細な説明
上述されたように、デバイスの小型化が進むにつれて、並びにICが3Dトランジスタ及びその他の3D構造の利用に移行するにつれて、正確な量(厚さ)の共形膜材料を堆積させる能力の重要性が、益々増している。共形膜材料としては、特に誘電体が挙げられるが、様々なドーパント含有材料も可能である。原子層堆積は、共形膜の堆積を実現する技術の1つであり、通常は、複数の堆積サイクルによって所望の膜厚を実現する。
活性化された気相反応を使用して膜を堆積させる化学気相成長(CVD)プロセスとは対照的に、ALDプロセスは、表面介在性の成膜反応を使用して膜を一層ずつ堆積させる。例えば、ALDプロセスの一種では、第1の膜前駆体(P1)が、気相の形で処理チャンバに導入され、基板に暴露され、基板の表面上(の通常は表面活性部位が集中しているところ)に吸着される。P1の分子の一部は、基板表面上に、P1の化学吸着種及び物理吸着分子を含む凝縮相を形成することができる。次いで、気相及び物理吸着P1を除去して化学吸着種のみを残留させるために、基板表面を取り巻く空間が排気される。次いで、第2の前駆体(P2)が、その分子の一部が基板表面に吸着するように処理チャンバに導入されてよい。処理チャンバ内において基板を取り巻く空間は、今度は未結合P2を除去するために、再び排気されてよい。続いて、基板に提供されるエネルギ(熱エネルギ又はプラズマエネルギ)が、吸着分子P1とP2との間の表面反応を活性化させ、膜層を形成する。最後に、基板を取り巻く空間は、未反応P1及び/若しくはP2、並びに/又は反応副生成物が存在する場合に、除去するために、再び排気され、ALDの1サイクルを終了させる。
多様な化学物質を有する共形膜を堆積させるためのALD技術、及び基本的なALDプロセス手順に対する多くのヴァリエーションが、2011年4月11日に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399号(代理人整理番号第NOVLP405号)、2011年9月23日に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION(プラズマによって活性化される共形誘電体膜堆積)」とする米国特許出願第13/242,084号、すなわち今現在の米国特許第8,637,411号(代理人整理番号第NOVLP427号)、2011年9月1日に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION(プラズマによって活性化される共形誘電体膜堆積)」とする米国特許出願第13/244,240号(代理人整理番号第NOVLP428号)、並びに2012年9月7日に出願され発明の名称を「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(プラズマによって活性化される原子層堆積及び共形膜堆積を通じた共形ドーピング)」とする米国特許出願第13/607,386号(代理人整理番号第NOVLP488号)で詳しく説明されており、これらの各出願は、あらゆる目的のために、その全体を参照によって本明細書に組み込まれる。これらの先行出願に説明されるように、基板上に1枚の材料層を堆積させるための基本的なALDサイクルは、(i)膜前駆体を、それが基板上に吸着制限層を形成するように基板上に吸着させることと、(ii)吸着前駆体を取り巻く空間から未吸着膜前駆体を除去することと、(iii)吸着膜前駆体を反応させて、基板上に膜層を形成させることと、(iv)脱離膜前駆体及び/又は反応副生成物を、基板上に形成された膜層を取り巻く空間から除去することと、を含んでいてよい。操作(ii)及び(iv)における除去は、基板を取り巻く空間をパージする、排気する、ポンプで基準圧力まで下げる(「ポンプで基準圧力にする」)などを通じて成されてよい。なお、この基本のALD手順の操作(i)~(iv)は、必ずしも上述の例にあるような2種類の化学吸着反応種P1及びP2を伴う必要も、ましてや第2の反応種を伴う必要もないことが留意される。ただし、関係する所望の堆積化学物質次第では、これらの可能性/選択肢が採用されることがある。
しかしながら、ALDの吸着制限特性ゆえに、ALDの1サイクルは、薄い材料膜を1枚堆積させるに過ぎず、多くの場合、単一の分子材料層のみである。例えば、膜前駆体注入操作の暴露時間及び(基板表面に対する)膜前駆体の粘着係数次第では、各ALDサイクルで堆積される膜層は、僅か約0.5~3オングストロームの厚さになるかもしれない。したがって、代表的なALDサイクルにおける操作手順、すなわちまさに今説明された操作(i)~(iv)は、所望の厚さの共形膜を形成するために、複数回にわたって繰り返されるのが一般的である。したがって、一部の実施形態では、操作(i)~(iv)は、少なくとも1回、又は少なくとも2回、又は少なくとも3回、又は少なくとも5回、又は少なくとも7回、又は少なくとも10回にわたって立て続けに連続して繰り返される。ALD膜は、ALDサイクルごとにおおよそ0.1Å以上で2.5Å以下の、又はALDサイクルごとにおおよそ0.2Å以上で2.0Å以下の、又はALDサイクルごとにおおよそ0.3Å以上で1.8Å以下の、又はALDサイクルごとにおおよそ0.5Å以上で1.5Å以下の、又はALDサイクルごとにおおよそ0.1Å以上で1.5Å以下の、又はALDサイクルごとにおおよそ0.2Å以上で1.0Å以下の、又はALDサイクルごとにおおよそ0.3Å以上で1.0Å以下の、又はALDサイクルごとにおおよそ0.5Å以上で1.0Å以下の堆積速度で堆積されてよい。
一部の膜形成化学物質の場合、「膜前駆体」と呼ばれるものに加えて補助反応物又は副反応物が用いられてもよい。このような特定の実施形態では、補助反応物又は副反応物は、工程(i)~(iv)が繰り返される間に、工程(i)~(iv)の一部又は全部において継続的に流されてよい。このその他の反応性化学種(補助反応物や副反応物など)は、一部の実施形態では、(前駆体P1及びP2を伴う上述の例にあるように、)膜前駆体との反応に先立って膜前駆体とともに基板表面上に吸着されてよく、ただし、その他の実施形態では、それ自体が基板の表面上に事前に吸着されることなく吸着膜前駆体とその接触時に反応することができる。また、一部の実施形態では、吸着膜前駆体を反応させる操作(iii)は、吸着膜前駆体をプラズマに接触させることを伴ってよい。プラズマは、基板表面上における膜形成反応を駆り立てるためのエネルギを提供することができる。このような特定の実施形態では、プラズマは、適切なRF電極の印加によって反応チャンバ内において生成される(ただし、実施形態によっては遠隔的に生成されることもある)酸化プラズマであってよい。その他の実施形態では、酸化プラズマの代わりに不活性プラズマが使用されてよい。酸化プラズマは、O2、N2O、又はCO2などの1種以上の酸化剤で形成されてよく、随意として、Ar、N2、又はHeなどの1種以上の希釈剤を含んでいてよい。一実施形態では、酸化プラズマは、O2及びArで形成される。適切な不活性プラズマは、He又はArなどの1種以上の不活性ガスで形成されてよい。ALDプロセスに対する更なるヴァリエーションは、まさに上記で引用された(参照によって組み込まれた)先行特許出願で詳しく説明されている。
したがって、図5のフローチャートによって、ALDプロセスを通じて基板上に膜材料の層を形成するための基本的な操作手順が図解される。図に示されるように、基板上に1枚の膜層を形成するためのALDプロセスは、膜前駆体をそれが基板上に吸着制限層を形成するように基板上に吸着させる操作511から開始してよく、次いで、吸着前駆体を取り巻く空間から未吸着膜前駆体の少なくとも一部を除去する操作512が続いてよい。その後、操作513において、吸着膜前駆体は、反応されて基板上に膜層を形成する。最後に、(図5において破線で引かれたボックスによって示されるように、)一部の実施形態では、膜形成反応の化学物質次第では、操作513における吸着前駆体の反応後に脱離膜前駆体及び/又は反応副生成物が存在するときに、操作513の後に、膜層を取り巻く空間からそれらの脱離膜前駆体及び/又は反応副生成物を除去するための操作514が続くことができる。
以上の一連の操作511~514は、結果として1枚の膜層を形成させる1つのALDサイクルを表している。しかしながら、ALDを通じて形成される1枚の膜層は、非常に薄いのが一般的であり、多くの場合、単分子の厚さに過ぎないので、十分な厚さの膜を構築するために、複数のALDサイクルが順次繰り返される。したがって、再び図5に言及し、例えばN層の膜(又は等しくは、N枚の膜層と言うかもしれない)の堆積が所望されるならば、複数のALDサイクル(操作511~514)が順次繰り返され、各ALDサイクルが操作514によって締めくくられた後は、操作515において、NサイクルのALDが実施されたか否かが判定される。N回サイクルが実施されると、膜形成操作は終結し、反対に、まだ実施されていないならば、プロセス手順は、別のALDサイクルを開始させるために操作511に戻る。
一部の実施形態では、多層堆積膜は、たとえば、1種類の組成を有する複数の層を共形的に順次堆積させ、次いで、別の種類の組成を有する複数の層を共形的に順次堆積させ、次いで、これら2つの手順が交替で繰り返され得ることによって形成された、交互に組成が異なる複数の領域/部分を含んでいてよい。堆積ALD膜が有するこれらの特性の一部が、例えば、2012年9月7日に出願され発明の名称を「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(プラズマによって活性化される原子層堆積及び共形膜堆積を通じた共形ドーピング)」とする米国特許出願第13/607,386号(代理人整理番号第NOVLP488号)で説明されており、この出願は、あらゆる目的のために、その全体を参照によって本明細書に組み込まれる。下方の対象IC構造又は基板領域をドーピングするために使用される膜などの、交互に組成が異なる部分を有する共形膜の更なる例、並びにこれらの膜を形成する方法が、2011年4月11年に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399号(代理人整理番号第NOVLP405号)、2011年9月23日に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION(プラズマによって活性化される共形誘電体膜堆積)」とする米国特許出願第13/242,084号、すなわち今現在の米国特許第8,637,411号(代理人整理番号第NOVLP427号)、2011年9月1日に出願され発明の名称を「PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION(プラズマによって活性化される共形誘電体膜堆積)」とする米国特許出願13/244,240号(代理人整理番号NOVLP428号)、2012年9月7日に出願され発明の名称を「CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(プラズマによって活性化される原子層堆積及び共形膜堆積を通じた共形ドーピング)」とする米国特許出願第13/607,386号(代理人整理番号第NOVLP488号)、並びに2014年2月28日に出願され発明の名称を「CAPPED ALD FILMS FOR FORMING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS(三次元IDトランジスタのフィン状チャネルを形成するためのキャップALD膜)」とする米国特許出願第14/194,549号で詳細に説明されており、これらの各出願は、あらゆる目的のために、その全体を参照によって本明細書に組み込まれる。
言及された上記の明細書で詳述されるように、ALDプロセスは、多くの場合、共形のシリコン酸化物膜(SiO)を堆積させるために使用されるが、組み込まれた上記の明細書でも開示されているように、その他の化学物質の共形誘電体膜を堆積させるために使用されてもよい。ALDによって形成された誘電体膜は、一部の実施形態では、シリコン炭化物(SiC)材料、シリコン窒化物(SiN)材料、シリコン炭窒化物(SiCN)材料、又はそれらの組み合わせを含んでいてよい。一部の実施形態のALDによって形成される膜には、シリコン-炭素-酸化物、シリコン-炭素-酸窒化物、及びシリコン-炭素-窒化物が形成されてもよい。これらのタイプの膜を堆積させるための方法、技術、及び操作は、2012年6月12日に出願され発明の名称を「REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS(SiOCクラスの膜の遠隔プラズマベース堆積)」とする米国特許出願第13/494,836号(代理人整理番号第NOVLP466号/第NVLS003722号、2013年5月31日に出願され発明の名称を「METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES(所望の組成及び膜特性を有するSiCクラスの膜を得るための方法)」とする米国特許出願第13/907,699号(代理人整理番号第LAMRP046/3149号、発明の名称を「GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS(シリコン・炭素含有膜の化学気相成長のための基底状態水素ラジカル源)」とする米国特許出願第14/062,648号、並びに2014年2月28日に出願され発明の名称を「CAPPED ALD FILMS FOR FORMING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS(三次元ICトランジスタのフィン状チャネルを形成するためのキャップALD膜」とする米国特許出願第14/194,549号で詳しく説明されており、これらの各出願は、あらゆる目的のために、その全体を参照によって本明細書に組み込まれる。
ALDを通じた膜堆積のその他の例は、上記され、参照によって組み込まれた特許出願(米国特許出願第13/084,399号、第13/242,084号、第13/224,240号、及び第14/194,549号)で説明されるような、ドーパント含有膜を堆積させるための化学物質を含む。これらの出願で説明されるように、ホウ素ドープケイ酸塩ガラス(BSG)の膜、リンドープケイ酸塩ガラス(PSG)の膜、ホウ素・リンドープケイ酸塩ガラス(BPSG)の膜、ヒ素(As)ドープケイ酸塩ガラス(ASG)の膜などの、ドーパント含有膜を形成するために、様々なドーパント含有膜前駆体が使用されてよい。ドーパント含有膜としては、B23、B2O、P25、P23、As23、As25などが挙げられる。したがって、ホウ素ではないドーパントを有するドーパント含有膜もあり得る。例として、ガリウム系ドーパント、リン系ドーパント、若しくはヒ素系ドーパント、又はその他の三価元素及び五価元素などの半導体基板のドーピングに適したその他の元素が挙げられる。
ALDプロセス条件に関しては、ALDプロセスは、様々な温度で実施されてよい。一部の実施形態では、ALD反応チャンバ内における適切な温度は、約25℃から450℃、又は約50℃から300℃、又は約20℃から400℃、又は約200℃から400℃、又は約100℃から350℃の幅があってよい。
同様に、ALDプロセスは、様々なALD反応チャンバ圧で実施されてよい。一部の実施形態では、反応チャンバ内における適切な圧力は、約10ミリトールから10トール、又は約20ミリトールから8トール、又は約50ミリトールから5トール、又は約100ミリトールから2トールの幅があってよい。
操作(iii)においてプラズマが使用される場合、プラズマを発生させるために様々なRF電力レベルが用いられてよい。一部の実施形態では、適切なRF電力は、約100Wから10kW、又は約200Wから6kW、又は約500Wから3kW、又は約1kWから2kWの幅があってよい。
操作(i)では、様々な膜前駆体流量が用いられてよい。一部の実施形態では、適切な流量は、約0.1mL/分以上で10mL/分以下、又は約0.5mL/分以上で5mL/分以下、又は約1mL/分以上で3mL/分以下の幅があってよい。
各種の操作において、様々なガス流量が使用されてよい。一部の実施形態では、全体のガス流量は、約1mL/分以上で20mL/分以下、又は約2mL/分以上で10mL/分以下の幅があってよい。操作(ii)及び操作(iv)における随意の不活性パージ工程の場合、用いられるバースト流量は、約20mL/分以上で100mL/分以下、又は約40mL/分以上で60mL/分以下の幅があってよい。
再度述べるが、一部の実施形態では、ポンプで基準圧力にする工程は、反応チャンバに1つ以上の真空ポンプを直接使用することによって反応チャンバをポンプで基準圧力まで下げることを言う。一部の実施形態では、基準圧力は、通常は、僅か数ミリトール(例えば約1ミリトールから20ミリトールの間)であってよい。更に、上記のように、ポンプで基準圧力にする工程は、不活性パージを伴っても又は伴わなくてもよく、したがって、真空ポンプに通じる経路が1つ以上の弁によって開かれるときに、キャリアガスが流れても流れなくてもよい。
また、再度述べるが、共形層の積層体を構築するために、複数のALDサイクルが繰り返されてよい。一部の実施形態では、各層が、実質的に同じ組成を有してよく、その他の実施形態では、ALDによって順次堆積された層が、異なる組成を有してよい、又はそのような特定の実施形態では、上述のように、組成が層ごとに交互に異なってよい、若しくは異なる組成を有する一連の層が順繰りに繰り返されてよい。したがって、これらの膜中のホウ素、リン、又はヒ素の濃度を調整するために、実施形態に応じて、上で挙げられ参照によって組み込まれた特許出願(米国特許出願第13/084,399号、第13/242,084号、及び第13/224,240号)で開示されるような特定の積層工学概念が使用されてよい。
基板処理装置の詳細な説明
本明細書で説明される方法は、任意の適切な半導体基板処理装置によって実施されてよい。適切な装置は、処理操作を実現するためのハードウェアと、本明細書で開示される様々なチャネルドーピング手法にしたがって処理操作を制御するための命令を有するシステムコントローラとを含む。一部の実施形態では、ハードウェアは、マルチステーション式基板処理ツールに含まれる1つ以上のプロセスステーションと、本明細書で開示される処理技術にしたがって処理操作を制御するための機械読み取り可能命令を有する(又は機械読み取り可能命令へのアクセスを有する)コントローラとを含んでいてよい。
したがって、一部の実施形態では、複数の半導体基板上に材料の膜を堆積させるのに適した装置は、処理チャンバに収容され、それぞれが基板ホルダを有する1つ以上のプロセスステーションからなる第1群のプロセスステーションと、処理チャンバに収容され、それぞれが基板ホルダを有する1つ以上のプロセスステーションからなる第2群のプロセスステーションと、プロセスステーションへの膜前駆体の流れを制御するための1つ以上の弁と、処理チャンバに収容されたプロセスステーションを取り巻く空間から膜前駆体を除去するための1つ以上の弁作動式真空源と、を含んでいてよい。そして、このような装置は、基板上に膜の材料を堆積させるために基板搭載機器、基板移送機器、1つ以上の弁、及び真空源を操作するための機械読み取り可能な命令を有する(又は機械読み取り可能な命令へのアクセスを有する)コントローラも含んでいてよい。
したがって、一部の実施形態では、コントローラによって実行される上記命令は、処理チャンバに収容された複数のプロセスステーションにある複数の基板上に膜を形成するための命令を含んでいてよく、各基板上には、一連のALDサイクルによって複数の膜層が形成される。したがって、このような特定の実施形態では、コントローラによって実行される上記命令は、基板処理装置の複数のプロセスステーションにおいて複数の基板上に複数の膜層を形成するために、上述のようなALD操作(i)~(iv)を実施するための命令と、ALD操作(i)~(iv)を複数回にわたって繰り返すための命令とを含んでいてよい。
したがって、図1は、基板処理装置100の一実施形態を図示している。簡単のために、処理装置100は、低圧環境を維持するための処理チャンバ102を有する独立型のプロセスステーションとして描かれている。しかしながら、本明細書で説明されるように、複数のプロセスステーションが、例えば共通の反応チャンバなどの共通の処理ツール環境内に含まれてよいことがわかる。例えば、図2は、マルチステーション式処理ツールの一実施形態を描いている。更に、一部の実施形態では、上で詳細に論じられたものを含む処理装置100の1つ以上のハードウェアパラメータが、1つ以上のシステムコントローラによってプログラムで調整されてよいことがわかる。
処理装置100は、プロセスガスを分配シャワーヘッド106に供給するための反応物供給システム101と流体連通している。流体反応物供給システム101は、シャワーヘッド106への供給のためにプロセスガスを混ぜ合わせる及び/又は調節するための混合容器104を含む。1つ以上の混合容器入口弁120が、混合容器104へのプロセスガスの導入を制御してよい。
一部の反応物は、気化及びそれに続く処理チャンバ102への供給に先立って、液体状態で貯蔵されてよい。図1の実施形態は、混合容器104に供給される液体反応物を気化するための気化地点103を含む。一部の実施形態では、気化地点103は、加熱された液体注入モジュールであってよい。一部の実施形態では、気化地点103は、加熱された気化器であってよい。このようなモジュール/気化器から生成された飽和反応物蒸気は、適切な制御が成されないと(例えば、液体反応物を気化/霧化させるためにヘリウムが使用されないと)、下流の配送管内において凝結する恐れがある。凝結反応物に不適合性のガスが触れると、小粒子が形成されることがある。これらの小粒子は、管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする恐れがある。これらの問題に対処する一部のアプローチは、残留反応物を除去するために配送管を清掃する及び/又は排気することを伴う。しかしながら、配送管の清掃は、プロセスステーションのサイクル時間を長引かせ、プロセスステーションのスループットを低下させる恐れがある。したがって、一部の実施形態では、気化地点103の下流の配送管が熱処理されてよい。一部の例では、混合容器104も熱処理されてよい。非限定的な一例では、気化地点103の下流の管は、おおよそ100℃から混合容器104におけるおおよそ150℃に向けて上昇する温度分布を有する。
上記のように、一部の実施形態では、気化地点103は、加熱された液体注入モジュール(略して「液体注入器」)であってよい。このような液体注入器は、混合容器の上流のキャリアガス流に液体反応物を一定間隔で注入することができる。或る状況では、液体注入器は、液体を高圧から低圧へ勢いよく流すことによって反応物を気化させてよい。別の状況では、液体注入器は、液体を霧化して分散微滴にしてよく、これらの微滴は、引き続き、加熱された配送管内において気化される。なお、液滴は、小さいほど早く気化されて、液体注入と完全気化との間の遅延を短縮し得るということがわかる。気化が速いほど、気化地点803よりも下流の管の長さを短くすることが可能になる。ある状況では、液体注入器は、混合容器104に直接取り付けられてよい。別の状況では、液体注入器は、シャワーヘッド106に直接取り付けられてよい。
一部の実施形態では、液体の気化及び処理チャンバ102への供給のために液体の質量流量を制御するために、気化地点103の上流に、液体流量コントローラ(LFC)が提供されてよい。例えば、LFCは、その下流に熱質量流量計(MFM)を位置付けられていてよい。したがって、MFMと電気的に通信する比例・積分・微分(PID)コントローラによって提供されるフィードバック制御信号を受けて、LFCのプランジャ弁が調整されてよい。しかしながら、フィードバック制御を使用して液体の流れを安定化させるには、1秒以上の時間がかかるだろう。これは、液体反応物を投入するための時間を長引かせる恐れがある。したがって、一部の実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。一部の実施形態では、LFCは、LFCの感知管及びPIDコントローラを使用停止にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
シャワーヘッド106は、プロセスステーションにある基板112に向かってプロセスガス及び/又は反応物(例えば膜前駆体)を分配し、その流れは、シャワーヘッドよりも上流の1つ以上の弁(例えば弁120、120A、105)によって制御される。図1に示された実施形態では、基板112は、シャワーヘッド106の下に位置付けられ、台座108上に座した状態で示されている。なお、シャワーヘッド106は、任意の適切な形状であってよいこと、並びにプロセスガスを基板112に分配するための任意の適切な数及び配置のポートを有していてよいことがわかる。
一部の実施形態では、シャワーヘッド106の下に、小空間107が位置付けられる。処理チャンバの全体積内ではなく、プロセスステーション内の基板近くの小空間内でALDプロセスを実施することによって、反応物の暴露時間及び掃引時間の短縮、プロセス条件(例えば圧力や温度など)を変更するための時間の短縮、プロセスガスに対するプロセスステーションロボット機構の暴露の制限などがもたらされる。小空間の大きさの非限定的な例として、0.1リットルから2リットルの間の体積が挙げられる。
一部の実施形態では、基板112を小空間107に暴露するために及び/又は小空間107の体積を変化させるために、台座108を上昇又は下降させてよい。例えば、基板移送の段階では、基板112が台座108に搭載されることを可能にするために、台座108を下降させてよい。基板への堆積のプロセス段階では、基板112を小空間107内において位置決めするために、台座108を上昇させてよい。一部の実施形態では、堆積プロセス中に高流量インピーダンスの領域を形成するために、小空間107によって基板112はもちろん台座108の一部も完全に囲われてよい。
随意として、小空間107内における処理圧力や反応物濃度などを調節するために、堆積プロセスの途中で台座108を下降及び/又は上昇させてよい。処理中に処理チャンバ102が基準圧力にとどまる状況では、台座108の下降によって、小空間107の排気を可能にすることができる。小空間対処理チャンバの体積比の非限定的な例として、1:500~1:10の体積比が挙げられる。なお、一部の実施形態では、台座高さが、適切なシステムコントローラによってプログラムで調整されてよいことがわかる。
別の状況では、台座108高さの調整によって、ALDプロセス又はCVDプロセスに含まれるプラズマ活性化サイクル及び/又はプラズマ処理サイクル中におけるプラズマ密度の変更が可能にされてよい。堆積プロセス段階の終わりには、台座108から基板112が取り除かれることを可能にするために、別の基板移送段階中に台座108を下降させてよい。
本明細書で説明される小空間の変更例では、高さ調整可能な台座に言及しているが、実施形態によっては、小空間107の体積を変化させるために、シャワーヘッド106の位置が台座108に相対的に調整されてよいことがわかる。更に、台座108及び/又はシャワーヘッド106の垂直位置は、本開示の範囲内における任意の適切なメカニズムによって変更されてよいことがわかる。一部の実施形態では、台座108は、基板112の向きを回転させるための回転軸を含んでいてよい。一部の実施形態では、これらの調整例の1つ以上が、以上の操作の全部又は一部を実施するための機械読み取り可能命令を有する1つ以上の適切なシステムコントローラによってプログラムで実施されてよいことがわかる。
図1に示された実施形態に戻り、シャワーヘッド106及び台座108は、プラズマに電力供給するために、RF電力供給源114及び整合回路網116と電気的に通信する。一部の実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、及びプラズマ電力パルスタイミングのうちの1つ以上を制御することによって、(例えば適切な機械読み取り可能命令を有するシステムコントローラによって)制御されてよい。例えば、RF電力供給部114及び整合回路網116は、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切な電力で操作されてよい。適切な電力の例は、上で挙げられている。同様に、RF電力供給部114は、任意の適切な周波数のRF電力を提供してよい。一部の実施形態では、RF電力供給部114は、高周波数RF電源及び低周波数RF電源を互いに独立に制御するように構成されてよい。低周波数RF周波数の非限定的な例として、50kHzから500kHzの間の周波数が挙げられる。高周波数RF周波数の非限定的な例として、1.8MHzから2.45GHzの間の周波数が挙げられる。表面反応のためのプラズマエネルギを提供するために、任意の適切なパラメータが離散的に又は連続的に調節されてよいことがわかる。非限定的な一例では、プラズマ電力は、継続的に電力供給されるプラズマと比べて基板表面に対するイオン衝撃を減らすために、間欠的にパルス状に提供されてよい。
一部の実施形態では、プラズマは、1つ以上のプラズマモニタによってin-situ(その場)で監視されてよい。一状況では、1つ以上の電圧・電流センサ(例えばVIプローブ)によって、プラズマ電力が監視されてよい。別の状況では、1つ以上の発光分析(OES)センサによって、プラズマ密度及び/又はプロセスガス濃度が測定されてよい。一部の実施形態では、このようなin-situプラズマモニタからの測定結果に基づいて、1つ以上のプラズマパラメータがプログラムで調整されてよい。例えば、プラズマ電力のプログラム制御を提供するためのフィードバックループのなかで、OESセンサが使用されてよい。なお、一部の実施形態では、プラズマ及びその他の処理特性を監視するために、その他のモニタが使用されてよいことがわかる。このようなモニタの非限定的な例として、赤外線(IR)モニタ、音響モニタ、及び圧力変換器が挙げられる。
一部の実施形態では、プラズマは、入出力制御(IOC)シークエンシング命令を通じて制御されてよい。一例では、プラズマ活性化段階のためのプラズマ条件を設定するための命令が、プロセスレシピにおける対応するプラズマ活性化レシピ段階に含められてよい。場合によっては、プロセスレシピにおける段階は、或るプロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、順番に並べられてよい。一部の実施形態では、1つ以上のプラズマパラメータを設定するための命令が、プラズマプロセス段階の前に来るレシピ段階に含められてよい。例えば、第1のレシピ段階は、不活性(例えばヘリウム)及び/又は反応物ガスの流量を設定するための命令と、プラズマ発生器を電力設定値に設定するための命令と、第1のレシピ段階のための時間遅延命令とを含んでいてよい。続く第2のレシピ段階は、プラズマ発生器を有効にするための命令と、第2のレシピ段階のための時間遅延命令とを含んでいてよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。なお、これらのレシピ段階は、本開示の範囲内において任意の適切なやり方で更に細分及び/又は反復されてよいことがわかる。
一部の堆積プロセスでは、プラズマの打ち出しが、おおよそ数秒以上の長さで持続する。本明細書で説明される特定の実装形態では、処理サイクル中に、更にずっと短い期間のプラズマ打ち出しが適用されてよい。これらは、おおよそ50ミリ秒から1秒であってよく、一具体例は、0.25秒である。このような短期間のRFプラズマ打ち出しでは、プラズマの迅速な安定化が必要とされる。これを実現するために、プラズマ発生器は、インピーダンス整合が特定の電圧に事前設定されるのに対して周波数は変動可能であるように構成されてよい。従来、高周波数プラズマは、約13.56MHzのRF周波数で発生する。本明細書で開示される様々な実施形態では、周波数は、この標準値とは異なる値に変動可能である。インピーダンス整合を所定の電圧に固定しつつ周波数を変動可能にすることによって、プラズマは、更にずっと迅速に安定化することが可能になり、このような結果は、ALDサイクルに関係付けられた非常に短期間のプラズマ打ち出しを使用する場合に重要になるだろう。
一部の実施形態では、台座108は、ヒータ110を通じて温度を制御されてよい。更に、一部の実施形態では、バタフライ弁118などの1つ以上の弁作動式真空源によって、処理装置100のための圧力制御が提供されてよい。図1の実施形態に示されるように、バタフライ弁118は、下流の真空ポンプ(不図示)によって提供される真空を絞り調整する。しかしながら、一部の実施形態では、処理装置100の圧力制御は、処理チャンバ102に導入される1種以上のガスの流量を変化させることによって調整されてもよい。一部の実施形態では、バタフライ弁118などの1つ以上の弁作動式真空源は、適切なALD操作段階中に、プロセスステーションを取り巻く空間から膜前駆体を除去するために使用されてよい。
上述のように、マルチステーション式基板処理ツールには、1つ以上のプロセスステーションが含まれていてよい。図2は、共通の低圧処理チャンバ214内に複数のプロセスステーション201、202、203、204を含むマルチステーション式処理ツール200を図解している。各ステーションを低圧環境内に維持することによって、膜堆積プロセス間における真空破壊によって引き起こされる欠陥を回避することができる。
図2に示されるように、マルチステーション式処理ツール200は、基板搭載ポート220と、ポッド228を通じて搭載されたカセットから基板搭載ポート220を通して基板を処理チャンバ214内へ移動させて最終的にプロセスステーションに載せるように構成された基板取扱ロボット226とを有する。具体的には、この場合、基板取扱ロボット226は、プロセスステーション201及び202に基板を搭載し、すると、この場合はカルーセル290である基板移送機器が、様々なプロセスステーション201、202、203、及び204の間で基板を移送する。図2に示された実施形態では、基板搭載機器は、基板操作のためのアームを2本有する基板取扱ロボット226として描かれており、したがって、図に示されるように、ロボット226は、ステーション201及び202の両方に基板を搭載することができる(同時かもしれないし、又は順次かもしれない)。ステーション201及び202への搭載後、基板移送機器、すなわち図2に示されたカルーセル290は、次いで、それら2枚の基板をプロセスステーション201及び202からプロセスステーション203及び204に移送するために、(基板の面に実質的に垂直な(ページから突き出している)その中心軸を中心として且つ基板間を実質的に等間隔として)180度の回転を行うことができる。この時点で、取扱ロボット226は、新しい2枚の基板をステーション201及び202に搭載し、搭載プロセスを完了させることができる。取り出しのためには、これらの工程を逆行させればよく、ただし、4枚のウエハからなるウエハ群が複数処理される場合には、取扱ロボット226によって2枚の基板を取り出すたびに、移送カルーセル290の180度回転前に新しい2枚の基板を搭載することができる。同様に、例えば201などの一ステーションのみに基板を載置するように構成された1本アームの取扱ロボットは、カルーセル290を90度ずつ4回回転させて4つ全てのステーションに基板を搭載する4工程の搭載プロセスで使用されると考えられる。
図2に描かれた処理チャンバ214は、4つのプロセスステーション201、202、203、及び204を提供する。各ステーションは、加熱された台座(例えば、図3中の台座108参照)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なる又は複数の目的を有していてよいことがわかる。例えば、一部の実施形態では、プロセスステーションは、ALD処理モードとCVD処理モードとの間で切り替え可能であってよい。加えて又は或いは、一部の実施形態では、処理チャンバ214は、1つ以上のALD/CVDプロセスステーションペアを含んでいてよい。図に描かれた処理チャンバ214は、4つのプロセスステーションを備えているが、本開示にしたがった処理チャンバは、任意の適切な数のステーションを有していてよいことが理解される。例えば、一部の実施形態では、処理チャンバは、1、若しくは2、若しくは3、若しくは4、若しくは5、若しくは6、若しくは7、若しくは8、若しくは9、若しくは10、若しくは11、若しくは12、若しくは13、若しくは14、若しくは15、若しくは16、若しくは更に多い数のプロセスステーションを有していてよい(、又は2~6のプロセスステーションを反応チャンバごとに、若しくは4~8のプロセスステーションを反応チャンバごとに、若しくは8~16のプロセスステーションを反応チャンバごとに有するなどのように、上記の任意の2つの値によって定められる範囲内の数のプロセスステーションを反応チャンバごとに有するものとして、一連の実施形態が説明されてよい)。
上記のように、図2は、処理チャンバ214内においてプロセスステーション201、202、203、及び204間で基板を移送するための基板移送機器290の一実施形態を示している。任意の適切な基板移送機器が用いられてよいことがわかる。非限定的な例として、ウエハカルーセル及び基板取扱ロボットが挙げられる。
シャワーヘッド及びシャワーヘッド環の詳細な説明
ALDプロセスにおいて、膜前駆体は、反応チャンバ内に存在する状態と、反応チャンバ内から排出された状態とを交互に繰り返す必要がある。寄生堆積を防ぐために、処理チャンバ内の余分な前駆体は、次の前駆体の導入前に処理チャンバ及び共通の前駆体通路(シャワーヘッドの柄部分など)から除去される。余分な前駆体の除去は、通例、供給通路及びチャンバを不活性ガスでパージすることによって成される。しかしながら、シャンデリア型のシャワーヘッドが使用される場合は、シャワーヘッドの後方に捕らわれた余分な前駆体を、シャワーヘッドからのパージガスで効果的に除去することができない。したがって、前駆体は、シャワーヘッドの裏側と、上板と、処理チャンバの壁とに、大量の寄生堆積を生じさせる恐れがある。このデッドスペースを固体の誘電体で満たすアプローチは、接地へのRF結合を引き起こす可能性が高いゆえに、不可能である。したがって、上述のように、このような寄生堆積を防ぐためには、シャワーヘッドの裏側から導入される二次パージガスが用いられてよい。このような二次パージを実現するためのハードウェアが、以下で詳しく説明される。
図6を見ると、シャワーヘッド670を有する処理チャンバ660を含む基板処理システム650の一例が示されている。シャワーヘッド670は、柄部分672と、ヘッド部分674とを含む。ヘッド部分674は、内部空洞675を形成している。前駆体又はパージガスなどの流体が、柄部分672を通って流れ、分散板676に達し、内部空洞675に入る。流体は、次いで、ヘッド部分674の底面の開口部/離散穴678を通り抜けて処理チャンバに入る。
シャワーヘッド670の柄部分672は、シャワーヘッド環680によって処理チャンバ660の上壁に接続される。シャワーヘッド環680は、全体として「T」字形の断面を有し、ヘッド部分681と、柄部分683とを含む。シャワーヘッド環680は、シャワーヘッド670の柄部分672を収容する円筒状の内部空洞684を形成している。柄部分683には、二次パージガスが内部空洞684から柄部分683の外表面へ流れることを可能にするための複数のスロット状の開口部686が形成されている。図6におけるスロット状の開口部の向き、及び図3に示された二次パージガスの流線320から明らかであるように、二次パージガスは、基板の面に実質的に平行な方向に流れて処理チャンバに入ることができる(ただし、図3に示されるように、流れの方向は、チャンバ壁の付近で変化する)。
シャワーヘッド環680のヘッド部分681の縁には、流体コネクタ690が接続されてよく、パージガスなどの流体を供給するために使用される。流体コネクタ690は、総じて692で示される1本以上の導管及び/又コネクタを含む。シャワーヘッド環680のヘッド部分681も、同様に、シャワーヘッド環680の内部空洞684へ流体の流れを向かわせるための、総じて693で示される導管及び/又はコネクタを含む。
シャワーヘッド670のヘッド部分674と、シャワーヘッド環680との間には、板700が配される。板700は、上面704と、中心合わせ開口すなわち孔710と、底面714とを含む。一部の例では、板700は、セラミックで作成される。板700の厚さは、大地への材料又は容量の結合、すなわち寄生プラズマを最小限に抑えるように選択することができる。板700の上面704は、シャワーヘッド環680の底縁から、両者の間を流体が通ることを可能にするために間隔を空けられている。中心合わせ孔710も、柄部分672から、両者の間を流体が通ることを可能にするために間隔を空けられている。板の底面714は、シャワーヘッド670の上面から、両者の間を流体が流れることを可能にするために間隔を空けられている。一部の例では、板700は、省略されてよく、処理チャンバは、板700無しで操作されてよい。
シャワーヘッド環を通って二次パージガスが流れることによって、空洞内の領域への堆積プロセス化学物質の進入が阻まれ、そこでの望ましくない膜堆積が阻止される。スロット及びその他の隙間の寸法は、そこでのプラズマ点火を阻止するように、及び所望のガス流量に対してペクレ条件が満たされて逆拡散が阻止されることを可能にするように選択することができる。
次に、図7を見ると、シャワーヘッド環680の一例が示されている。シャワーヘッド環680は、ヘッド部分681と、柄部分683とを含む。スロット686は、弧状であってよく、柄部分683の周囲に配されてよい。スロット686は、内部空洞684からスロット686を経て流体が流れることを可能にする。ヘッド部分681は、流体コネクタ690上の対応する嵌め合い部分と嵌り合う嵌め合い部分718を含んでいてよい。接続されたときに、シャワーヘッド環680の導管693は、流体コネクタ690の導管692と位置が揃う。
次に、図8を見ると、シャワーヘッド環680の流体コネクタ690の一例が示されている。流体コネクタ690は、第2の嵌め合い部分720と、導管730と、コネクタ732と、導管734と、コネクタ736とを含むものとして示されているが、その他の構成の流体コネクタも考えられる。
次に、図9A及び図9Bを見ると、板700の例が示されている。図9Aにおいて、板700の上面704は、全体として円形の断面と、板700の中心に配された中心合わせ孔710とを有するものとして示されている。中心合わせ孔710は、中心合わせ孔710から半径方向内向きに突き出した1つ以上の突出740を含む。突出740は、板700と柄部分672との間を均一な間隔にする。図9Bには、板700の底面714が、処理チャンバの上部に対して下向きに突き出した突出744を含むものとして示されている。突出744は、板700の底面714と、シャワーヘッド670のヘッド部分674の上面との間を均一な間隔にする。また、RF分離/抑制機器が、シャワーヘッド後方の空洞内の電場を低減させてもよく、これは、シャワーヘッド後方の領域に寄生プラズマが発生する機会又は程度を更に抑えるのにも有用だろう。例えば、突出740及び744は、例えば用いられる間隔がおおよそ3mm以下である場合には、寄生プラズマの発生を抑えるのに十分に狭い間隔を提供することができる。このような間隔は、代表的なプロセス条件下では、プラズマシースとともにプラズマが形成するには不十分な間隔(プラズマシース2枚分の長さ未満)になる。プラズマの形成は、プラズマ密度、プラズマ電子温度、及びシースにかかる電圧によって影響されるだろう。もちろん、詳しく上述されたように、二次パージガスとしてのO2の使用も、寄生プラズマの発生を阻止する/最小限に抑えるための有効な技術として機能する。
システムコントローラ
図2は、処理ツール200及びそのプロセスステーションのプロセス条件とハードウェア状態とを制御するために用いられるシステムコントローラ250の一実施形態も示している。システムコントローラ250は、1つ以上のストレージデバイス256と、1つ以上のマスストレージデバイス254と、1つ以上のプロセッサ252とを含んでいてよい。プロセッサ252としては、1つ以上のCPU、ASIC、汎用コンピュータ及び/又は専用コンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などが挙げられる。
一部の実施形態では、システムコントローラ250は、処理ツール200のその個々のプロセスステーションの操作を含む操作の一部又は全部を制御する。システムコントローラ250は、プロセッサ252上で機械読み取り可能システム制御命令258を実行してよく、これらのシステム制御命令258は、一部の実施形態では、マスストレージデバイス254からストレージデバイス256に取り込まれる。システム制御命令258は、タイミング、ガス状反応物と液状反応物との混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハの温度、目標電力レベル、RF電力レベル、RF暴露時間、基板台座、チャック、及び/又はサセプタの位置、並びに処理ツール200によって実施される特定のプロセスのその他のパラメータを制御するための命令を含んでいてよい。これらのプロセスは、基板への膜の堆積に関係するプロセスなどを非限定例として含む様々なタイプのプロセスを含んでいてよい。システム制御命令258は、任意の適切な形で構成されてよい。例えば、様々な処理ツールプロセスを実行に移すために必要とされる処理ツール構成要素の操作を制御するための、様々な処理ツール構成要素サブルーチン又は制御オブジェクトが記述されてよい。システム制御命令258は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。システム制御命令258は、実施形態に応じて、ソフトウェアに実装されてり、又は例えばASIC(特殊用途向け集積回路)のロジックとしてハードコード化されるなどのようにハードウェアに実装されたり、又はソフトウェアとハードウェアとの組み合わせとして実装されたりしてよい。
一部の実施形態では、システム制御命令258は、上述された様々なパラメータを制御するための入出力制御(IOC)シークエンシング命令を含んでいてよい。例えば、(1つ又は複数の)堆積プロセスの各段階は、システムコントローラ250によって実行されるための1つ以上の命令を含んでいてよい。膜堆積プロセス段階のためのプロセス条件を設定するための命令は、例えば、対応する堆積レシピ段階に含められてよく、キャップ膜堆積段階の場合も同様である。一部の実施形態では、レシピ段階は、或るプロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように、順番に並べられてよい。
一部の実施形態では、システムコントローラ250に関係付けられたマスストレージデバイス254及び/又はストレージデバイス256に記憶されたその他のコンピュータ読み取り可能命令及び/又はプログラムが用いられてよい。プログラム又はプログラムセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座108に搭載するために及び基板と処理ツール200のその他のパーツとの間の間隔を制御するために使用される処理ツール構成要素のための命令を含んでいてよい。位置決めプログラムは、基板上に膜を堆積させる必要性に応じて反応チャンバに対して基板を適切に出し入れするための命令を含んでいてよい。
プロセスガス制御プログラムは、ガスの組成及び流量を制御するための、並びに随意として、1つ以上のプロセスステーションを取り巻く空間内の圧力を安定化させるために堆積前にそれらの空間にガスを流し込むための、命令を含んでいてよい。一部の実施形態では、プロセスガス制御プログラムは、基板への膜の堆積中に、処理チャンバ内の1つ以上のプロセスステーションを取り巻く(1つ以上の)空間に特定のガスを導入するための命令を含んでいてよい。プロセスガス制御プログラムは、また、堆積されている膜の組成に応じて、同じ流量で、同じ持続期間で、又は異なる流量で、及び/又は異なる持続期間でこれらのガスを供給するための命令も含んでいてよい。プロセスガス制御プログラムは、また、加熱された注入モジュール内においてヘリウム又はその他の何らかのキャリアガスの存在下で液状反応物を霧化/気化させるための命令も含んでいてよい。
圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁やプロセスステーションに入るガスの流れなどを調整することによってプロセスステーション内の圧力を制御するための命令を含んでいてよい。圧力制御プログラムは、基板への様々な膜タイプの堆積中に同じ又は異なる圧力を維持するための命令を含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは又は加えて、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してよい。ヒータ制御プログラムは、基板への様々な膜タイプの堆積中に反応チャンバ内及び/又はプロセスステーションを取り巻く空間内を同じ又は異なる温度に維持するための命令を含んでいてよい。
プラズマ制御プログラムは、本明細書における実施形態にしたがって1つ以上のプロセスステーションにおけるRF電力レベル、周波数、及び暴露時間を設定するための命令を含んでいてよい。一部の実施形態では、プラズマ制御プログラムは、基板への膜堆積中に同じ又は異なるRF電力レベル及び/又は周波数及び/又は暴露時間を使用するための命令を含んでいてよい。
一部の実施形態では、システムコントローラ250にユーザインターフェースが関係付けられていてよい。ユーザインターフェースとしては、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びに位置指示装置、キーボード、タッチ画面、マイクなどのユーザ入力装置が挙げられる。
一部の実施形態では、システムコントローラ250によって調整されるパラメータが、プロセス条件に関するものであってよい。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベル及び暴露時間など)などが挙げられる。これらのパラメータは、ユーザインターフェースを用いて入力可能なレシピの形でユーザに提供されてよい。
プロセスを監視するための信号が、システムコントローラ250のアナログ及び/又はデジタル入力接続によって様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール200のアナログ及び/又はデジタル出力接続に載せて出力されてよい。監視可能なプロセスツールセンサの非限定的な例として、質量流量コントローラ(MFC)、圧力センサ(圧力計など)、熱電対などが挙げられる。プロセス条件を維持するために、これらのセンサからのデータと併せて、適切にプログラムされたフィードバックアルゴリズム及び制御アルゴリズムが使用されてよい。
システムコントローラ250は、上述された堆積プロセスを実行に移すための機械読み取り可能命令を提供してよい。命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの多様なプロセスパラメータを制御してよい。これらの命令は、本明細書で説明される様々な実施形態にしたがって膜積層体のin-situ堆積を操作するために、これらのパラメータを制御してよい。
システムコントローラは、通常は、1つ以上のストレージデバイスと、本明細書で開示されるプロセスにしたがって装置に操作を実施させるために機械読み取り可能命令を実行するように構成された1つ以上のプロセッサとを含む。システムコントローラには、本明細書で開示される基板ドーププロセスにしたがって操作を制御するための命令を含む非一過性の機械読み取り可能媒体が接続されてよい。
上述された様々な装置及び方法は、例えば、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製造又は生産のために、リソグラフィパターニングのツール及び/又はプロセスと併せて使用されてよい。必ずしもそうとは限らないが、このようなツール又はプロセスは、共通の製造設備のなかで併せて使用される又は実施されるのが一般的である。
膜のリソグラフィパターニングは、通常は、(1)スピンオンツール又は噴き付けツールを使用して、例えばその上にシリコン窒化物を形成されたような基板上にフォトレジストを塗布する操作、(2)加熱板又は加熱炉又はその他の適切な硬化ツールを使用して、フォトレジストを硬化させる操作、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露する操作、(4)レジストを選択的に除去してパターニングするために、ウェットベンチ又は噴き付け現像器などのツールを使用して、レジストを現像する操作、(5)ドライ式又はプラズマ強化式のエッチングツールを使用することによって、レジストパターンをその下の膜又は基板に転写する操作、並びに(6)RF又はマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する操作の、一部又は全部を含み、各操作は、考えられる幾つかのツールによって、それぞれ可能にされる。一部の実施形態では、フォトレジストを塗布する前に、アッシング可能なハードマスク層(非結晶質炭素層など)と、別の適切なハードマスク(反射防止層など)とが堆積されてよい。
その他の実施形態
開示された以上の技術、操作、プロセス、方法、システム、装置、ツール、膜、化学物質、及び組成は、明瞭及び理解を促す目的で具体的な実施形態との関連のもとで説明されてきたが、当業者ならば、本開示の趣旨及び範囲内に、以上の実施形態を実現するための多くの代替のやり方があることが明らかである。したがって、本明細書で説明された実施形態は、開示された発明の概念を、限定するのではなく例示するものだと見なされ、最終的に本開示の内容を定めたものであるいかなる特許請求の範囲も過度に限定するための揺るがない基準として使用されるべきではない。
適用例1:処理チャンバ内において半導体基板上に材料の膜を堆積させる方法であって、
(a)前記処理チャンバに膜前駆体を流し込むこと、
(b)前記膜前駆体が基板上に吸着制限層を形成するように、前記処理チャンバ内において前記膜前駆体を前記基板上に吸着させること、
(c)一次パージガスによって前記処理チャンバをパージすることによって、前記吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去すること、
(d)(c)において前記一次パージガスによって未吸着前駆体を除去した後、前記処理チャンバに二次パージガスが流し込まれている間に前記吸着膜前駆体を反応させて、前記基板上に膜層を形成させること、
を備え、前記二次パージガスは、O 2 のイオン化エネルギ及び/又は解離エネルギ以上のイオン化エネルギ及び/又は解離エネルギを有する化学種を含む、方法。
適用例2:適用例1に記載の方法であって、
前記二次パージガスは、O 2 である、方法。
適用例3:適用例1に記載の方法であって、
前記一次パージガスは、不活性ガスである、方法。
適用例4:適用例3に記載の方法であって、
前記一次パージガスは、Ar及び/又はN 2 である、方法。
適用例5:適用例1に記載の方法であって、
前記一次パージガスは、(a)~(b)又は(d)の最中は前記処理チャンバへ流されない、方法。
適用例6:適用例5に記載の方法であって、
(d)に先立って、前記処理チャンバから実質的に全ての一次パージガスが除去される、方法。
適用例7:適用例1に記載の方法であって、
前記二次パージガスは、(a)~(d)の最中に前記処理チャンバへ継続的に流される、方法。
適用例8:適用例1に記載の方法であって、
(a)において前記処理チャンバに前記膜前駆体を流し込むために、キャリアガス流が使用される、方法。
適用例9:適用例8に記載の方法であって、
前記キャリアガスは、不活性ガスである、方法。
適用例10:適用例9に記載の方法であって、
前記キャリアガスは、N 2 及び/又はArである、方法。
適用例11:適用例1ないし10のいずれか一項に記載の方法であって、更に、
(e)前記一次パージガスによって前記処理チャンバをパージすることによって前記吸着前駆体を反応させた後に脱離膜前駆体及び/又は反応副生成物が存在するときに、前記膜層を取り巻く空間からそれらの脱離膜前駆体及び/又は反応副生成物を除去することを備える方法。
適用例12:適用例1ないし10のいずれか一項に記載の方法であって、更に、
前記基板上に(1枚以上の)更なる層を堆積させるために(a)~(d)を1回以上繰り返すことを備える方法。
適用例13:適用例1ないし10のいずれか一項に記載の方法であって、
前記膜前駆体は、(a)においてシャワーヘッドを通じて前記処理チャンバに流し込まれ、前記一次パージガスは、(c)において前記と同じシャワーヘッドを通じて前記処理チャンバに流し込まれる、方法。
適用例14:適用例13に記載の方法であって、
前記シャワーヘッドは、ヘッド部分と柄部分とを含み、前記一次パージガスは、前記シャワーヘッドの前記ヘッド部分の底面の開口部を通って前記処理チャンバに流し込まれる、方法。
適用例15:適用例1ないし10のいずれか一項に記載の方法であって、
前記一次パージガスは、前記基板の面に実質的に垂直な方向に前記処理チャンバに流し込まれる、方法。
適用例16:適用例15に記載の方法であって、
前記一次パージガスは、約5,000~45,000sccmの流量で前記処理チャンバに流し込まれる、方法。
適用例17:適用例13に記載の方法であって、
前記二次パージガスは、シャワーヘッド環を通じて前記処理チャンバに流し込まれる、方法。
適用例18:適用例17に記載の方法であって、
前記シャワーヘッド環は、ヘッド部分と柄部分とを含み、前記二次パージガスは、前記柄部分の開口部を通って前記処理チャンバに流し込まれる、方法。
適用例19:適用例18に記載の方法であって、
前記シャワーヘッド環の前記柄部分の前記開口部は、スロット状である、方法。
適用例20:適用例1ないし10のいずれか一項に記載の方法であって、
前記二次パージガスは、前記基板の面に対して実質的に平行な方向に前記処理チャンバに流し込まれる、方法。
適用例21:適用例20に記載の方法であって、
前記二次パージガスは、約1~30,000sccmの流量で前記処理チャンバに流し込まれる、方法。
適用例22:半導体基板上に材料の膜を堆積させるための装置であって、
処理チャンバと、
前記処理チャンバ内の基板ホルダと、
前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環と、
前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
半導体基板上に材料の膜を堆積させるために前記1つ以上の弁、前記真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
(a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
(b)処理チャンバ内において膜前駆体が前記基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
(c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって前記吸着前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
(d)前記吸着膜前駆体の反応を活性化させて前記基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
(e)(d)において前記反応が活性化されている間にO 2 を含む二次パージガスを前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
を含む、1つ以上のコントローラと、
を備える装置。
適用例23:適用例22に記載の装置であって、
前記シャワーヘッドは、
柄部分と、
ヘッド部分と、
膜前駆体及び一次パージガスを前記処理チャンバに流し込むための、前記ヘッド部分の底面の開口部と、
を含み、
前記シャワーヘッド環は、
柄部分と、
ヘッド部分と、
二次パージガスを前記処理チャンバに流し込むための、前記柄部分の開口部と、
を含む、装置。
適用例24:適用例23に記載の装置であって、
前記シャワーヘッドの前記開口部は、穴であり、前記シャワーヘッド環の前記開口部は、スロットである、装置。

Claims (9)

  1. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
    前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁
    と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに不活性ガスを含む一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気し、それによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に、O2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、を含む、1つ以上のコントローラと、
    を備える、装置。
  2. 請求項1に記載の装置であって、
    前記一次パージガスは、Ar及び/又はN2を含む、装置。
  3. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
    前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に 2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と
    (f)(a)~(d)の最中に前記二次パージガスを前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
    を含む、1つ以上のコントローラと、
    を備える装置。
  4. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    膜前駆体及び一次パージガスを前記処理チャンバに流し込むためのシャワーヘッドと、
    二次パージガスを前記処理チャンバに流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、キャリアガス流は、前記処理チャンバに前記膜前駆体を流し込むために使用され、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に、O2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、を含む、1つ以上のコントローラと、
    を備える、装置。
  5. 請求項4に記載の装置であって、
    前記キャリアガスは、不活性ガスを含む、装置。
  6. 請求項5に記載の装置であって、
    前記キャリアガスは、N2及び/又はArを含む、装置。
  7. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
    前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に、O2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
    (g)前記半導体基板上に(1枚以上の)更なる層を堆積させるために(a)~(d)を1回以上繰り返すための命令と、を含む、1つ以上のコントローラと、
    を備える、装置。
  8. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
    前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に、O2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
    (h)(a)の最中の少なくとも一部の時間に前記処理チャンバに前記二次パージガスを流し込むように前記1つ以上の二次流量弁を操作するための命令と、を含む、1つ以上のコントローラと、
    を備える、装置。
  9. 半導体基板上に材料の膜を堆積させるための装置であって、
    処理チャンバと、
    前記処理チャンバ内の基板ホルダと、
    前記処理チャンバに膜前駆体及び一次パージガスを流し込むためのシャワーヘッドと、
    前記処理チャンバに二次パージガスを流し込むためのシャワーヘッド環であって、前記シャワーヘッドの柄の周りに配置されているシャワーヘッド環と、
    前記シャワーヘッドを通る膜前駆体流及び一次パージガス流を制御するための1つ以上の一次流量弁と、
    前記シャワーヘッド環を通る二次パージガス流を制御するための1つ以上の二次流量弁と、
    前記処理チャンバから一次パージガス及び二次パージガスを除去するための並びに前記処理チャンバ内において前記半導体基板を取り巻く空間から膜前駆体を除去するための弁操作式真空源と、
    前記処理チャンバ内においてプラズマを発生させるためのプラズマ発生器と、
    半導体基板上に材料の膜を堆積させるために前記1つ以上の一次流量弁、前記1つ以上の二次流量弁、前記弁操作式真空源、及び前記プラズマ発生器を操作するための機械読み取り可能命令を含む1つ以上のコントローラであって、
    (a)前記処理チャンバに膜前駆体を流し込むように前記1つ以上の一次流量弁を操作するための命令と、
    (b)処理チャンバ内において膜前駆体が前記半導体基板上に吸着して吸着制限層を形成するように前記処理チャンバ内の条件を制御するための命令と、
    (c)前記処理チャンバに一次パージガスを流し込むように前記1つ以上の一次流量弁を操作するための及び前記処理チャンバを真空に排気しそれによって吸着膜前駆体を取り巻く空間から少なくとも一部の未吸着膜前駆体を除去するように前記弁操作式真空源を操作するための命令と、
    (d)前記吸着膜前駆体の反応を活性化させて前記半導体基板上に膜層を形成させるプラズマを前記処理チャンバ内において発生させるように前記プラズマ発生器を操作するための命令と、
    (e)(d)において前記反応が活性化されている間に、O2 二次パージガスとして前記処理チャンバに流し込むように前記1つ以上の二次流量弁を操作するための命令と、
    (i)(a)、(b)、(c)、及び(d)のそれぞれの最中の少なくとも一部の時間に前記処理チャンバに前記二次パージガスを流し込むように前記1つ以上の二次流量弁を操作するための命令と、を含む、1つ以上のコントローラと、
    を備える、装置。
JP2020138491A 2014-07-30 2020-08-19 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置 Active JP7194713B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/447,203 US9617638B2 (en) 2014-07-30 2014-07-30 Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US14/447,203 2014-07-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015144504A Division JP6752555B2 (ja) 2014-07-30 2015-07-22 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置

Publications (2)

Publication Number Publication Date
JP2020191474A JP2020191474A (ja) 2020-11-26
JP7194713B2 true JP7194713B2 (ja) 2022-12-22

Family

ID=55180775

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015144504A Active JP6752555B2 (ja) 2014-07-30 2015-07-22 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
JP2020138491A Active JP7194713B2 (ja) 2014-07-30 2020-08-19 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015144504A Active JP6752555B2 (ja) 2014-07-30 2015-07-22 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置

Country Status (5)

Country Link
US (2) US9617638B2 (ja)
JP (2) JP6752555B2 (ja)
KR (2) KR102454473B1 (ja)
CN (2) CN105316651B (ja)
TW (2) TWI662149B (ja)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) * 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积系统及方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113474873A (zh) 2018-11-15 2021-10-01 朗姆研究公司 用基于卤素的化合物进行选择性蚀刻的原子层蚀刻系统
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2022525085A (ja) * 2019-03-11 2022-05-11 ラム リサーチ コーポレーション プラズマチャンバを洗浄するための装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20220020820A (ko) * 2019-05-15 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 챔버 잔류물들을 감소시키는 방법들
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
WO2021188597A1 (en) * 2020-03-19 2021-09-23 Lam Research Corporation Showerhead purge collar
TWI730699B (zh) * 2020-03-27 2021-06-11 先豐通訊股份有限公司 噴盤檢測系統及其檢測方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288261A (zh) * 2021-12-07 2023-06-23 拓荆科技股份有限公司 沉积系统及方法
US20230313373A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc Targeted temporal ald

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5755886A (en) 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
JPH01309973A (ja) * 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
KR101416781B1 (ko) * 2003-03-14 2014-07-08 아익스트론 인코포레이티드 원자 층 증착을 위한 방법 및 장치
KR100616486B1 (ko) * 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
KR100923453B1 (ko) * 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
KR101417728B1 (ko) * 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
CN102087955B (zh) * 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) * 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
TWI590335B (zh) 2010-08-18 2017-07-01 半導體能源研究所股份有限公司 膜形成設備及膜形成方法
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
HUE029934T2 (en) 2012-02-07 2017-04-28 Mitsubishi Rayon Co Horizontal heat treatment device
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
WO2014092085A1 (ja) * 2012-12-14 2014-06-19 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102176329B1 (ko) 2013-08-16 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스
WO2015080900A1 (en) 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US11164753B2 (en) 2014-01-13 2021-11-02 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102371535B1 (ko) 2014-04-18 2022-03-04 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Also Published As

Publication number Publication date
CN105316651B (zh) 2018-12-07
CN109913852A (zh) 2019-06-21
KR20160017610A (ko) 2016-02-16
JP6752555B2 (ja) 2020-09-09
TW201923141A (zh) 2019-06-16
CN105316651A (zh) 2016-02-10
TWI676703B (zh) 2019-11-11
KR102563427B1 (ko) 2023-08-03
KR20220141773A (ko) 2022-10-20
US10407773B2 (en) 2019-09-10
TW201617473A (zh) 2016-05-16
KR102454473B1 (ko) 2022-10-12
JP2020191474A (ja) 2020-11-26
US20170167017A1 (en) 2017-06-15
CN109913852B (zh) 2021-04-20
JP2016036020A (ja) 2016-03-17
US9617638B2 (en) 2017-04-11
TWI662149B (zh) 2019-06-11
US20160035566A1 (en) 2016-02-04

Similar Documents

Publication Publication Date Title
JP7194713B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10176984B2 (en) Selective deposition of silicon oxide
KR20230145004A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
US9214334B2 (en) High growth rate process for conformal aluminum nitride
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102635018B1 (ko) 대체적 ald 반응기들 내에서 에지 균일도 조정을 위한 조성 매칭된 커튼 가스 혼합물들
US20210395885A1 (en) Throughput improvement with interval conditioning purging

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200917

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200917

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220405

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221012

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221012

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221021

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221212

R150 Certificate of patent or registration of utility model

Ref document number: 7194713

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150