KR102176329B1 - 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스 - Google Patents

고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스 Download PDF

Info

Publication number
KR102176329B1
KR102176329B1 KR1020167006796A KR20167006796A KR102176329B1 KR 102176329 B1 KR102176329 B1 KR 102176329B1 KR 1020167006796 A KR1020167006796 A KR 1020167006796A KR 20167006796 A KR20167006796 A KR 20167006796A KR 102176329 B1 KR102176329 B1 KR 102176329B1
Authority
KR
South Korea
Prior art keywords
hot electrode
housing
dielectric
dielectric spacer
front surface
Prior art date
Application number
KR1020167006796A
Other languages
English (en)
Other versions
KR20160043084A (ko
Inventor
존 씨. 포스터
조셉 유도브스키
개리 케이. 광
타이 티. 엔고
케빈 그리핀
케니스 에스. 콜린스
렌 리우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160043084A publication Critical patent/KR20160043084A/ko
Application granted granted Critical
Publication of KR102176329B1 publication Critical patent/KR102176329B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

프로세싱 챔버와 함께 사용하기 위한 모듈형 플라즈마 소스 조립체가 설명된다. 조립체는, 전극의 측들에 인접하여 포지셔닝된 슬라이딩 접지 연결부 및 단부 유전체와 함께 RF 핫 전극을 포함한다. 밀봉 호일은, 단부 유전체에 의해, 핫 전극으로부터 분리된, 접지된 슬라이딩 접지 연결부를 제공하기 위해, 슬라이딩 접지 연결부를 하우징에 연결한다. 동축 피드 라인은, 플라즈마 프로세싱 영역이 감소된 압력에 있는 동안, 동축 RF 피드 라인이 대기압에 있도록, 도관을 통해, 프로세싱 환경으로부터 격리된 RF 핫 전극 내로 통과한다.

Description

고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스{ELONGATED CAPACITIVELY COUPLED PLASMA SOURCE FOR HIGH TEMPERATURE LOW PRESSURE ENVIRONMENTS}
[0001] 본 발명의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 더 구체적으로, 본 발명의 실시예들은, 배치 프로세서들(batch processors)과 같은 프로세싱 챔버들과 함께 사용하기 위한 모듈형 용량 결합 플라즈마 소스들에 관한 것이다.
[0002] 반도체 디바이스 형성은 일반적으로, 다수의 챔버들을 포함하는 기판 프로세싱 플랫폼들에서 수행된다. 몇몇 예들에서, 다수-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어된 환경에서 기판에 대한 둘 또는 그 초과의 프로세스들을 연속하여 수행하는 것이다. 그러나, 다른 예들에서, 다수 챔버 프로세싱 플랫폼은 기판들에 대해 오직 단일 프로세스만 수행할 수 있다; 부가적인 챔버들은, 플랫폼에 의해 기판들이 프로세싱되는 레이트(rate)를 최대화하도록 의도된다. 후자의 경우에, 기판들에 대해 수행되는 프로세스는 전형적으로 배치 프로세스이고, 여기서, 비교적 많은 개수, 예를 들어, 25 또는 50개의 기판들이, 주어진 챔버에서 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실행 가능한 방식으로 개별 기판들에 대해 수행되기에는 너무 시간-소모적인(time-consuming) 프로세스들의 경우에, 예컨대, 원자 층 증착(ALD) 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들의 경우에, 특히 유익하다.
[0003] 기판 프로세싱 플랫폼, 또는 시스템의 효율성(effectiveness)은 종종, 소유 비용(cost of ownership; COO)에 의해 정량화된다. COO는, 많은 인자들에 의해 영향을 받지만, 시스템 풋프린트(footprint), 즉, 제조 플랜트(plant)에서 시스템을 동작시키는 데에 요구되는 총 바닥 면적(floor space), 및 시스템 처리량, 즉, 시간당 프로세싱되는 기판들의 개수에 의해 주로 영향을 받는다. 풋프린트는 전형적으로, 시스템에 인접한, 유지보수를 위해 요구되는 액세스 지역들을 포함한다. 그러므로, 기판 프로세싱 플랫폼이 비교적 작을 수 있더라도, 동작 및 유지보수를 위해 모든 측들(sides)로부터 액세스가 요구된다면, 시스템의 유효한 풋프린트는 여전히 엄청나게 클 수 있다.
[0004] 용량 결합 플라즈마 소스들은 잘 알려져 있고, 반도체 제조에서 크게 활용된다. 그러한 소스를 중간 압력들(medium pressures)(1-25Torr)에서 동작시킬 때, 표유 플라즈마(stray plasma)들의 점화를 회피하기 위해, 접지된 표면들과 RF 핫 전극들(RF hot electrodes) 사이의 갭들의 제어가 중요할 수 있다. 심지어, 절연체들 사이의 작은 갭들도, 전기장이 충분하다면, "점화(light up)"할 수 있다. 플라즈마의 점화는, 압력과 갭 거리 사이의 곱(product)에 따르는데, 도 1에서 파센 곡선(Paschen curve)에 의해 예시된다. 점화 전압은, 압력과 갭 거리 사이의 곱이 대략 1-10Torr-cm일 때 최소이다. 관심있는 1-25Torr의 압력 범위의 경우, 가장 낮은 점화 전압은 0.4mm-1cm의 갭들에 있을 것이다. 스퓨리어스(spurious) 플라즈마들을 피하기 위해, 갭들은 대략 0.25mm로 제어될 수 있다. 경험이 풍부한 기계 설계자들에게, 이는 달성하기가 쉽다. 그러나, 몇몇 어플리케이션들의 경우, 플라즈마 소스의 구조는 상온(room temperature)과 상승된 온도(예를 들어, 200℃) 사이에서 동작될 필요가 있을 수 있다. 열 팽창을 수용해야 하는 필요성은, 갭들을 제어하고 스퓨리어스 플라즈마들을 피하기 위해 새로운 설계들을 요구할 것이다.
[0005] 그러므로, 당업계에서, 배치 반응기들과 함께 사용하기 위한 모듈형 용량 결합 플라즈마 소스들에 대한 필요가 존재한다.
[0006] 본 발명의 하나 또는 그 초과의 실시예들은, 세장형 하우징(elongate housing), 세장형 RF 핫 전극, 단부 유전체(end dielectric), 슬라이딩 접지 연결부(sliding ground connection), 밀봉 호일(seal foil), 및 동축 RF 피드 라인(coaxial RF feed line)을 포함하는 모듈형 플라즈마 소스 조립체에 관한 것이다. 세장형 하우징은 측벽, 전기적으로 접지된 전면, 및 가스 용적을 갖는다. 세장형 RF 핫 전극은 하우징 내에 있고, 장축(elongate axis)을 정의하는 제 1 단부와 제 2 단부, 전면, 후면, 및 세장형 측들을 갖는다. 세장형 하우징의 전면과 RF 핫 전극의 전면 사이에 갭을 형성하기 위해, 세장형 RF 핫 전극은 전면으로부터 이격된다. 단부 유전체는, 측벽과 RF 핫 전극 사이에 있으며, RF 핫 전극의 제 1 단부 및 제 2 단부 각각에 접촉한다. 슬라이딩 접지 연결부는, RF 핫 전극의 제 1 단부 및 제 2 단부 중 하나 또는 그 초과에, 단부 유전체에 대향하여(opposite) 포지셔닝된다. 슬라이딩 접지 연결부는, 단부 유전체에 의해, RF 핫 전극과의 직접 접촉으로부터 격리된다. 밀봉 호일은, 각각의 슬라이딩 접지 연결부에서, 단부 유전체 반대편에 포지셔닝된다. 밀봉 호일은 세장형 하우징의 전면과 슬라이딩 접지 연결부 사이에 전기적 연결을 형성한다. 동축 RF 피드 라인은 세장형 하우징을 통과하고, 그리고 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함한다. 외측 전도체는 전기적 접지부와 연통하고(communication with) 내측 전도체는 세장형 RF 핫 전극과 전기적으로 연통한다.
[0007] 본 발명의 부가적인 실시예들은, 세장형 하우징, 하우징 내의 세장형 RF 핫 전극, 유전체 스페이서(spacer), 접지된 플레이트, 및 동축 RF 피드 라인을 포함하는 모듈형 플라즈마 소스 조립체에 관한 것이다. 세장형 하우징은 측벽, 전기적으로 접지된 전면, 및 가스 용적을 갖는다. 세장형 RF 핫 전극은, 장축을 정의하는 제 1 단부 및 제 2 단부, 전면, 후면, 및 세장형 측들을 갖는다. 세장형 하우징의 전면과 RF 핫 전극의 전면 사이에 갭을 형성하기 위해, 세장형 RF 핫 전극은 전면으로부터 이격된다. 유전체 스페이서는 하우징 내에 있고, 세장형 RF 핫 전극의 후면에 인접하여 포지셔닝된다. 접지된 플레이트는 하우징 내에 있고, RF 핫 전극 반대편의, 유전체 스페이서의 측 상에 포지셔닝되며, 전기적 접지부에 연결된다. 채널은 유전체 스페이서 및 접지된 플레이트를 통해 연장된다. 동축 RF 피드 라인은 세장형 하우징을 통과하고, 그리고 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함한다. 외측 전도체는 접지된 플레이트와 전기적으로 연통하고, 내측 전도체는 유전체 스페이서 및 접지된 플레이트의 채널을 통과하며, 세장형 RF 핫 전극과 전기적으로 연통한다. 갭이 감소된 압력에 있을 때, 채널은 대기압에 있다.
[0008] 추가적인 실시예는, 웨지-형상(wedge-shaped) 세장형 하우징, 웨지-형상 RF 핫 전극, 단부 유전체, 슬라이딩 접지 연결부, 밀봉 호일, 및 동축 RF 피드 라인을 포함하는 모듈형 플라즈마 소스 조립체에 관한 것이다. 웨지-형상 세장형 하우징은 내측 둘레 단부(inner peripheral end), 외측 둘레 단부, 내측 둘레 단부와 외측 둘레 단부를 연결하는 2개의 측벽들, 전기적으로 접지된 전면 ― 전면은, 전면을 통하는 복수의 개구부들을 포함함 ―, 및 가스 용적을 포함한다. 웨지-형상 RF 핫 전극은 하우징 내에 있고, 전면 표면, 후면 표면, 세장형 측들, 내측 둘레 단부에 인접하는 제 1 단부, 및 외측 둘레 단부에 인접하는 제 2 단부를 갖는 본체를 갖고, 갭을 형성하기 위해, RF 핫 전극의 전면 표면은 하우징의 전면으로부터 이격된다. 단부 유전체는, RF 핫 전극의 제 1 단부 및 제 2 단부 각각에 접촉한다. 슬라이딩 접지 연결부는, RF 핫 전극의 제 2 단부에, 단부 유전체에 대향하여 포지셔닝되고, 단부 유전체에 의해, RF 핫 전극과의 직접 접촉으로부터 격리된다. 밀봉 호일은, 슬라이딩 접지 연결부에 인접하여, 단부 유전체 반대편에 포지셔닝되고, 세장형 하우징의 전면과 슬라이딩 접지 연결부 사이에 전기적 연결을 형성한다. RF 피드 라인은 세장형 하우징을 통과하고, 그리고 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함한다. 외측 전도체는 전기적 접지부와 연통하고 내측 전도체는 RF 핫 전극과 전기적으로 연통한다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이, 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은, 아르곤에 대한 파센 곡선을 도시하고;
[0011] 도 2는, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션을 구비한 4개의 용량 결합 웨지-형상 플라즈마 소스들 및 4개의 가스 주입기 조립체들로 구성된 기판 프로세싱 시스템의 개략적인 평면도를 도시하며;
[0012] 도 3은, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 파이(pie)-형상 플라즈마 영역을 통해 웨이퍼를 회전시키는 플래튼(platen)의 개략도를 도시하고;
[0013] 도 4는, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 플라즈마 소스 조립체의 개략도를 도시하며;
[0014] 도 5는, 도 4의 플라즈마 소스 조립체의 부분의 확대도를 도시하고;
[0015] 도 6은, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 플라즈마 소스 조립체의 부분의 개략도를 도시하며;
[0016] 도 7은, 도 4의 플라즈마 소스 조립체의 부분의 확대도를 도시하고;
[0017] 도 8은, 도 4의 플라즈마 소스 조립체의 부분의 확대도를 도시하며;
[0018] 도 9는, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 웨지-형상 플라즈마 소스 조립체의 부분의 개략도를 도시하고;
[0019] 도 10은, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 웨지-형상 플라즈마 소스 조립체의 부분 사시도를 도시하며;
[0020] 도 11은, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 웨지-형상 플라즈마 소스 조립체의 단면 사시도를 도시하고; 그리고
[0021] 도 12는, 본 발명의 하나 또는 그 초과의 실시예들에 따른, 웨지-형상 플라즈마 소스 조립체의 정면도를 도시한다.
[0022] 본 발명의 실시예들은, 처리량을 최대화하고 프로세싱 효율을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전(pre-deposition) 및 증착-후(post-deposition) 플라즈마 처리들을 위해 사용될 수 있다.
[0023] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환 가능하게 사용되며, 양자 모두는, 그 위에서 프로세스가 작용하는, 표면 또는 표면의 부분을 지칭한다. 또한, 기판에 대한 참조는, 문맥에서 명백하게 달리 지시되지 않는 한, 기판의 단지 부분만을 또한 지칭할 수 있다는 점이, 당업자에 의해 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 참조는, 베어 기판(bare substrate), 및 하나 또는 그 초과의 필름들 또는 피쳐들(features)이 상부에 증착 또는 형성되는 기판 양자 모두를 의미할 수 있다.
[0024] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", 및 "반응물(reactant)", 등의 용어들은, 기판 표면과 반응하는 종을 포함하는 가스를 의미하기 위해, 교환 가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 기판의 표면 상에 단순히 흡착될(adsorb) 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용 가능할 수 있다.
[0025] 회전식(rotating) 플래튼 챔버들은 많은 어플리케이션들을 위해 고려되고 있다. 그러한 챔버에서, 하나 또는 그 초과의 웨이퍼들은 회전식 홀더("플래튼") 상에 위치된다. 플래튼이 회전함에 따라, 웨이퍼들은 다양한 프로세싱 지역들 사이를 이동한다. 예를 들어, ALD에서, 프로세싱 지역들은 웨이퍼를 전구체 및 반응물들에 노출시킬 것이다. 부가적으로, 강화된 필름 성장을 위해서 필름 또는 표면을 적절하게 처리하기 위해, 또는 원하는 필름 특성들을 획득하기 위해, 플라즈마 노출이 필요할 수 있다. 본 발명의 몇몇 실시예들은, 회전식 플래튼 ALD 챔버를 사용할 때, ALD 필름들의 균일한 증착 및 사후-처리(예를 들어, 치밀화(densification))를 제공한다.
[0026] 회전식 플래튼 ALD 챔버들은, 전체 웨이퍼가 제 1 가스에 노출되고, 퍼징되고(purged), 그런 후에 제 2 가스에 노출되는 전형적인 시간-영역(time-domain) 프로세스들에 의해, 또는 웨이퍼의 부분들이 제 1 가스에 노출되고, 부분들이 제 2 가스에 노출되며, 이러한 가스 스트림들을 통한 웨이퍼의 이동이 층을 증착시키는 공간적 ALD(spatial ALD)에 의해, 필름들을 증착시킬 수 있다.
[0027] 본 발명의 실시예들은 선형 프로세싱 시스템 또는 회전형 프로세싱 시스템과 함께 사용될 수 있다. 선형 프로세싱 시스템에서, 플라즈마가 하우징을 빠져나가는 지역의 폭은, 전면의 전체 길이에 걸쳐서 실질적으로 동일하다. 회전형 프로세싱 시스템에서, 하우징은 일반적으로 "파이-형상" 또는 "웨지-형상"일 수 있다. 웨지-형상 세그먼트에서, 플라즈마가 하우징을 빠져나가는 지역의 폭은 파이 형상에 일치하도록(conform) 변화한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "파이-형상" 및 "웨지-형상"이라는 용어들은, 대체로 원형의 섹터(sector)인 본체를 설명하기 위해, 교환 가능하게 사용된다. 예를 들어, 웨지-형상 세그먼트는 원 또는 디스크-형상 물체(object)의 일부(fraction)일 수 있다. 파이-형상 세그먼트의 내측 엣지는 점이 될(come to a point) 수 있거나, 편평한 엣지로 잘릴(truncated) 수 있거나, 라운딩될(rounded) 수 있다. 기판들의 경로는 가스 포트들에 대해 수직일 수 있다. 몇몇 실시예들에서, 가스 주입기 조립체들 각각은, 기판에 의해 횡단되는(traversed) 경로에 실질적으로 수직인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직인"이라는 용어는, 기판들의 이동의 전반적인 방향이, 가스 포트들의 축에 대략 수직인(예를 들어, 약 45° 내지 90°) 평면을 따른다는 것을 의미한다. 웨지-형상 가스 포트의 경우, 가스 포트의 축은, 포트의 폭의 중점(mid-point)이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인으로 간주될 수 있다.
[0028] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은 다수의 웨이퍼들을 동시에 프로세싱 하는 데에 사용될 수 있고, 이에 의해, 웨이퍼들은 동일한 프로세스 흐름을 경험한다. 예를 들어, 도 2에 도시된 바와 같이, 프로세싱 챔버(10)는 4개의 가스 주입기 조립체들(30) 및 4개의 웨이퍼들(60)을 갖는다. 프로세싱의 최초에, 웨이퍼들(60)은 주입기 조립체들(30) 사이에 포지셔닝될 수 있다. 캐러셀(carousel)의 서셉터(susceptor; 66)를 45°만큼 회전시키는 것은, 필름 증착을 위해, 각각의 웨이퍼(60)가 주입기 조립체(30)로 이동되는 것을 초래할 것이다. 부가적인 45° 회전은 웨이퍼들(60)을 주입기 조립체들(30)로부터 멀리 이동시킬 것이다. 이것이 도 2에 도시된 포지션이다. 주입기 조립체에 대한 웨이퍼의 이동 동안에, 공간적 ALD 주입기들을 이용하여, 필름이 웨이퍼 상에 증착된다. 몇몇 실시예들에서, 서셉터(66)가 회전되고, 이에 의해, 웨이퍼들(60)은 주입기 조립체들(30) 아래에서 정지하지 않는다. 가스 주입기 조립체들(30) 및 웨이퍼들(60)의 개수는 동일하거나 상이할 수 있다. 몇몇 실시예들에서, 가스 주입기 조립체들이 존재하는 것과 동일한 개수의 프로세싱되는 웨이퍼들이 존재한다. 하나 또는 그 초과의 실시예들에서, 프로세싱되는 웨이퍼들의 개수는 가스 주입기 조립체들의 개수의 정수배이다. 예를 들어, 4개의 가스 주입기 조립체들이 존재하는 경우, 4x개의 프로세싱되는 웨이퍼들이 존재하고, 여기서, x는 1과 동일하거나 그 초과인 정수값이다.
[0029] 도 2에 도시된 프로세싱 챔버(10)는 단지 하나의 가능한 구성을 나타내는 것이고, 본 발명의 범위를 제한하는 것으로 여겨져서는 안된다. 여기에서, 프로세싱 챔버(10)는 복수의 가스 주입기 조립체들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(10) 주위에 균등하게 이격된 4개의 가스 주입기 조립체들(30)이 존재한다. 도시된 프로세싱 챔버(10)는 8각형이지만, 이는 하나의 가능한 형상이고 본 발명의 범위를 제한하는 것으로 여겨져서는 안된다는 점이, 당업자에 의해 이해될 것이다. 도시된 가스 주입기 조립체들(30)은 직사각형이지만, 플라즈마 소스들(80)과 마찬가지로, 가스 주입기 조립체들이 웨지-형상 세그먼트들일 수 있다는 점이, 당업자에 의해 이해될 것이다. 플라즈마 소스에 대한 선택사항은 용량 결합 플라즈마이다. 그러한 플라즈마들은 높은 플라즈마 밀도 및 낮은 플라즈마 전위들(plasma potentials)을 갖는다. 용량 결합 플라즈마는 전도체들의 RF 전류들을 통해 생성된다. RF 운반(carrying) 전도체들은 유전체 윈도우를 통해 플라즈마로부터 분리되어, 필름의 금속성 오염의 가능성을 최소화할 수 있다.
[0030] 프로세싱 챔버(10)는, 둥근 서셉터(66) 또는 서셉터 조립체 또는 플래튼으로 도시된 기판 지지 장치를 포함한다. 기판 지지 장치, 또는 서셉터(66)는 가스 주입기 조립체들(30) 각각의 아래에서 복수의 웨이퍼들(60)을 이동시킬 수 있다. 로드 록(82)은, 기판들(또한 웨이퍼들(60)로 지칭됨)이 챔버(10)로부터/챔버(10)로 언로딩/로딩되는 것을 허용하기 위해, 프로세싱 챔버(10)의 측면에 연결될 수 있다.
[0031] 몇몇 실시예들에서, 프로세싱 챔버(10)는, 가스 주입기 조립체들(30)(또한 가스 분배 플레이트들 또는 가스 분배 조립체들로 지칭됨)과 플라즈마 소스들(80) 사이에 포지셔닝된 복수의 가스 커튼들(도시되지 않음)을 포함한다. 각각의 가스 커튼은, 프로세싱 챔버의 다른 영역들 내로의 프로세싱 가스들의 확산을 방지하거나 최소화하기 위해, 배리어를 생성한다. 예를 들어, 가스 커튼은, 가스 주입기 조립체들(30)로부터의 반응성 가스들이, 가스 분배 조립체 영역들로부터 플라즈마 소스(80) 영역들로 그리고 그 역으로 이동하는 확산을 방지하거나 최소화할 수 있다. 가스 커튼은, 인접한 섹션들로부터 개별 프로세싱 섹션들을 격리시킬 수 있는, 가스 및/또는 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼은 퍼지(또는 불활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼은 퍼지 가스와 진공 스트림들의 조합이고, 이로써, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재한다. 하나 또는 그 초과의 실시예들에서, 가스 커튼은 진공 스트림들과 퍼지 가스 스트림들의 조합이고, 이로써, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재한다.
[0032] 몇몇 원자 층 증착 시스템들은 모듈형 플라즈마 소스, 즉, 시스템 내에 쉽게 인서팅될(inserted) 수 있는 소스를 사용한다. 그러한 소스의 하드웨어의 전체 또는 대부분은, 원자 층 증착 프로세스와 동일한 압력 레벨, 전형적으로 1-50Torr에서 동작할 것이다. 간략하게, 하나 또는 그 초과의 실시예들에 따른 플라즈마 소스들은, 대기압에서 유지되는 주(main) RF 피드를 포함한다. 이는, 동축 피드에서의 스퓨리어스 플라즈마 점화의 가능성을 제거한다. RF 핫 전극은, 접지된 전극과 상기 핫 전극 사이의 8.5mm 갭(갭은 3mm 내지 25mm 범위일 수 있음)에서 플라즈마를 생성한다.
[0033] 전극의 상부 부분은 두꺼운 유전체(예를 들어, 세라믹)에 의해 커버되는데, 두꺼운 유전체는 차례대로, 접지된 표면에 의해 커버된다. RF 핫 전극들, 및 접지된 구조는 알루미늄과 같은 우수한 전도체로 만들어진다. 열 팽창을 수용하기 위해, 유전체(예를 들어, 세라믹)의 2개의 피스들(pieces)이 RF 핫 전극의 긴 단부(long end)들에 위치된다. 예를 들어, 접지된 Al 피스들은, 사이에 갭 없이, 유전체에 인접하여 위치된다. 접지된 피스들은 구조 내부에서 슬라이딩할 수 있고, 스프링들을 이용하여 세라믹에 대하여 유지된다. 스프링들은 접지된 Al/유전체의 전체 "샌드위치"를 RF 핫 전극에 대해 어떠한 갭들도 없이 압축하여, 스퓨리어스 플라즈마의 가능성을 제거하거나 최소화한다. RF 핫 전극, 접지된 플레이트 및 두꺼운 세라믹은, 접지된 플레이트에 대해 누르는(push down) 스프링들에 의해 함께 유지된다. 이는 파트들을 함께 유지하고, 갭들을 제거하지만, 열 팽창에 기인한 약간의 슬라이딩을 여전히 허용한다.
[0034] 동축 RF 피드는, 외측 전도체가, 접지된 플레이트 상에서 종결되도록(terminate) 구성될 수 있다. 내측 전도체는 RF 핫 플레이트 상에서 종결될 수 있다. 피드가 대기압에 있기 때문에, 소스 내부의 중간 압력을 가능하게 하기 위해, 피드 구조의 바닥부에 O-링들이 존재할 수 있다. 가스는 동축 피드의 외부 둘레 주위에서 소스에 피딩될(fed) 수 있다.
[0035] 플라즈마 용적에 도달하기 위해, 접지된 플레이트, 두꺼운 세라믹, 및 RF 핫 플레이트는 관통 홀들에 의해 천공될(perforated) 수 있다. 홀들의 크기는 홀들 내부에서의 점화를 방지하기에 충분하게 작을 수 있다. 접지된 플레이트 및 RF 핫 플레이트의 경우, 몇몇 실시예들의 홀 직경은 <1mm, 예를 들어, 약 0.5mm이다. 유전체 내부의 높은 전기장들은, 홀들에서의 표유 플라즈마의 가능성들을 제거하도록 시스템이 설계된다는 것을 의미한다. 두꺼운 유전체는 3개의 층들로 분할될 수 있다. 각각의 층은 인접한 층들의 홀들로부터 오프셋된(offset) 0.75mm 직경의 관통 홀들을 갖는다. 오프셋된 홀들 사이에서 가스가 유동하게 허용하기 위해, 0.5mm 갭이 층들 내에 기계가공된다.
[0036] RF 피드는 동축 전송 라인의 형태일 수 있다. 외측 전도체는 접지된 플레이트에 연결되고/종결되며, 내측 전도체는 RF 핫 플레이트에 연결된다. 접지된 플레이트는, 비제한적으로(but not limited to), 금속 개스킷(gasket)을 포함하여, 임의의 적합한 방법에 의해, 금속 엔클로져(enclosure) 또는 하우징에 연결될 수 있다. 이는 복귀 전류들의 대칭적 기하 형상을 보장하는 것을 돕는다. 모든 복귀 전류들은 피드의 외측 전도체를 따라 흘러서(flow up), RF 노이즈(noise)를 최소화한다.
[0037] 하나 또는 그 초과의 실시예들의 플라즈마 소스는 형상이 직사각형일 수 있거나, 다른 형상들로 구성될 수 있다. 회전식 웨이퍼 플래튼을 활용하는 공간적 ALD 어플리케이션의 경우, 형상은, 도 3에 도시된 바와 같이, 잘린 웨지일 수 있다. 설계는, 가스 피드 홀들이 오프셋된 유전체 층들 및 대기압의 동축 RF 피드를 유지한다. 플라즈마 균일성은, 접지된 출구(exit) 플레이트와 RF 핫 플레이트 사이의 간격을 조정하는 것에 의해, 그리고 RF 피드점(feedpoint)의 위치를 조정하는 것에 의해, 튜닝될(tuned) 수 있다.
[0038] 몇몇 실시예들에서, 소스는 중간 압력(1-25Torr)에서 동작되지만, 동축 피드는 대기압으로 유지된다. 하나 또는 그 초과의 실시예들에서, 유전체 아이솔레이터의 피쳐들 및 2개의 O-링들이 진공 밀봉을 제공한다.
[0039] 몇몇 실시예들에서, 가스 피드는 접지된 플레이트, RF 핫 플레이트, 및 유전체 아이솔레이터 내의 천공들 또는 홀들을 통한다. 몇몇 실시예들의 유전체 아이솔레이터는 3개의 층들로 분할된다. 유전체 층들의 홀들은 서로로부터 오프셋될 수 있고, 오프셋된 홀들 사이에서 가스가 유동하게 허용하기 위해, 층들 사이에 얇은 단형후퇴부들(setbacks)이 존재할 수 있다. 유전체 층들의 오프셋된 홀들은 점화의 가능성을 최소화한다. 소스 조립체에 대한 가스 피드는 동축 RF 피드의 외측 전도체의 외부 둘레 주변에서 일어난다.
[0040] 몇몇 실시예들에서, RF 피드는 대칭 RF 피드 전류를 핫 플레이트에 제공하고, 대칭 복귀 전류들을 제공도록 설계된다. 모든 복귀 전류들은 외측 전도체를 따라 흘러서, RF 노이즈를 최소화하며, 동작시(on operation) 소스 설치의 충격을 최소화한다.
[0041] 도 4 내지 8을 참조하면, 본 발명의 하나 또는 그 초과의 실시예들은 모듈형 용량 결합 플라즈마 소스들(100)에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "모듈형"이라는 용어는, 플라즈마 소스(100)가 프로세싱 챔버에 부착되거나 프로세싱 챔버로부터 제거될 수 있음을 의미한다. 모듈형 소스는 일반적으로, 한 명의 사람에 의해 이동되거나, 제거되거나, 부착될 수 있으며, 약 25lbs, 20lbs, 15lbs 또는 10lbs 미만의 무게를 가질 수 있다.
[0042] 플라즈마 소스(100)는, 전면(112) 및 가스 용적(113)을 갖는 하우징(110)을 포함한다. 전면(112)은 전기적으로 접지되고, 핫 전극(120)과 함께 갭(116)에 플라즈마를 형성한다. 전면(112)은 두께를 갖는데, 그러한 두께를 통해 복수의 개구들(114)이 연장되며, 그에 따라 갭(116)에서 점화된 플라즈마가, 갭(116) 반대편의, 전면(112)의 측 상의 프로세싱 영역 내로 개구들(114)을 통과하는 것을 허용한다. 하우징(110)은 세장형 하우징일 수 있고, 이는, 전면(112)의 면(face)에서 바라볼 때, 장축 및 단축이 존재함을 의미한다. 예를 들어, 2개의 장 측들 및 2개의 단 측들을 갖는 직사각형은, 2개의 장 측들 사이에서 연장되는 장축을 갖는 세장형 형상을 생성할 것이다.
[0043] 플라즈마 소스(100)는 세장형 RF 핫 전극(120)을 포함한다. 이러한 전극(120)은 또한 "핫 전극", 및 "RF 핫", 등으로 지칭된다. 세장형 RF 핫 전극(120)은 전면(121), 후면(122), 및 세장형 측들(123)을 갖는다. 핫 전극(120)은 또한, 장축을 정의하는, 제 1 단부(124) 및 제 2 단부(125)를 포함한다. 세장형 RF 핫 전극(120)은 하우징의 전면(112)으로부터 이격되고, 이에 의해, 하우징(110)의 전면(112)과 핫 전극(120)의 전면(121) 사이에 갭(116)이 형성된다. 세장형 RF 핫 전극(120)은, 비제한적으로, 알루미늄을 포함하여, 임의의 적합한 전도성 재료로 만들어질 수 있다.
[0044] 도 5의 확대도에 도시된 바와 같이, 몇몇 실시예들은 RF 핫 전극(120)의 제 1 단부(124) 및 제 2 단부(125) 중 하나 또는 그 초과와 접촉하는 단부 유전체(130)를 포함한다. 단부 유전체(130)는 하우징(110)의 측벽(111)과 RF 핫 전극(120) 사이에 포지셔닝된다. 하나 또는 그 초과의 실시예들에서, 단부 유전체(130)는 핫 전극(120)의 제 1 단부(124) 및 제 2 단부(125) 양자 모두와 접촉한다. 도 4 및 5는 플라즈마 소스(100)의 단면도들을 도시한다. 도 6은, 세장형 형상을 나타내는 직사각형의 세장형 RF 핫 전극(120)의 정면도를 도시한다. 핫 전극(120)의 좌단부(제 1 단부(124)) 및 우단부(제 2 단부(125))는 그러한 단부들에 인접한 단부 유전체(130)를 갖는다. 그러나, 또한 전단부(126) 및 후단부(127)가 존재하며, 이들에 인접한 단부 유전체(130)를 또한 갖는다. 단부 유전체(130)는, 비제한적으로, 세라믹을 포함하여, 임의의 적합한 유전체 재료로 만들어질 수 있다. 도면들에 도시된 단부 유전체(130)는 L-형상이지만, 임의의 적합한 형상이 사용될 수 있다.
[0045] 슬라이딩 접지 연결부(140)는, RF 핫 전극(120)의 제 1 단부(124) 및 제 2 단부(125) 중 하나 또는 그 초과에 포지셔닝된다. 슬라이딩 접지 연결부(140)는, 핫 전극(120) 반대편의, 단부 유전체(130)의 측 상에 포지셔닝된다. 슬라이딩 접지 연결부(140)는, 단부 유전체(130)에 의해, RF 핫 전극(120)과의 직접 접촉으로부터 격리된다. 슬라이딩 접지 연결부(140) 및 단부 유전체(130)는, 가스 기밀 밀봉(gas tight seal)을 유지하고, 핫 전극(120)이 전극의 측 주위에서 가스들의 누설을 허용하지 않으면서 팽창하는 것을 허용하도록 협력한다. 슬라이딩 접지 연결부(140)는 전도성 재료이며, 비제한적으로, 알루미늄을 포함하여, 임의의 적합한 재료로 만들어질 수 있다. 슬라이딩 접지 연결부(140)는, 갭(116)에 전기장이 없다는 것을 보장하기 위해, 접지된 종결부를 단부 유전체(130)의 측에 제공하여, 갭(116)에서의 표유 플라즈마의 가능성을 최소화한다.
[0046] 밀봉 호일(150)은, 슬라이딩 접지 연결부(140)에서, 단부 유전체(130) 반대편의 측 상에 포지셔닝된다. 밀봉 호일(150)은, 슬라이딩 접지 연결부(140)가 전면(112) 상에서 슬라이딩할 때, 하우징(110)의 전면(112)과 슬라이딩 접지 연결부(140) 사이에 전기적 연결을 형성한다. 밀봉 호일(150)은, 비제한적으로, 알루미늄을 포함하여, 임의의 적합한 전도성 재료로 만들어질 수 있다. 밀봉 호일(150)은, 전면과 슬라이딩 접지 연결부 사이의 전기적 연결이 유지되는 한, 핫 전극(120)의 팽창 및 수축에 따라 이동할 수 있는 얇은 가요성 재료일 수 있다.
[0047] 플라즈마 소스(100)의 일 단부를 도시하는 도 5를 참조하면, 클램프 면(clamp face; 152) 및 너트(nut; 154)는, 핫 전극(120)의 단부, 단부 유전체(130), 슬라이딩 접지 연결부(140), 및 밀봉 호일(150) 조합에 포지셔닝된다. 다른 클램프 면들(152) 및 너트들(154)은 그러한 조합의 임의의 측에서 발견될 수 있으며, 플라즈마 소스의 크기 및 형상에 따라, 다수의 클램프 면들 및 너트들이 그러한 조합의 각각의 측을 따라 발견될 수 있다. 클램프 면(152) 및 너트(154)는, 플라즈마 가스들이 핫 전극(120) 뒤로 가는 것을 허용할 수 있는, 슬라이딩 접지 연결부(140)와 단부 유전체(130) 사이의 분리를 방지하고, 기밀 밀봉을 형성하기 위해, 컴포넌트들의 조합에, 내측으로 지향되는(directed) 압력을 제공한다. 클램프 면(152) 및 너트(154)는, 비제한적으로, 알루미늄 및 스테인리스 스틸을 포함하여, 임의의 적합한 재료로 만들어질 수 있다.
[0048] 몇몇 실시예들에서, 유전체 스페이서(170)는 세장형 RF 핫 전극(120)의 후면(122)에 인접하여 포지셔닝된다. 유전체 스페이서(170)는, 비제한적으로, 세라믹 재료들을 포함하여, 임의의 적합한 유전체 재료로 만들어질 수 있다. 유전체 스페이서(170)는 하우징(110)의 정상부 부분과 RF 핫 전극(120) 사이에 비-전도성 분리기(separator)를 제공한다. 이러한 비-전도성 분리기가 없다면, 하우징(110)과 RF 핫 전극(120) 사이의 용량 결합에 기인하여, 가스 용적(113)에 플라즈마가 형성될 수 있는 가능성이 존재한다.
[0049] 유전체 스페이서(170)는 임의의 적합한 두께일 수 있고, 임의의 개수의 개별 층들로 구성될 수 있다. 도 4에 도시된 실시예에서, 유전체 스페이서(170)는 하나의 층으로 구성된다. 도 5, 7, 및 8에 도시된 대안적인 실시예에서, 유전체 스페이서(170)는 3개의 개별 유전체 스페이서 하위(sub)-층들(170a, 170b, 170c)을 포함한다. 이러한 하위-층들의 조합은 유전체 스페이서(170)의 전체 두께를 구성한다. 개별 하위-층들 각각은 동일한 두께일 수 있거나, 각각, 독립적으로 결정된 두께를 가질 수 있다.
[0050] 몇몇 실시예들에서, 접지된 플레이트(180)는 하우징(110) 내에서 유전체 스페이서(170) 위에, RF 핫 전극(120) 반대편의, 유전체 스페이서(170)의 측 상에 포지셔닝된다. 접지된 플레이트(180)는, 비제한적으로, 알루미늄을 포함하여, 임의의 적합한 전기 전도성 재료로 만들어지고, 전기적 접지부에 연결될 수 있다. 이러한 접지된 플레이트(180)는 추가적으로, 플라즈마가 형성되도록 의도되는 갭(116) 이외의 영역 또는 가스 용적(113)에서의 플라즈마 형성을 방지하기 위해, 가스 용적(113)으로부터 RF 핫 전극(120)을 격리시킨다.
[0051] 도면들이, 접지된 플레이트(180)가 유전체 스페이서(170), 또는 개별 유전체 스페이서 층들의 합과 거의 동일한 두께인 것으로 도시되었지만, 이는 단지 하나의 가능한 실시예이다. 접지된 플레이트(180)의 두께는, 플라즈마 소스의 특정 구성에 따른 임의의 적합한 두께일 수 있다. 몇몇 실시예들에서, 접지된 플레이트의 두께는, 예를 들어, 가스 홀들을 더 쉽게 드릴링(drilling)하기에 충분히 얇지만, 언급된 다양한 스프링들의 힘들을 견디기에 충분히 두꺼운 것에 기초하여 선택된다. 부가적으로, 접지된 플레이트(180)의 두께는, 전형적으로 용접식 연결인 동축 피드가 적절하게 부착될 수 있는 것을 보장하도록 튜닝될 수 있다.
[0052] 본 발명의 몇몇 실시예들은 복수의 압축 엘리먼트들(185)을 포함한다. 압축 엘리먼트들(185)은, RF 핫 전극(120)의 방향으로, 접지된 플레이트(180)의 후면 표면(181)에 대해 힘을 가한다. 압축력은, 접지된 플레이트(180), 유전체 스페이서(170), 및 RF 핫 전극(120)으로 하여금 함께 가압되게 하여, 각각의 인접한 컴포넌트 사이의 임의의 간격을 최소화하거나 제거한다. 압축력은, 가스들이 표유 플라즈마가 될 수 있는, RF 핫 전극인 공간 내로 가스들이 유동하는 것을 방지하는 것을 돕는다. 적합한 압축 엘리먼트들(185)은, 접지된 플레이트(180)의 후면 표면(181)에 비력(specific force)을 제공하도록 조정되거나 튜닝될 수 있는 것들이며, 비제한적으로, 스프링들 및 스크류들을 포함한다.
[0053] 도 7을 참조하면, 본 발명의 몇몇 실시예들은, 접지된 플레이트(180), 유전체 스페이서(170), 및 RF 핫 전극(120) 중 하나 또는 그 초과를 통해 연장되는 복수의 홀들(190, 191a, 191b, 191c, 192)을 포함한다. 도 7의 실시예는, 3개의 층들(170a, 170b, 170c)을 갖는 유전체 스페이서(170)를 도시하지만, 임의의 개수의 유전체 스페이서(170) 층들이 존재할 수 있고, 이는 단지 하나의 가능한 구성이라는 점이 이해될 것이다. 홀들은, 가스가, 가스 용적(113)으로부터, RF 핫 전극(120)의 전면(121)에 인접한 갭(116)으로 이동하는 것을 허용한다.
[0054] 도 7에 도시된 실시예에서, RF 핫 전극(120)의 복수의 홀들(190)은 유전체 스페이서의 제 1 층(170a)의 복수의 홀들(191a)로부터 오프셋되고, 유전체 스페이서의 제 1 층(170a)의 복수의 홀들(191a)은 유전체 스페이서의 제 2 층(170b)의 복수의 홀들(191b)로부터 오프셋되며, 유전체 스페이서의 제 2 층(170b)의 복수의 홀들(191b)은 유전체 스페이서의 제 3 층(170c)의 복수의 홀들(191c)로부터 오프셋되고, 유전체 스페이서의 제 3 층(170c)의 복수의 홀들(191c)은 접지된 플레이트(180)의 복수의 홀들(192)로부터 오프셋된다. RF 핫 전극(120)과 접지된 플레이트(180) 또는 가스 용적(113) 사이에 직선이 존재하지 않기 때문에, 이러한 오프셋 패턴은 갭(116)의 외부에서 형성되는 표유 플라즈마의 가능성을 최소화하거나 방지하는 것을 돕는다. 동작에 대한 임의의 특정한 이론에 의해 제한되지 않고, 하위-층들은 가스 피드 홀들에서의 플라즈마의 점화의 가능성을 최소화한다고 여겨진다. 유전체 스페이서(170)의 두께는, 현실적인 제한들 내에서, 압력과 두께의 곱이, 도 1의 파센 곡선의 최소점(minimum)으로부터 가능한 멀도록 선택될 수 있다. 각각의 하위-층의 홀들이 다른 하위-층들의 홀들로부터 오프셋되기 때문에, 각각의 하위-층의 브레이크다운(breakdown) 특성들은 개별적으로 분석될 수 있다.
[0055] 채널(193, 194a, 194b, 194c, 195)은, 유전체 스페이서(170)의 각각의 층의 후면 및 RF 핫 전극(120)의 후면(122) 각각에 형성될 수 있다. 이는, 인접한 복수의 홀들로부터 유동하는 가스가 인접한 컴포넌트의 복수의 홀들과 유체 연통(fluid communication)하는 것을 허용한다. 채널(195)은 접지된 플레이트(180)의 후면 표면(181)에 있는 것으로 도시되지만, 이러한 채널(195)은 갭(116)과 가스 용적(113) 사이에 유체 연통을 제공하기 위해 필수적인 것은 아닌 점이 이해될 것이다.
[0056] 복수의 홀들(190, 191a, 191b, 191c, 192)의 크기는 변할 수 있고, 가스 용적(113)으로부터 갭(116)으로의 가스의 유량에 영향을 준다. 더 큰 직경의 홀들은, 더 작은 직경의 홀들보다 더 많은 가스가 통하여 유동하는 것을 허용할 것이다. 그러나, 더 큰 직경의 홀들은 또한, 홀들 내에서 표유 플라즈마의 점화를 가능하게 또는 더 가능성있게 할 수 있다. 몇몇 실시예들에서, 복수의 홀들(190, 191a, 191b, 191c, 192) 각각은 독립적으로, 약 1.5mm 미만, 또는 약 1.4mm 미만, 또는 약 1.3mm 미만, 또는 약 1.2mm 미만, 또는 약 1.1mm 미만, 또는 약 1mm 미만의 직경을 갖는다.
[0057] 유사하게, 또한, 채널(193, 194, 195)의 깊이는 표유 플라즈마 형성의 가능성 및 가스의 유량에 영향을 줄 수 있다. 몇몇 실시예들에서, 채널들(193, 194, 195) 각각은 독립적으로, 약 1mm 미만, 또는 약 0.9mm 미만, 또는 약 0.8mm 미만, 또는 약 0.7mm 미만, 또는 약 0.6mm 미만, 또는 약 0.5mm 미만, 또는 약 0.5mm의 깊이를 갖는다. 각각의 개별 채널의 깊이는 각각의 컴포넌트의 후면 표면으로부터 측정된다. 예를 들어, 접지된 플레이트(180)의 채널(195)의 깊이는 접지된 플레이트(180)의 후면 표면(181)으로부터 측정된다. 몇몇 실시예들에서, RF 핫 전극(120) 및 유전체 스페이서 층들(170a, 170b, 170c) 각각을 통과하는 복수의 홀들(190, 191a, 191b, 191c)은 각각의 컴포넌트의 채널(193, 194a, 194b, 194c)의 깊이보다 큰 직경들을 갖는다.
[0058] 도 4 및 8을 참조하면, 동축 RF 피드 라인(160)은 세장형 하우징(110)을 통과하고, 그리고 갭(116)에서 플라즈마를 생성하기 위해, RF 핫 전극(120)에 전력을 제공한다. 동축 RF 피드 라인(160)은, 절연체(166)에 의해 분리된, 외측 전도체(162) 및 내측 전도체(164)를 포함한다. 외측 전도체(162)는 전기적 접지부와 전기적으로 연통하고, 내측 전도체(164)는 세장형 RF 핫 전극(120)과 전기적으로 연통한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전기적으로 연통하는"이라는 용어는, 컴포넌트들이 직접적으로 또는 중간 컴포넌트를 통해 연결되고, 이로써 전기적 저항이 거의 없다는 것을 의미한다.
[0059] 도 8은, 동축 RF 피드 라인(160)의 외측 전도체(162)가 접지된 플레이트(180)에 연결되고, 내측 전도체(164)는 RF 핫 전극(120)에 연결되는, 본 발명의 일 실시예를 도시한다. 내측 전도체(164)는, RF 핫 전극(120)과의 전기적 연결이 만들어지는, RF 핫 전극(120)의 리세스(129) 내로, 접지된 플레이트(180)의 도관(187), 유전체 스페이서(170)의 도관(177)을 통해 연장된다. 절연체(166)는, 내측 전도체(164) 및 외측 전도체(162)의 전기적 분리를 유지하기 위해, 접지된 플레이트(180)의 도관(187)을 통해 그리고 유전체 스페이서(170)의 도관(177)을 적어도 부분적으로 통해 연장된다.
[0060] 도 8에 도시된 실시예에서, 유전체 스페이서의 바닥부 층(170a)은 위의 층들과 상이하게 성형된다. 여기에서, 유전체 스페이서의 바닥부 층(170a)은 수직 부분(178)을 갖고, 그러한 수직 부분을 통해 도관(177)이 형성된다. 이러한 수직 부분(178)은 순전히 선택적이며, 오직 하나의 표면 ― 도관(177)은 그러한 표면을 통해 연장됨 ― 을 제공하는 것에 의해, 접지된 플레이트(180)와 RF 핫 전극(120) 사이에 통일된(unified) 유전체 재료가 존재한다는 점을 보장하는 것을 도울 수 있다.
[0061] RF 핫 전극(120)의 리세스(129)가 유전체 스페이서(170)의 도관(177)과 정렬되는 영역은 RF 핫 전극 진공 밀봉부(167)를 이용하여 밀봉된다. RF 핫 전극 진공 밀봉부(167)는, 세장형 RF 핫 전극(120)에 대한 연결부에서, 내측 전도체(164) 주위에서 연장되고, 컴포넌트들 사이의 공간을 밀봉하기 위해 O-링으로서 작용한다. RF 핫 전극 진공 밀봉부(167)는, 비제한적으로, 압축 가능한 재료를 포함하여, 임의의 적합한 재료로 만들어질 수 있다. 당업자는, 고온들 및/또는 부식성 가스들이, 상이한 O-링 재료들, 및 O-링 포획 그루브들(O-링이 안착하는 그루브) 및 밀봉 표면들(O-링 상으로 가압되는 표면)에 대한 적절한 치수결정으로부터 이익을 얻을 수 있음을 이해할 것이다. 유전체 스페이서(170)의 수직 부분(178)과 접지된 플레이트(180) 사이의 계면(interface)은, 유전체 스페이서(170)와 접지된 플레이트(180) 사이에 밀봉을 형성하기 위해, 도관 주위에 유전체 진공 밀봉부(168)를 갖는다. 바닥부 층의 수직 부분(178) 없이, 유전체 스페이서의 다수의 층들이 존재한다면, 각각의 계면은, 동축 RF 피드 라인(160) 주위의 압력 기밀 환경이 존재한다는 점을 보장하기 위해, 밀봉 링, 또는 O-링을 포함할 수 있다. 이러한 가스-기밀 환경은, 갭(116)이 감소된 압력에 있는 동안 동축 RF 피드 라인(160)은 대기압에 있는 것을 허용한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "감소된 압력"이라는 용어는 약 100Torr 미만, 또는 약 75Torr 미만, 또는 약 50Torr 미만, 또는 약 25Torr 미만의 압력을 의미한다. 예를 들어, 약 1Torr 내지 약 25Torr의 범위로 정의된 "중간 압력"은 감소된 압력이다.
[0062] 임의의 플라즈마 처리는, 웨이퍼가 플라즈마 영역을 통해 이동할 때, 웨이퍼에 걸쳐 균일하게 일어날 필요가 있을 것이다. 도 2에 도시된 캐러셀-형 실시예들에서, 웨이퍼는 플라즈마 영역을 통해 회전하여, 선형으로 이동하는 웨이퍼에 대해서 보다, 웨이퍼 표면에 걸친 플라즈마에 대한 노출을 더 가변적이게 한다. 플라즈마 프로세스의 균일성을 보장하기 위한 하나의 방법은, 도 3에 도시된 바와 같이, 균일한 플라즈마 밀도의 "웨지-형상" 또는 "파이-형상"(원형 섹터) 플라즈마 영역을 갖는 것이다. 도 3의 실시예는, 단일 웨이퍼(60)가 있는 간단한 플래튼 구조 ― 또한 서셉터 또는 서셉터 조립체로 지칭됨 ― 를 도시한다. 서셉터(66)가 웨이퍼(60)를 아치형(arcuate) 경로(18)를 따라 회전시킴에 따라, 웨이퍼(60)는 웨지-형상을 갖는 플라즈마 영역(68)을 통과한다. 서셉터는 축(69)을 중심으로 회전하기 때문에, 웨이퍼(60)의 상이한 부분들은 상이한 각속도들을 가질 것이고, 웨이퍼의 외측 둘레 엣지는 내측 둘레 엣지보다 더 빠르게 이동할 것이다. 그러므로, 웨이퍼의 모든 부분들이 플라즈마 영역에서 대략 동일한 체류 시간(residence time)을 갖는 것을 보장하기 위해, 플라즈마 영역은 내측 둘레 엣지에서보다 외측 둘레 엣지에서 더 넓다.
[0063] 본 발명의 몇몇 실시예들은 프로세싱 챔버의 아치형 경로를 따라 포지셔닝된 적어도 하나의 용량 결합 웨지-형상 플라즈마 소스(100)를 포함하는 프로세싱 챔버들에 관한 것이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "아치형 경로"라는 용어는 원형-형상 또는 타원-형상 경로의 적어도 부분을 이동하는 임의의 경로를 의미한다. 아치형 경로는 적어도 약 5°, 10°, 15°, 20°의 경로의 부분을 따른 기판의 이동을 포함할 수 있다.
[0064] 도 9 내지 12를 참조하면, 웨지-형상 하우징(110)을 갖는 플라즈마 소스 조립체의 실시예가 도시된다. 여기에서, 하우징은, 2개의 세장형 측들(117)과 함께 내측 둘레 엣지(118) 및 외측 둘레 엣지(119)를 갖는다. 웨지-형상 부분들의 내측 둘레 엣지(118)는 외측 둘레 엣지(119)보다 더 협소하다. 접지된 플레이트(180), 유전체 스페이서(170), 및 RF 핫 전극(120) 각각은 내측 둘레 엣지, 외측 둘레 엣지 및 2개의 세장형 측들을 갖는 웨지-형상이다.
[0065] 도 9는 웨지-형상 하우징(110)을 갖는 웨지-형상 플라즈마 소스 조립체(100)의 도면을 도시한다. RF 핫 전극(120) 및 단부 유전체(130)가 도시된다. RF 핫 전극(120)의 제 1 단부(124)는, 하우징(110) 및 RF 핫 전극(120)의 내측 둘레 엣지(118)를 정의하고, 제 2 단부(125)는 외측 둘레 엣지를 정의한다. 도 9에 도시된 실시예에서, RF 핫 전극(120)은, 단부 유전체(130)에 의해, 내측 둘레 엣지(118), 외측 둘레 엣지(119), 및 각각의 측(117) 상에서 둘러싸인다. 웨지 스프링(210)은 RF 핫 전극(120)의 외측 둘레 엣지(119)를 따라 포지셔닝된다. 웨지 스프링(210)은, 내측 둘레 엣지(118)를 등진 방향으로의 RF 핫 전극(120)의 팽창 이동을 허용하고, 이로써, 팽창은 실질적으로 외측 둘레 엣지(119)를 향한 방향으로 일어난다. 몇몇 실시예들의 웨지 스프링(210)은 골판형 시트 금속(corrugated sheet metal)의 피스와 유사하다. 몇몇 실시예들에서, 웨지 스프링(210)은 플라즈마 소스의 본체에 대한 접지형 연결부(140)의 접지를 제공한다.
[0066] 도 10은 웨지-형상 플라즈마 소스 조립체(100)의 정상부 부분의 사시도를 도시한다. RF 핫 전극(120)에 걸쳐 균일한 전기장을 제공하기 위해, 동축 RF 피드 라인(160)은 조립체(100)의 임의의 적합한 부분에 연결될 수 있다. 도 10 및 11에 도시된 실시예에서, 동축 RF 피드 라인(160)은, 세장형 측들(117) 사이의, 그러나 내측 둘레 엣지(118)보다 외측 둘레 엣지(119)에 더 근접한 지점에서, RF 핫 전극에 연결된다. 연결 지점은, 예를 들어, RF 핫 전극(120)의 무게 중심, 또는 RF 핫 전극(120)에 걸친 전류 밀도가 실질적으로 균일한, 디바이스의 부분일 수 있다.
[0067] 도 10에 도시된 실시예는 정상부를 제외하고, 이로써, 가스 용적(113) 및 접지된 플레이트(180)의 정상부를 볼 수 있다. 부가적으로, 가해진 힘을 접지된 플레이트(180)의 후면에 제공하는 압축 엘리먼트들(185)을 볼 수 있다. 이러한 압축 엘리먼트들(185)은 가스 용적(113)에 있는 것으로 도시되지만, 이는 단지 하나의 가능한 구성일 뿐이고, 압축 엘리먼트들(185)은 가스 용적(113)으로부터 격리될 수 있다는 점이 이해될 것이다. 도 11은 동축 RF 피드 라인(160)의 중심 축을 따른, 도 10의 실시예의 절단면(cut-away)을 도시한다. 단일 압축 엘리먼트(185)는 트랙(186)과 조합식 배열(cooperative arrangement)로 도시된다. 이러한 트랙(186)은, 압축 엘리먼트들(185)이 외측 둘레 엣지(도시되지 않음) 또는 내측 둘레 엣지(118) 또는 측들(117)의 길이를 따라 이동되는 것을 허용하고, 이로써, 접지된 플레이트(180)에 적용되는 압축력은 전체 접지된 플레이트(180)에 걸쳐 통일될 수 있다.
[0068] 도 12는 웨지-형상 플라즈마 소스 조립체(100)의 다른 실시예의 정면도를 도시한다. 여기에서, 복수의 개구들(114)의 배열을 볼 수 있다. 도시된 실시예에서, 개구들(114)은, 전면(112)의 중심 축(212)에 대해 각을 이루는(angled) 열들(rows)로 균등하게 분포된다. 달리 말해서, 하우징(110)의 전면(112)은, 하우징의 중심 축(212) ― 또한 장축으로 지칭됨 ― 에 대해 어떠한 각도로(at an angle) 회전되는 홀 패턴을 형성하는 복수의 개구들(114)을 갖는다. 플라즈마 소스 조립체의 표면에 걸친 웨이퍼의 이동의 방향은 화살표들(215)로 도시된다. 개구들(114)의 라인은 중심 축(212)에 대해 각을 이룰 수 있고, 이로써, 기판의 표면 상의 임의의 주어진 점은, 플라즈마 소스를 횡단하는 동안, 개구들의 라인을 "볼" 수 없다. 이는, 최종 생산물에서 눈에 띄는 스트라이핑(striping) 없이, 더 균일한 플라즈마 노출을 제공할 수 있다.
[0069] 본 발명의 부가적인 실시예들은 복수의 기판들을 프로세싱하는 방법들에 관한 것이다. 복수의 기판들은 프로세싱 챔버의 기판 지지부 상에 로딩된다(loaded). 기판 지지부는, 기판 상에 필름을 증착시키기 위해, 복수의 기판들 각각을 가스 분배 조립체에 걸쳐 통과시키도록 회전된다. 기판 지지부는 기판들을, 플라즈마 영역에 실질적으로 균일한 플라즈마를 생성하는 용량 결합 파이-형상 플라즈마 소스에 인접한 플라즈마 영역으로 이동시키도록 회전된다. 이는, 미리 결정된 두께의 필름이 형성될 때까지 반복될 수 있다.
[0070] 캐러셀의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 연속적으로(constantly) 회전하고, 이로써, 웨이퍼들은 주입기들 각각에 차례대로 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 주입기 영역으로 이동되고 정지될 수 있으며, 그런 다음에 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 영역으로부터 주입기를 가로질러 이동하고(또는 주입기에 인접하여 정지하고), 캐러셀이 다시 중단(pause)할 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이의 중단은 각각의 층 증착 사이의 부가적인 프로세싱(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0071] 플라즈마의 주파수는 사용되는 특정 반응성 종에 따라 튜닝될 수 있다. 적합한 주파수들은, 비제한적으로, 2MHz, 13.56MHz, 40MHz, 60MHz, 및 100MHz를 포함한다.
[0072] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 후에, 프로세싱을 겪는다. 이러한 프로세싱은 동일한 챔버에서 또는 하나 또는 그 초과의 개별 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터, 개별적인 제 2 챔버로 이동된다. 기판은 제 1 챔버로부터, 개별 프로세싱 챔버로 바로 이동될 수 있거나, 기판은 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그런 다음에 개별 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터형 시스템", 등으로 지칭될 수 있다.
[0073] 일반적으로, 클러스터 툴은, 기판 중심-찾기(center-finding) 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 록 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에, 기판들을 왕복시킬(shuttle) 수 있는 로봇을 하우징할(house) 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 기판들을 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전단부에 포지셔닝된 로드 록 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 발명을 위해 이루어질 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수 가능하다. 하나의 그러한 스테이지형-진공 기판 프로세싱 장치의 세부 사항들은, 1993년 2월 16일에 발행된, Tepman 등의, "Staged-Vacuum Wafer Processing Apparatus and Method" 라는 명칭의 미국 특허 제 5,186,718 호에 개시된다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 특정 프로세스들을 수행할 목적들을 위해, 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 비제한적으로, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정(pre-clean), 화학 세정, RTP와 같은 열처리, 플라즈마 질화, 탈기, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염은, 후속하는 필름을 증착시키기에 앞서, 산화 없이, 회피될 수 있다.
[0074] 하나 또는 그 초과의 실시예들에 따르면, 기판은 연속적으로 진공 또는 "로드 록" 조건들 하에 있으며, 하나의 챔버로부터 다음 챔버로 이동될 때 대기(ambient air)에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 프로세싱 챔버들 또는 이송 챔버들에 불활성 가스들이 존재할 수 있다. 몇몇 실시예들에서, 불활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들 중 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 및/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0075] 프로세싱 동안, 기판은 가열되거나 냉각될 수 있다. 그러한 가열 또는 냉각은, 비제한적으로, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것 및 기판 표면에 가열된 또는 냉각된 가스들을 유동시키는 것을 포함하여, 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는 기판 온도를 전도적으로(conductively) 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 불활성 가스들)은, 기판 온도를 국부적으로 변화시키기 위해, 가열되거나 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류적으로(convectively) 변화시키기 위해, 챔버 내에서 기판 표면에 인접하여 포지셔닝된다.
[0076] 기판은 또한, 프로세싱 동안 고정식이거나 회전될 수 있다. 회전형 기판은 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 동안 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에 적은 양만큼 회전될 수 있다. 프로세싱 동안 기판을 (연속적으로 또는 단계적으로) 회전시키는 것은, 예를 들어, 가스 유동 기하 형상들(gas flow geometries)에서의 국부적인 가변성의 효과를 최소화하는 것에 의해, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0077] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들은 본 발명의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 본 발명의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 모듈형(modular) 플라즈마 소스 조립체로서,
    측벽, 전기적으로 접지된 전면, 및 가스 용적을 갖는 세장형 하우징(elongate housing);
    상기 하우징 내에 있는 세장형 RF 핫 전극(hot electrode) ― 상기 세장형 RF 핫 전극은 장축(elongate axis)을 정의하는 제 1 단부와 제 2 단부, 전면, 후면, 및 세장형 측들을 갖고, 상기 세장형 RF 핫 전극은, 상기 세장형 하우징의 전면과 상기 RF 핫 전극의 전면 사이에 갭을 형성하기 위해, 상기 전면으로부터 이격됨 ―;
    상기 측벽과 상기 RF 핫 전극 사이에 있으며, 상기 RF 핫 전극의 상기 제 1 단부 및 상기 제 2 단부 각각에 접촉하는 단부 유전체(end dielectric);
    상기 RF 핫 전극의 상기 제 1 단부 및 상기 제 2 단부 중 하나 또는 그 초과에, 상기 단부 유전체에 대향하여(opposite) 포지셔닝되는 슬라이딩 접지 연결부(sliding ground connection) ― 상기 슬라이딩 접지 연결부는 상기 단부 유전체에 의해, 상기 RF 핫 전극과의 직접 접촉으로부터 격리됨 ―;
    각각의 슬라이딩 접지 연결부에서, 상기 단부 유전체 반대편에 포지셔닝되는 밀봉 호일(seal foil) ― 상기 밀봉 호일은 상기 세장형 하우징의 전면과 상기 슬라이딩 접지 연결부 사이에 전기적 연결을 형성함 ―;
    상기 세장형 하우징을 통과하는 동축 RF 피드 라인(coaxial RF feed line)으로서, 상기 동축 RF 피드 라인은 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함하고, 상기 외측 전도체는 전기적 접지부와 연통하며(communication with), 상기 내측 전도체는 상기 세장형 RF 핫 전극과 전기적으로 연통하는 것인, 동축 RF 피드 라인;
    상기 하우징 내에 있고, 상기 세장형 RF 핫 전극의 상기 후면에 인접하여 포지셔닝되는 유전체 스페이서(spacer); 및
    상기 하우징 내에 있고, 상기 RF 핫 전극 반대편의, 상기 유전체 스페이서의 측 상에 포지셔닝되는 접지된 플레이트로서, 상기 접지된 플레이트는 전기적 접지부에 연결되는 것인, 접지된 플레이트를 포함하는,
    모듈형 플라즈마 소스 조립체.
  2. 제 1 항에 있어서,
    상기 동축 RF 피드 라인의 상기 외측 전도체는 상기 접지된 플레이트에 연결되는,
    모듈형 플라즈마 소스 조립체.
  3. 제 1 항에 있어서,
    상기 내측 전도체는 상기 유전체 스페이서 및 상기 접지된 플레이트의 채널을 통해 연장되고, 상기 세장형 RF 핫 전극에 연결되는,
    모듈형 플라즈마 소스 조립체.
  4. 제 3 항에 있어서,
    상기 갭이 감소된 압력에 있을 때, 상기 접지된 플레이트를 통해 연장되는 상기 채널이 대기압에 있도록, 상기 세장형 RF 핫 전극에 대한 연결부에서 상기 내측 전도체 주위에 RF 핫 전극 진공 밀봉부, 및 상기 접지된 플레이트 및 상기 유전체 스페이서의 계면에서 상기 채널 주위에 유전체 진공 밀봉부를 더 포함하는,
    모듈형 플라즈마 소스 조립체.
  5. 제 1 항에 있어서,
    상기 RF 핫 전극, 상기 유전체 스페이서 및 상기 접지된 플레이트 각각, 및 상기 하우징은, 내측 둘레 엣지, 외측 둘레 엣지, 및 2개의 세장형 측들을 갖는 웨지-형상이고, 상기 제 1 단부는 상기 하우징의 상기 내측 둘레 엣지를 정의하며, 상기 제 2 단부는 상기 외측 둘레 엣지를 정의하는,
    모듈형 플라즈마 소스 조립체.
  6. 제 5 항에 있어서,
    상기 하우징의 전면은, 상기 전면을 통하는 복수의 개구부들을 포함하고, 상기 복수의 개구부들은 상기 하우징의 장축에 대해 어떠한 각도로(at an angle) 회전되는 홀 패턴을 형성하는,
    모듈형 플라즈마 소스 조립체.
  7. 모듈형 플라즈마 소스 조립체로서;
    측벽, 전기적으로 접지된 전면, 및 가스 용적을 갖는 세장형 하우징;
    상기 하우징 내의 세장형 RF 핫 전극 ― 상기 세장형 RF 핫 전극은, 장축을 정의하는 제 1 단부와 제 2 단부, 전면, 후면, 및 세장형 측들을 갖고, 상기 세장형 RF 핫 전극은, 상기 세장형 하우징의 전면과 상기 RF 핫 전극의 전면 사이에 갭을 형성하기 위해, 상기 전면으로부터 이격됨 ―;
    상기 하우징 내에 있고, 상기 세장형 RF 핫 전극의 상기 후면에 인접하여 포지셔닝되는 유전체 스페이서(spacer);
    상기 하우징 내에 있고, 상기 RF 핫 전극 반대편의, 상기 유전체 스페이서의 측 상에 포지셔닝되는 접지된 플레이트 ― 상기 접지된 플레이트는 전기적 접지부에 연결됨 ―;
    상기 유전체 스페이서 및 상기 접지된 플레이트를 통해 연장되는 채널;
    상기 세장형 하우징을 통과하는 동축 RF 피드 라인 ― 상기 동축 RF 피드 라인은 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함하고, 상기 외측 전도체는 상기 접지된 플레이트와 전기적으로 연통하며, 상기 내측 전도체는, 상기 유전체 스페이서 및 상기 접지된 플레이트의 채널을 통과하고, 상기 세장형 RF 핫 전극과 전기적으로 연통하며, 상기 갭이 감소된 압력에 있을 때, 상기 채널은 대기압에 있음 ―;
    상기 측벽과 상기 RF 핫 전극 사이에 있으며, 상기 RF 핫 전극의 상기 제 1 단부 및 상기 제 2 단부 각각에 접촉하는 단부 유전체;
    상기 RF 핫 전극의 상기 제 1 단부 및 상기 제 2 단부 중 하나 또는 그 초과에, 상기 단부 유전체에 대향하여 포지셔닝되는 슬라이딩 접지 연결부 ― 상기 슬라이딩 접지 연결부는 상기 단부 유전체에 의해, 상기 RF 핫 전극과의 직접 접촉으로부터 격리됨 ―; 및
    각각의 슬라이딩 접지 연결부에서, 상기 단부 유전체 반대편에 포지셔닝되는 밀봉 호일을 포함하며,
    상기 밀봉 호일은 상기 세장형 하우징의 전면과 상기 슬라이딩 접지 연결부 사이에 전기적 연결을 형성하는,
    모듈형 플라즈마 소스 조립체.
  8. 모듈형 플라즈마 소스 조립체로서,
    내측 둘레 단부(inner peripheral end), 외측 둘레 단부, 상기 내측 둘레 단부와 상기 외측 둘레 단부를 연결하는 2개의 측벽들, 전기적으로 접지된 전면 ― 상기 전면은, 상기 전면을 통하는 복수의 개구부들을 포함함 ―, 및 가스 용적을 포함하는 웨지-형상(wedge-shaped) 세장형 하우징;
    상기 하우징 내에 있고, 전면 표면, 후면 표면, 세장형 측들, 상기 내측 둘레 단부에 인접하는 제 1 단부, 및 상기 외측 둘레 단부에 인접하는 제 2 단부를 갖는 본체를 갖는 웨지-형상 RF 핫 전극 ― 갭을 형성하기 위해, 상기 RF 핫 전극의 전면 표면은 상기 하우징의 전면으로부터 이격됨 ―;
    상기 RF 핫 전극의 상기 제 1 단부 및 상기 제 2 단부 각각에 접촉하는 단부 유전체;
    상기 RF 핫 전극의 제 2 단부에, 상기 단부 유전체에 대향하여 포지셔닝되는 슬라이딩 접지 연결부 ― 상기 슬라이딩 접지 연결부는 상기 단부 유전체에 의해, 상기 RF 핫 전극과의 직접 접촉으로부터 격리됨 ―;
    상기 슬라이딩 접지 연결부에 인접하여, 상기 단부 유전체 반대편에 포지셔닝되는 밀봉 호일 ― 상기 밀봉 호일은 상기 세장형 하우징의 전면과 상기 슬라이딩 접지 연결부 사이에 전기적 연결을 형성함 ―;
    상기 세장형 하우징을 통과하는 동축 RF 피드 라인으로서, 상기 동축 RF 피드 라인은 절연체에 의해 분리된, 내측 전도체 및 외측 전도체를 포함하고, 상기 외측 전도체는 전기적 접지부와 연통하며 상기 내측 전도체는 상기 RF 핫 전극과 전기적으로 연통하는 것인, 동축 RF 피드 라인;
    상기 하우징 내에 있고, 상기 RF 핫 전극의 상기 후면 표면에 인접하여 포지셔닝되는 웨지-형상 유전체 스페이서; 및
    상기 하우징 내에 있고, 상기 RF 핫 전극 반대편의, 상기 유전체 스페이서의 측 상에 포지셔닝되는 웨지-형상의 접지된 플레이트로서, 상기 접지된 플레이트는 전기적 접지부에 연결되는 것인, 접지된 플레이트를 포함하는,
    모듈형 플라즈마 소스 조립체.
  9. 제 8 항에 있어서,
    상기 동축 RF 피드 라인의 상기 외측 전도체는 상기 접지된 플레이트에 연결되는,
    모듈형 플라즈마 소스 조립체.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 유전체 스페이서의 방향으로, 상기 접지된 플레이트에 압축력을 제공하기 위해, 복수의 압축 엘리먼트들을 더 포함하는,
    모듈형 플라즈마 소스 조립체.
  11. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 RF 핫 전극 및 상기 유전체 스페이서 각각은, 상기 가스 용적 내의 가스가 상기 RF 핫 전극 및 상기 유전체 스페이서를 통해 상기 갭 내로 통과할 수 있도록, 상기 RF 핫 전극 및 상기 유전체 스페이서를 통하는 복수의 홀들을 포함하는,
    모듈형 플라즈마 소스 조립체.
  12. 제 11 항에 있어서,
    상기 유전체 스페이서는 둘 또는 그 초과의 유전체 스페이서 층들을 포함하고, 각각의 유전체 스페이서 층은, 각각의 유전체 스페이서 층을 통하는 복수의 홀들을 갖는,
    모듈형 플라즈마 소스 조립체.
  13. 제 12 항에 있어서,
    상기 유전체 스페이서 층들 중 각각의 유전체 스페이서 층 내의 상기 복수의 홀들은, 인접한 유전체 스페이서 층 내의 복수의 홀들로부터 오프셋되고(offset), 적어도 하나의 채널이 각각의 유전체 스페이서 층의 후면 상에 형성되며, 상기 적어도 하나의 채널은, 상기 유전체 스페이서 층들 중 각각의 유전체 스페이서 층 내의 상기 복수의 홀들과 상기 인접한 유전체 스페이서 층 또는 RF 핫 전극 사이에 유체 연결을 형성하는,
    모듈형 플라즈마 소스 조립체.
  14. 삭제
  15. 삭제
KR1020167006796A 2013-08-16 2014-08-15 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스 KR102176329B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361867020P 2013-08-16 2013-08-16
US61/867,020 2013-08-16
US14/460,683 2014-08-15
PCT/US2014/051274 WO2015023945A1 (en) 2013-08-16 2014-08-15 Elongated capacitively coupled plasma source for high temperature low pressure environments
US14/460,683 US9355819B2 (en) 2013-08-16 2014-08-15 Elongated capacitively coupled plasma source for high temperature low pressure environments

Publications (2)

Publication Number Publication Date
KR20160043084A KR20160043084A (ko) 2016-04-20
KR102176329B1 true KR102176329B1 (ko) 2020-11-09

Family

ID=52466351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167006796A KR102176329B1 (ko) 2013-08-16 2014-08-15 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스

Country Status (6)

Country Link
US (2) US9355819B2 (ko)
JP (1) JP6469688B2 (ko)
KR (1) KR102176329B1 (ko)
CN (2) CN105474362B (ko)
TW (3) TWI769494B (ko)
WO (1) WO2015023945A1 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR20170022459A (ko) * 2015-08-20 2017-03-02 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
CN108028164B (zh) * 2015-09-11 2020-12-29 应用材料公司 具有开槽接地板的等离子体模块
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR101798373B1 (ko) 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 유전체창 지지구조
US10519545B2 (en) * 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
DE102017100192A1 (de) * 2017-01-06 2018-07-12 Cinogy Gmbh Permanente Wundauflage mit Plasmaelektrode
US10900907B2 (en) * 2017-02-17 2021-01-26 Radom Corporation Portable plasma source for optical spectroscopy
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
US11355321B2 (en) * 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
CN111492459B (zh) * 2017-12-15 2023-07-14 应用材料公司 用于来自竖直等离子体源的改进等离子体暴露的成形电极
TWI758589B (zh) * 2018-03-01 2022-03-21 美商應用材料股份有限公司 電漿源組件和提供電漿的方法
WO2019199648A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window
US11201035B2 (en) * 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
TWI721373B (zh) * 2018-06-28 2021-03-11 美商梅瑞堤儀器公司 電漿源,用於一電漿之激發之激發系統及光學監控系統
CN111349913B (zh) * 2018-12-22 2024-09-06 深圳市原速光电科技有限公司 一种等离子体源及一种镀膜机
CN111370281B (zh) * 2018-12-26 2023-04-28 中微半导体设备(上海)股份有限公司 等离子体刻蚀装置
US20200365375A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Stray plasma prevention apparatus for substrate process chamber
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
KR20230033101A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 플라즈마 발생 장치
US20240079209A1 (en) * 2022-09-02 2024-03-07 Mks Instruments, Inc. Method and apparatus for plasma generation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP3092884B2 (ja) * 1992-08-14 2000-09-25 日本真空技術株式会社 真空処理装置
JPH06236858A (ja) * 1993-02-10 1994-08-23 Tokyo Electron Ltd プラズマ処理装置
DE69506619T2 (de) 1994-06-02 1999-07-15 Applied Materials, Inc., Santa Clara, Calif. Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6209480B1 (en) 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6461483B1 (en) 2000-03-10 2002-10-08 Applied Materials, Inc. Method and apparatus for performing high pressure physical vapor deposition
US7141757B2 (en) 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) * 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6887786B2 (en) 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
JP3881307B2 (ja) 2002-12-19 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100585089B1 (ko) 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
KR20060063900A (ko) * 2003-07-23 2006-06-12 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 장치 및 그 전극 구조
CN100543944C (zh) * 2004-04-30 2009-09-23 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20090057266A1 (en) * 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
US8062472B2 (en) 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
WO2009099661A2 (en) * 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
TWI393487B (zh) * 2008-03-04 2013-04-11 Advanced Micro Fab Equip Inc 具有複數個電漿反應區域的包括複數個處理平台的電漿反應室
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
KR20100004857A (ko) * 2008-07-03 2010-01-13 주성엔지니어링(주) 건식 에칭 장치
JP4871339B2 (ja) * 2008-10-10 2012-02-08 キヤノンアネルバ株式会社 スパッタリング方法
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
DE102010060762B4 (de) * 2010-11-24 2019-05-23 Meyer Burger (Germany) Gmbh Plasmabearbeitungsvorrichtung
US8575844B1 (en) * 2011-08-18 2013-11-05 Rockwell Collins, Inc. Plasma klystron switch
US9034143B2 (en) * 2011-10-05 2015-05-19 Intevac, Inc. Inductive/capacitive hybrid plasma source and system with such chamber
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
US20140178604A1 (en) * 2012-12-21 2014-06-26 Gary S. Selwyn Dual-Zone, Atmospheric-Pressure Plasma Reactor for Materials Processing
JP6236858B2 (ja) 2013-05-08 2017-11-29 富士通株式会社 集積装置及びその製造方法並びに配線データ生成装置、配線データ生成方法及び配線データ生成プログラム
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus

Also Published As

Publication number Publication date
TW201515528A (zh) 2015-04-16
US9721757B2 (en) 2017-08-01
TWI717610B (zh) 2021-02-01
KR20160043084A (ko) 2016-04-20
TWI629918B (zh) 2018-07-11
JP6469688B2 (ja) 2019-02-13
TW201832622A (zh) 2018-09-01
TW202103523A (zh) 2021-01-16
US20160276136A1 (en) 2016-09-22
WO2015023945A1 (en) 2015-02-19
US9355819B2 (en) 2016-05-31
US20150048739A1 (en) 2015-02-19
CN105474362B (zh) 2018-05-25
JP2016535410A (ja) 2016-11-10
CN108770167A (zh) 2018-11-06
TWI769494B (zh) 2022-07-01
CN108770167B (zh) 2021-01-12
CN105474362A (zh) 2016-04-06

Similar Documents

Publication Publication Date Title
KR102176329B1 (ko) 고온 저압 환경들을 위한 세장형 용량 결합 플라즈마 소스
KR102434975B1 (ko) 용량성 커플링된 플라즈마 소스 아래의 워크피스의 균일한 조명을 위한 홀 패턴
US9711330B2 (en) RF multi-feed structure to improve plasma uniformity
KR102662705B1 (ko) 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102589972B1 (ko) 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
CN111492459A (zh) 用于来自竖直等离子体源的改进等离子体暴露的成形电极

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant