KR20230024424A - 원자 스케일 처리를 위한 초고순도 조건 - Google Patents

원자 스케일 처리를 위한 초고순도 조건 Download PDF

Info

Publication number
KR20230024424A
KR20230024424A KR1020237003170A KR20237003170A KR20230024424A KR 20230024424 A KR20230024424 A KR 20230024424A KR 1020237003170 A KR1020237003170 A KR 1020237003170A KR 20237003170 A KR20237003170 A KR 20237003170A KR 20230024424 A KR20230024424 A KR 20230024424A
Authority
KR
South Korea
Prior art keywords
reactor
gas
precursor
valve
pump
Prior art date
Application number
KR1020237003170A
Other languages
English (en)
Inventor
길버트 브루스 주니어 레이너
노엘 크리스토퍼 오툴
다니엘 에드워드 칼슨
Original Assignee
커트 제이. 레스커 컴파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 커트 제이. 레스커 컴파니 filed Critical 커트 제이. 레스커 컴파니
Publication of KR20230024424A publication Critical patent/KR20230024424A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

원자 스케일 처리 장치가 제공된다. 장치는 반응기(100) 및 유도 결합 플라즈마 소스(10)를 포함할 수 있다. 반응기는 내부 표면들의 일부가 반응기의 내부 체적(156)을 형성하도록 내부 표면(154) 및 외부 표면(152)을 가질 수 있다. 반응기의 내부 체적은 기판(118)을 지지하기 위한 고정 조립체(158)를 포함할 수 있으며, 여기서 내부 체적 내의 각 배경 불순물의 부분 압력은 원자 스케일 처리 동안 표면 반응들에서 상기 불순물들의 역할을 감소시키기 위해 10-6 Torr 미만일 수 있다.

Description

원자 스케일 처리를 위한 초고순도 조건{ULTRA HIGH PURITY CONDITIONS FOR ATOMIC SCALE PROCESSING}
관련 출원에 대한 상호 참조
본 출원은 2019년 8월 12일에 출원된 미국 가특허출원 제 62/885,446호에 대한 우선권을 주장하며, 이 문헌의 전체 내용은 참조로서 본 명세서에 포함된다. 본 출원은 2020년 6월 5일에 출원된 미국 가특허출원 제 63/035,014호에 대한 우선권을 추가로 주장하며, 이 문헌의 전체 내용은 참조로서 본 명세서에 포함된다.
발명의 분야
본 개시는 원자 스케일 처리에 관한 것이며, 보다 구체적으로는, 플라즈마 기반 원자 스케일 처리 및 초고순도(UHP) 조건들 하에서의 플라즈마 기반 원자 스케일 처리에서 배경 종들의 부분 압력을 감소 및/또는 제어하기 위한 방법에 관한 것이다.
원자 스케일 처리 기술은 로직, 메모리, 전력 및 광전자 장치들을 포함하는 광범위한 전자 응용들에서 상당한 관심을 받고 있다. 이러한 기술에는 원자층 증착(ALD), 원자층 에칭(ALE) 및 영역 선택 ALD(ASALD)가 포함된다. 원자 스케일 처리의 표면 제어 특성으로 인해, 일관되고 재현 가능한 공정 결과를 달성하려면 일관되고 고도로 제어된 환경을 유지해야 한다. UHP 조건은 다양한 원자 스케일 처리 기술과 관련된 표면 반응에서의 역할을 줄이기 위해 공정 환경 내에서 감소된 수준의 배경 불순물을 기반으로 한다. 이것은 산소를 함유하는 종들(예를 들면, 분자 산소(O2) 및 물(H2O))을 포함한다. UHP 공정 조건은 또한 보충 가스 및/또는 증기상(vapor phase) 전구체의 정밀 제어 및 전달을 위한 이상적인 환경을 제공하여 원자 스케일의 공정 능력을 향상시킬 수 있다.
ALD는 가스/증기상 종들과 활성 표면 부위들 사이의 순차적, 자기-제한적 표면 반응들에 기초한 화학 기상 증착(CVD) 기술이다. ALD의 고유한 표면 제어 특성으로 인해 이것은 컨포멀, 고품질 산화물 및 비산화물 기반 재료들과 이들의 인터페이스들이 필요한 까다로운 응용들에 이상적인 선택으로 된다. 예를 들어, 3차원(3D) GAAFET(gate-all-around field effect transistor) 장치를 위한 ALD 기술에 의한 게이트 스택 제조(즉, 고유전율 유전체 및 금속 게이트)가 10nm 이하 기술 노드용 아키텍처이다. ALD 기술에는 PEALD(purely thermal and plasma enhanced ALD)가 포함된다. 현재 PEALD 반응기 설계와 관련된 제약은 비산화물 기반 재료, 특히 질화티타늄(TiNx), 질화알루미늄(AlNx), 질화규소(SiNx), 알루미늄(Al), 티타늄(Ti), 탄탈륨(Ta) 등과 같이 산소 친화도가 높은 재료의 성장 동안 산소가 바람직하지 않게 결합된다는 것이다. PEALD에 의해 성장된 재료들의 상대적으로 느린 증착 속도로 인해, 비산화물 공정은 생성되는 층에서 높은 수준의 산소 불순물을 생성하는 성장 동안, O2 및/또는 H2O와 같은 배경 산소 불순물에 대한 높은 노출로 오랫동안 곤란을 겪어왔다. UHP 조건들은 막 성장 이전, 도중 및 이후에 노출을 제한하기 위해, 배경 산소 및 기타 불순물의 수준을 줄임으로써 이 오랜 문제를 해결한다.
배경 종의 감소와 대조적으로, UHP 배경에 대한 종(또는 전구체)의 선택적 추가가 개선된 공정 능력을 위해서 ALD 동안 표면 화학을 수정하는데 사용될 수 있다. 이러한 표면 개질에는 막 성장 동안 특정 원소의 제거(예를 들면, 탄소 불순물 제거) 및/또는 혼입(예를 들면, 산소 도핑)이 포함된다. 이 경우, 보조 전구체 배경 수준에 대한 정확한 제어를 유지하는 것이 중요하다. 예를 들어, 전구체 부분 압력의 제어는 혼입되는 재료(또는 도펀트)의 노출 및 후속 양을 결정한다. 표면 화학이 도펀트 혼입을 유도하기 때문에, 증착되는 막에서 원하는 도펀트 수준을 달성하기 위해 전구체 부분 압력의 정밀한 제어를 유지하는 것이 필요하다.
ALE는 UHP 조건들로부터 이점을 얻는 또 다른 원자 스케일 처리 기술이다. 층별(layer-by-layer) 성장을 기반으로 하는 ALD 기술과 달리, ALE 기술은 한 번에 한 층씩 재료를 제거(또는 에칭)한다. 보다 구체적으로, ALE 기술은 원자 스케일 제어로 얇게 개질된 표면층들을 제거한다. 현재, ALE는 주로 10nm 미만의 기술 노드에 대한 기존의 반응성 이온 에칭(RIE) 기술의 한계에 의해 동기가 부여된다. 중요한 장치 구조 및 패터닝을 정의하기 위해 ALE를 사용하는 것 외에도, ALD/PEALD 및 ALE 기술들의 조합이 성장 및 후속 에칭 단계를 통해 표면 거칠기를 효과적으로 감소시키는 것으로 나타났다. 예를 들어, 성장의 초기 단계에서 핵 생성 지연은 3D 아일랜드를 형성하여 높은 표면 거칠기 및 얇은 연속적인 층을 성장시킬 수 없는 결과를 초래할 수 있다. 그러나, 일련의 성장 및 에칭 단계를 통해, 매우 매끄럽고, 얇으며, 연속적인 층들을 얻을 수 있다. ALD와 유사하게, UHP 배경에 전구체를 선택적으로 추가하면 순수 열 및 플라즈마 기반 ALE 동안 표면 화학을 수정할 수도 있다. 이러한 수정에는 개선된 에칭 성능을 위한 표면 수정 및 후속 제거 단계들 동안 특정 원소들의 제거 및/또는 혼입이 포함된다.
ASALD도 또한 UHP 조건들로부터 이점을 얻는다. ASALD는 선택적인 성장을 가능하게 하기 위해 서로 다른 시작 표면들 사이의 전구체 반응성 차이를 이용하는 ALD 기술이다. ASALD는 성장 동안 피쳐들의 자체 정렬을 가능하게 함으로써 기존 패터닝 기술과 관련된 에지 배치 오류를 해결할 수 있는 상향식(bottom-up) 접근 방식이다. 이상적으로는, 증착이 지정된 성장 영역에서만 발생하지만, 그렇지 않은 경우가 빈번하기 때문에, 원하는 선택성을 보장하기 위해 ASALD에는 ALD/PEALD 및 ALE 공정 단계들의 조합이 흔히 필요하게 된다. 따라서, 전구체 전달을 포함하는 UHP 조건들의 이점들은 ASALD에도 동일하게 적용될 수 있다.
원자 스케일 처리에서 배경 불순물은 시스템 누출, 공정 가스, 엘라스토머 투과, 공정 펌프 역-확산 및 역-스트림, 가스 방출, 기화 및/또는 플라즈마 에칭과 같은 소스들로부터 유래할 수 있다. 시스템 설계 및 관련 절차는 UHP 공정 조건들이 달성될 수 있도록, 10-6 Torr 미만의 불순물 부분 압력을 얻기 위해 이러한 소스들 각각을 다루어야 한다. 예를 들어, 원자 스케일 처리를 위한 UHP 공정 조건들은 2원자%(at.%) 미만의(예를 들면, 비산화물 기반 막 성장의 경우 1at.% 미만) 배경 산소 혼입을 가능하게 한다.
시스템 누출에는 대기 누출, 밸브 시트를 가로지르는 공정 가스 및/또는 증기의 내부 누출, 사공간(dead-space) 체적 내부의 가스/증기 트랩 및/또는 반응기 내부에서 재순환하는 흐름 패턴으로 인한 내부 누출(일반적으로 가상 누출이라 함)이 포함된다. 진공 구성 요소들의 통상적인 누출 테스트는 밸브 시트 전체의 내부 누출뿐만 아니라 대기 누출을 식별하고 제거한다. 적절한 설계, 제조 및 조립 절차들은 식별하기 매우 어려울 수 있는 가스/증기 트랩으로 인한 내부 누출을 사전에 해결한다.
공정 가스 불순물들은 또한 배경 오염의 중요한 소스가 될 수 있다. UHP 등급(99.999% 순도) 공정 가스들(예를 들면, 아르곤(Ar), 분자 질소(N2), 분자 수소(H2) 등)는 O2, H2O, 일산화탄소(CO) 및 이산화탄소(CO2)와 같은 최대 ppm(parts-per-million) 수준의 불순물들을 가질 수 있다. 0.001 내지 1 Torr 범위의 일반적인 공정 가스 압력들에서, ppm 수준의 불순물들은 각각 10-9 내지 10-6 Torr 부분 압력 범위이다. 그러나, 소스 가스, 전달 라인들 및/또는 구성 요소들의 부적절한 유지 관리(예를 들면, 통상적인 가스 실린더 교체)로 인해 불순물 농도가 ppm 수준을 초과할 수 있다. 공정 가스 불순물 수준들의 잠재적인 변동성을 해결하기 위해, 가스 정화를 사용하여 불순물들을 ppb(parts-per-billion) 수준 이하로 줄임으로써, UHP 반응기 조건들에 대한 요구 사항(즉, 불순물 부분 압력들이 10-6 Torr 미만)이 충족되도록 할 수 있다. 그러나, 이러한 수준의 성능을 유지하려면, 정화 매체의 수명을 극적으로 단축시킬 수 있는 공정 가스의 과도한 오염 수준으로 정화 매체가 노출되는 것을 방지하는 것이 중요하다. 예를 들어, 대기로부터의 과도한 수준의 O2 및/또는 H2O(또는 공급자로부터의 오염된 공정 가스) 유입은 매체 열화로 이어지며 그 결과 정화기의 조기 고장이 발생한다. 따라서, 성능을 보장하기 위해서는 정화기의 주기적인 평가가 필요하다. 공정 가스의 불순물 수준에 민감한 기준 공정은 교체가 필요한지 여부를 결정하는데 효과적이다.
원자 스케일 처리에서 배경 불순물들의 추가 소스는 엘라스토머 재료들의 투과를 통한 것이다. 엘라스토머 재료들의 주요 용도는 시스템 구성 요소들 사이에 진공 시일(vacuum seal)을 생성하는 것이다. 엘라스토머에는 엘라스토머 재료의 대부분을 통해 가스가 확산될 수 있도록 하는 작은 구멍(또는 공극)이 있다. 엘라스토머 O-링을 통한 이러한 가스 및/또는 증기의 확산은 신중한 고려가 필요한 원자 스케일 처리 환경 내로의 배경 불순물 유입과 관련하여 중요한 요인이 된다. 엘라스토머 재료는 다른 금속 시일에 비해 투과에 더 민감한 미세 구조를 초래하는 큰, 꼬아진 분자 사슬로 구성되어 있다. 그러나, 금속 시일을 사용하면, 투과는 줄이게 되지만, 진공 시스템 비용과 복잡성이 바람직하지 않게 증가할 수 있다.
O-링의 형태로 제공되는 상기 엘라스토머 재료의 투과는 cm3(STP)/sec의 단위를 갖는 방정식 Q = K(A/L)ΔP에 의해 표현될 수 있으며(STP는 표준 온도 및 압력을 의미함; 즉, 0℃(273.15K) 및 1 atm(760 Torr)), 여기서 Q는 투과율, K는 온도 의존적 투과 계수, A는 가스/증기상 종이 엘라스토머에 들어가는 면적, L은 재료의 두께(즉, 투과 길이), ΔP는 주어진 종에 대한 엘라스토머 시일을 가로지르는 부분 압력의 차이이다. 앞서 언급한 방정식은 정상 상태(steady-state) 확산 및 흡수된 분자가 해리되지 않는 것을 가정한 것이다. 온도 의존성을 명시적으로 나타내기 위해, 정상 상태 투과율은 다음과 같이 표현될 수 있다: Q = Koe-E/RT(A/L)ΔP, 여기서 Ko는 상수, E는 확산 활성화 에너지, R은 기체 상수, T는 온도이다(Ko 및 E는 기체 및 재료 종속 값들). 일반적으로, 투과계수 K는 온도에 따라 증가하므로, 엘라스토머의 투과율을 증가시킨다.
주어진 엘라스토머 O-링에 대해, 가스/증기상 종이 엘라스토머에 들어가는 유효 면적은 A = πDh에 의해 표현될 수 있으며, 여기서 D는 O-링의 직경이고 h는 압축된 높이이다. 이것을 앞서 언급한 투과율 방정식에 삽입하면 다음과 같은 근사값이 산출된다: Q
Figure pat00001
K(πDh/L)△P, 여기서 투과 길이 L은 대략적으로 O-링의 압축된 너비이다.
예를 들어, P1을 2% 대기압(22℃, 75% 상대 습도)에서 대기 중 물의 부분 압력인 것으로 하고, P2를 원자 스케일 공정 환경에서의 물 부분 압력인 것으로 한다. 이 경우 △P = P1 - P2
Figure pat00002
15 Torr이 되도록 P1 >> P2이다. Chemours Company에서 상업적으로 입수 가능한 Viton O-링과 같은 플루오로엘라스토머 O-링의 경우, H2O에 대한 온도 의존적 투과 계수는 150℃의 온도에서 플루오로엘라스토머 O-링에 대해 K
Figure pat00003
3.7x10-8 [(cm3(STP)·gas·cm·polymer)/(cm2·polymer·sec·Torr)]이다. 직경 D = 13.6 cm(5.35 인치), d = 0.50 cm(0.197 인치), h = 0.40 cm(0.157 인치) 및 L = 0.53 cm(0.209 인치)의 단일 플루오로엘라스토머 O-링의 경우, 투과율 QWater는 1.8x10-5 cm3(STP)/sec(또는 2.1x10-5 Torr·Liters/sec)와 같다. 21 Liters/sec의 효과적인 펌핑 속도 Seff의 경우, 배경 물 부분 압력 PWater는 10-6 Torr(PWater = QWater/Seff)이거나, 또는 초당 1 Langmuir 노출이다. UHP 조건들을 달성하려면, 엘라스토머 투과로 인한 배경 불순물들이 10-6 Torr 분분 압력 미만으로 감소되어야 한다.
배경 불순물들 및 오염의 또 다른 소스는 공정 펌프 역-확산 및 대기의 역-스트림, 및 펌프 내부에 응축 및/또는 증착된 기타 불순물들이다. 오일-시일식(oil-sealed) 기계 펌프에는 펌프 오일, 및 펌프 오일 증기가 잠재적인 불순물 소스로서 포함된다. 펌프 오일은 일반적으로 탄화수소 종을 포함하며, 퍼플루오로폴리에테르 유형 오일의 경우 탄소, 불소 및 산소를 포함한다. 펌프 오일 문제를 제거하기 위해 건식 기계 펌프가 구현될 수 있지만, 상기 펌프는 여전히 내부 펌프 표면에 응축 및/또는 증착된 불순물의 역-확산/스트리밍을 생성한다.
배경 불순물의 추가 소스는 가스 방출(outgassing) 및 기화(vaporization)이다. 가스 방출/기화는 또 다른 유형의 가상 누출로 간주될 수도 있다. 가스 방출은 열적으로 활성화되거나 플라즈마 노출과 같은 대체 에너지원에 의해 자극될 수 있는 하나의 층 내지 여러 층 두께의 내부 표면들에 흡수된 종들의 탈착(desorption)을 포함한다. 가스 방출은 또한 반응기 벽 및 내부 고정물 내에서 흡수된 원소들 또는 화합물들의 확산 및 후속 탈착을 의미한다. 기화는 액체상 또는 고체상 물질이 증기상으로 전환되는 것을 포함한다. 액체 및 고체의 경우, 기화는 각각 증발 및 승화라고도 한다. 일반적으로, 가스 방출 속도 및 기화 속도는 온도가 증가함에 따라 증가한다.
원자 스케일 처리 동안, 액체상 및/또는 고체상 전구체들(또는 반응물들)은 통상적으로 기화되고 전달 소스를 통해 기판을 포함하는 반응 공간으로 수송된다. 증기 전달 장치는 일반적으로 전구체 앰플, 밸브 및 스테인리스강 튜브 및 다양한 금속 시일 연결을 포함하는 반응기에 대한 라인을 포함한다. 또한, 증기 전달 장치는 또한 증기 전달 채널을 통한 연속적인 점성 층류(viscous-laminar) 불활성 가스 흐름을 제공하는 수단을 포함한다. 예를 들어, MFC(mass flow controller)가 밸브 및 반응기로의 라인을 통한 비활성 Ar 또는 N2 공정 가스의 연속 흐름을 제어하는데 사용될 수 있다. 연속적인 점성 층류 불활성 가스 흐름은 전구체 전달/투여 단계들 동안 캐리어 가스 역할을 하며, 후속 퍼지 단계들 동안 퍼지 가스 역할을 한다. 이 불활성 가스 흐름은 또한 하류 불순물들이 증기 전달 채널로 원치 않게 역-확산되는 것을 방지하기 위해 확산 배리어를 생성한다. 불활성 캐리어/퍼지 가스의 연속적인 점성 층류 흐름 외에도, 전달 소스 구성 요소들의 가열은 전달 채널 내에서의 전구체 흡착과 응축(증기-액체 전이) 또는 증착(증기-고체 전이)으로 인한 긴 체류 시간을 방지하는데 필수적이다. 많은 경우에, 효과적인 증기 전달은 또한 증기 압력을 증가시키기 위해 액체상/고체상 전구체 자체의 가열을 필요로 한다. 전구체 투여 및 퍼지 단계들 동안, 온도는 전달 채널 내에서의 체류 시간을 최소화할 수 있을 만큼 충분히 높고 균일해야 한다. 전구체 투여 단계들 사이에 퍼지가 완료되지 않은 경우, 전달 채널 내에서의 물질의 가스 방출/기화로 인해 원치 않는 배경 불순물들의 소스가 발생되며, 여기서 관련 문제들은 기생 화학 기상 증착 효과, 미립자, 전달 구성 요소들의 막힘 및 공정 교차 오염을 포함한다. 공정 교차 오염의 결과 ALD/PEALD 기술에 의해서 성장한 막들에 원치 않는 불순물들을 야기하게 된다. 전달 구성 요소들을 조심스럽게 가열하면 이러한 일반적인 문제들을 방지하는데 도움이 된다.
배경 불순물들의 추가 소스는 플라즈마 에칭이다. 플라즈마 소스 설계 및 그 구성은 플라즈마 기반 원자 스케일 처리에서 중요한 고려 사항들이다. 일반적인 플라즈마 구성에는 직접 및 원격 용량 결합 플라즈마(CCP)와 원격 유도 결합 플라즈마(ICP) 설계가 포함된다. 13.56 MHz에서 작동하는 유도성, 무선 주파수(RF) 소스들이 원자 스케일 처리에서 플라즈마를 생성하는데 통상적으로 사용된다. 원격 ICP 소스들은 우수한 플라즈마 균일성과 서비스 용이성을 위한 모듈식 설계를 제공한다. 또한, 원격 ICP 소스들에는 금속 표면의 에칭 가능성을 제거하기 위해 외부에 전극이 있다. 그러나, 신호 전송에 사용되는 유전체 표면들의 화학적 및/또는 물리적(또는 스퍼터) 에칭이 배경 불순물들의 소스가 될 수 있다. 용융 실리카는 RF 신호 전송을 위한 일반적인 유전체 재료이지만; 용융 실리카의 사용에 따라 유전체 표면이 에칭될 수 있으며 이것이 산소 및 실리콘 배경 불순물들의 소스가 된다.
일반적으로, 원자 스케일 처리 기술에 의한 성장 및/또는 에칭에 사용되는 진공 장치(또는 반응기)의 베이스 압력을 낮추는 것에 의해서 배경 불순물 수준이 실질적으로 감소될 수 있다. 보다 구체적으로, P = Q/Seff 관계에 따라 공정 진공을 달성하기 위해 사용되는 펌프의 펌핑 속도를 증가시킴으로써 반응기 베이스 압력을 낮출 수 있으며, 여기서 P는 반응기 압력(Torr), Q는 처리량(Torr·Liters/sec) 및 Seff는 유효 펌핑 속도(Liters/sec)이다. 베이스 압력은 능동적으로 흐르는 공정 가스가 없는 반응기의 진공 수준에 대응한다. 이 경우, 압력 P는 관련된 처리량 Q에 비례하는, 모든 배경 성분 부분 압력들의 합이다. 비례 상수는 (1/Seff)이며 이에 따라 유효 펌핑 속도가 증가하면 반응기의 베이스 압력이 낮아진다. 기계식 펌프는 약 10-2 내지 10-4 Torr의 최소 베이스 압력을 가능하게 한다. 10-6 Torr 미만의 베이스 압력을 달성하기 위해, 터보 분자 펌프가 일반적으로 사용된다. 그러나, 처리 중에 충분한 가스 흐름과 반응기 압력이 유지되지 않으면, 반응기 내부의 임계/민감 표면에 원치 않는 전구체 노출 및 후속적인 막 증착이 발생할 수 있다. 원치 않는 증착은 전구체 투여 및 퍼지 단계들 동안 임계/민감 표면을 보호하기에 불충분한 확산 배리어의 결과로서 발생한다. 예를 들어, PEALD 동안 RF 신호 전송에 사용되는 유전체 표면 상에 얇고, 전도성이 높은 TiNx 층이 증착되면 신호 감쇠가 발생하고 플라즈마 손실이 발생한다.
확산 배리어 성능은 기하학적 구조, 압력 및 가스 흐름 속도에 크게 의존한다. 효과적인 확산 배리어를 생성하려면, 가스 흐름이 점성이 있고 층류여야 한다. 점성 흐름에 대한 일반적인 요구 사항은 특성 반응기 치수들(예를 들면, 원통형 튜브 직경)이 배경 공정 가스의 평균 자유 경로 l의 ~100x를 초과해야 한다는 것이다. 1 Torr 압력(가스 온도 = 150℃)에서 Ar 공정 가스의 경우, 평균 자유 경로는 ~0.003 인치(0.08 mm)이다. 이 경우, 특성 반응기 치수는 점성 흐름 조건들을 보장하기 위해 > 0.3 인치(0.8 cm)여야 한다. 압력이 0.1 Torr로 감소하면, 임계 반응기 치수들은 3 인치(8 cm)를 초과해야 한다. 0.1 Torr 압력에서 원통형 또는 관형 반응기 기하학적 형상의 경우, 흐름이 점성을 갖도록 하기 위해 직경이 3 인치를 초과해야 한다. 따라서, 원자 스케일 처리를 위한 일반적인 반응기들은 반응기를 통한 점성 흐름 조건들을 보장하기 위해 수백 mTorr를 초과하는 공정 압력과, 관련 포트들/피쳐들(예를 들면, 기판 이송, 제자리 타원 측정 및 유도 플라즈마를 위한 포트들/피쳐들)을 필요로 한다. 약 100 mTorr(0.1 Torr) 이상의 공정 압력들의 경우, 터보 분자 펌핑 속도는 약 5 내지 50 Liters/sec 범위의 펌핑 속도를 가진 일반적인 기계식 펌프의 수준 또는 그 이하의 수준으로 급격히 감소한다. 점성이 있는 가스 흐름 외에도, 채널 내에서 원치 않는 종들의 역-확산을 방지할 수 있을 만큼 흐름 속도가 높아야 한다. 점성 흐름에 필요한 압력들(예를 들면, 0.2-0.3 Torr 이상)과 효과적인 확산 배리어를 만드는데 필요한 가스 흐름 속도에서, 기계식 펌프들은 최소 변동으로 펌핑 속도를 유지하는 이점이 있다. 마지막으로, 레이놀드 수가 1100 미만(즉, Re < 1100)일 때, 점성 흐름은 층류(vs. 난류)이다. 일반적인 원자 스케일 공정 조건들(즉, 온도, 가스 흐름 속도, 압력)을 기준으로, 원통형 기하학적 형상의 경우 Re << 1100이므로 점성 층류 흐름에 대한 조건을 충족한다.
따라서, 전술한 배경 불순물들의 소스들 중 임의의 소스에 의해 야기되는 배경 종들의 감소를 포함하는, 원자 스케일 처리에 있어서 배경 종들의 부분 압력을 감소 및/또는 제어하는 방법이 바람직하다. 추가적으로, 처리 동안 배경 종들의 부분 압력을 감소 및/또는 제어하기 위한 구성 요소들을 포함하는 원자 스케일 처리 장치가 또한 바람직하다.
전술한 내용에 비추어, 원자 스케일 처리 동안 배경 종들의 부분 압력을 감소 및/또는 제어하는 방법에 대한 현재 요구가 당업계에 존재한다. 전술한 내용의 추가 관점에서, 감소된 배경 종들(또는 불순물들)을 가지며, 또한 표면 화학을 수정하여 원자 스케일 처리 능력들을 향상시키기 위해 종들(또는 전구체들)을 선택적으로 추가하는 능력을 갖는 원자 스케일 처리 장치에 대한 현재 요구가 존재한다.
본 개시의 하나의 비제한적인 예에서, 원자 스케일 처리를 위한 장치는 내부 표면 및 외부 표면을 갖는 반응기 - 내부 표면의 적어도 일부가 반응기의 내부 체적을 형성함 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체; 및 유도 결합 플라즈마 소스를 포함하며, 여기서 유도 결합 플라즈마 소스 및 반응기는 제 1 연결 지점에서 연결되고; 제 1 연결 지점은 제 1 엘라스토머 시일(elastomeric seal) 및 제 1 엘라스토머 시일로부터 이격되어 그 사이에 제 1 체적을 형성하는 제 2 엘라스토머 시일을 포함하고; 또한 제 1 체적이 진공이거나, 또는 제 1 체적이 능동적으로 퍼지 및/또는 공정 가스로 백필된다.
장치는 공정 가스 소스를 더 포함하며; 여기서 공정 가스 소스 및 유도 결합 플라즈마 소스는 제 2 연결 지점에서 연결되고; 제 2 연결 지점은 제 3 엘라스토머 시일 및 제 3 엘라스토머 시일로부터 이격되어 그 사이에 제 2 체적을 형성하는 제 4 엘라스토머 시일을 포함하며; 또한 여기서 제 2 체적은 진공이거나, 또는 제 2 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필된다. 공정 가스 소스로부터 ICP 소스 및 반응기로의 연속적인, 불활성 가스 흐름이 유지될 수 있다. 유도 결합 플라즈마 소스는 냉각 장치를 포함할 수 있으며; 여기서 냉각 장치는 제 1 및 제 2 연결 지점들에서 능동 냉각을 제공한다. 냉각 장치는 하나 이상의 히트 싱크를 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 베이스 플레이트를 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 장착 플랜지를 포함할 수 있다. 냉각 장치는 냉각 팬을 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 인클로저 패널을 포함할 수 있다. 장치는 제 1 연결 지점과 고정 조립체 사이에 공간적으로 위치된 모든 나머지 연결 지점들이 금속 시일 및/또는 엘라스토머 시일을 포함하도록 구성될 수 있으며; 여기서 각각의 엘라스토머 시일 연결 지점은 서로 이격되어 그 사이에 체적을 형성하는 적어도 2개의 엘라스토머 시일들을 포함하고; 또한 여기서 체적은 진공이거나, 체적은 능동적으로 퍼지 및/또는 진공 가스로 백필된다. 장치는 반응기로부터 펌프 격리 밸브로의 배기 포트(exhaust port) 및 펌프 격리 밸브로부터 펌프로의 포어라인(foreline)을 포함할 수 있으며; 여기서 펌프가 켜져 있고, 펌프 격리 밸브가 열려 있고, 반응기가 펌프와 연통할 때, 배기 포트 및 포어라인에서 연속적인 가스 흐름이 유지된다. 장치는 포어라인에 부착된 다운스트림 포트를 포함할 수 있으며; 여기서 다운스트림 포트는 펌프가 켜져 있고, 펌프 격리 밸브가 닫혀 있고, 반응기가 펌프와 연통하지 않을 때, 포어라인에 연속적인 가스 흐름을 제공하도록 구성된다. 다운스트림 포트는 펌프 격리 밸브가 닫혀 있고 펌프가 꺼져 있을 때, 포어라인을 대기압으로 빠르게 만드는 가스 흐름을 제공하도록 추가로 구성될 수 있다. 유도 결합 플라즈마 소스는 유전체 튜브를 포함할 수 있고, 유전체 튜브는 용융 실리카, 세라믹 알루미나, 사파이어, 또는 이들의 조합을 포함한다. 장치는 반응기와 연통하는 적어도 하나의 전구체 증기 전달 장치를 더 포함할 수 있다. 적어도 하나의 전구체 증기 전달 장치로부터 반응기로의 연속적인, 불활성 가스 흐름이 유지될 수 있다. 적어도 하나의 전구체 증기 전달 장치 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 클래딩을 포함할 수 있다. 클래딩은 알루미늄 클래딩 또는 충분한 열전도성을 가진 다른 적절한 축열체(thermal mass)일 수 있다. 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 적어도 하나의 독립적으로 제어되는 히트 존(heat zone)을 포함할 수 있다. 반응기는 반응기의 적어도 일부 주위에 클래딩을 포함할 수 있다. 클래딩은 알루미늄 클래딩 또는 충분한 열전도성을 가진 다른 적절한 축열체일 수 있다. 반응기는 반응기의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 반응기는 적어도 하나의 독립적으로 제어되는 히트 존을 포함할 수 있다. 장치는 반응기와 적어도 하나의 공정 가스 소스 사이에 적어도 하나의 가스 정화 장치를 더 포함할 수 있다. 장치는 대략 5 내지 50 Liters/sec의 공칭 펌핑 속도를 갖는 기계식 펌프를 더 포함할 수 있다. 반응기의 베이스 압력은 대략 10-4 내지 10-2 Torr일 수 있다. 원자 스케일 처리 이전, 도중 및 이후에 표면 반응들에서 상기 배경 불순물들 역할을 감소시키기 위해 반응기의 내부 체적 내의 각각의 배경 불순물의 부분 압력은 대략 10-6 Torr 미만일 수 있다. 장치는 전구체 가스 또는 증기를 반응기의 내부 체적으로 공급하고, 상기 전구체 가스 및 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 가스 또는 증기 전달 장치를 더 포함할 수 있으며, 이것은 압축 가스 실린더 또는 앰플; 압축 가스 실린더 또는 앰플 및 반응기와 연통하는 저장소 및 압력 게이지 - 레귤레이터, 제 1 오리피스, 및 제 1 밸브가 압축 가스 실린더 또는 앰플과 저장소 및 압력 게이지 사이에 제공됨 -; 압력 게이지 및 제 1 밸브와 통신하는 시스템 제어 소프트웨어를 포함하며, 여기서 시스템 제어 소프트웨어는 압력 게이지로부터 피드백을 수신하고, 상기 피드백에 기초하여 제 1 밸브를 순환시켜 압축 가스 실린더 또는 앰플로부터 저장소로의 흐름을 제어하고; 여기서 제 2 오리피스 및 제 2 밸브가 저장소, 압력 게이지 및 반응기 사이에 제공되어 저장소로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어한다. 장치는 전구체 가스 또는 증기를 반응기의 내부 체적에 공급하고, 상기 전구체 가스 또는 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 가스 또는 증기 전달 장치를 더 포함할 수 있으며, 이것은 반응기와 연통하는 압축 가스 실린더 또는 앰플을 포함하며, 여기서 레귤레이터, 오리피스 및 밸브가 압축 가스 실린더 또는 앰플과 반응기 사이에 제공되어 압축 가스 실린더 또는 앰플로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어한다. 장치는 반응기의 내부 체적에 전구체 증기를 공급하고, 상기 전구체 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 증기 전달 장치를 더 포함할 수 있으며, 이것은 반응기와 연통하는 앰플을 포함하고, 여기서 오리피스 및 밸브가 앰플과 반응기 사이에 제공되어 앰플로부터 반응기로의 전구체 증기의 흐름을 제어한다.
본 개시의 다른 비제한적인 예에서, 원자 스케일 처리 동안 배경 불순물들을 감소시키는 방법은 내부 표면 및 외부 표면을 갖는 반응기를 제공하는 단계 - 여기서 내부 표면의 적어도 일부가 반응기의 내부 체적을 형성함 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체를 제공하는 단계; 유도 결합 플라즈마 소스와 반응기 사이의 제 1 연결 지점에 제 1 엘라스토머 시일 및 제 2 엘라스토머 시일을 제공하는 단계; 제 1 엘라스토머 시일과 제 2 엘라스토머 시일 사이에 형성되는 제 1 체적을 구축하는 단계; 및 제 1 체적에 진공을 인가하거나, 제 1 체적을 능동적으로 퍼지 및/또는 공정 가스로 백필함으로써, 제 1 체적 내의 대기 부분 압력을 낮추는 단계를 포함한다.
방법은 유도 결합 플라즈마 소스와 공정 가스 소스 사이의 제 2 연결 지점에 제 3 엘라스토머 시일 및 제 4 탄성 밀봉을 제공하는 단계; 제 3 엘라스토머 시일과 제 4 엘라스토머 시일 사이에 형성되는 제 2 체적을 구축하는 단계; 및 제 2 체적에 진공을 인가하거나, 제 2 체적을 능동적으로 퍼지 및/또는 공정 가스로 백필함으로써, 제 2 체적 내의 대기 부분 압력을 낮추는 단계를 더 포함할 수 있다. 방법은 공정 가스 소스로부터 ICP 소스 및 반응기로의 연속적인, 불활성 가스 흐름을 유지하는 단계를 더 포함할 수 있다. 방법은 제 1 및 제 2 연결 지점들에서 엘라스토머 시일들에 대한 열적 손상을 방지하기 위해 능동 냉각을 제공하는 냉각 장치를 유도 결합 플라즈마 소스에 제공하는 단계를 더 포함할 수 있다. 냉각 장치는 하나 이상의 히트 싱크를 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 베이스 플레이트를 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 장착 플랜지를 포함할 수 있다. 냉각 장치는 냉각 팬을 포함할 수 있다. 냉각 장치는 하나 이상의 수냉식 인클로저 패널을 포함할 수 있다. 방법은 제 1 연결 지점과 고정 장치 조립체 사이에 공간적으로 위치된 모든 나머지 연결 지점에 금속 시일 및/또는 엘라스토머 시일을 제공하는 단계를 더 포함할 수 있으며, 여기서 각각의 엘라스토머 시일 연결 지점은 그들 사이의 체적을 형성하기 위해 이격된 적어도 2개의 엘라스토머 시일을 포함하고; 여기서 체적은 진공이거나, 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필됨으로써, 체적 내의 대기 부분 압력을 낮춘다. 방법은 반응기 및 펌프 격리 밸브와 연통하는 배기 포트를 제공하는 단계; 펌프 격리 밸브 및 펌프와 연통하는 포어라인(foreline)을 제공하는 단계; 및 펌프가 켜져 있고, 펌프 격리 밸브가 열려 있고, 반응기가 펌프와 연통할 때, 배기 포트 및 포어라인에서 연속적인 가스 흐름을 유지함으로써, 불순물들이 포어라인 및/또는 펌프로부터 반응기의 내부 체적으로 역-확산되는 것을 방지하는 단계를 더 포함할 수 있다. 방법은 포어라인과 연통하는 다운스트림 포트를 구축하는 단계; 및 펌프가 켜져 있고, 펌프 격리 밸브가 닫혀 있고, 반응기가 펌프와 연통하지 않을 때, 포어라인에 대한 연속적인 가스 흐름을 유지함으로써, 불순물들이 펌프로부터 포어라인으로 역-확산되는 것을 방지하는 단계를 더 포함할 수 있다. 방법은 펌프 격리 밸브가 닫혀 있고, 펌프가 꺼져 있을 때, 포어라인을 대기압으로 빠르게 만드는 가스 흐름을 제공함으로써, 불순물들이 펌프로부터 포어라인으로 역-스트림되는 것을 방지하는 단계를 더 포함할 수 있다. 유도 결합 플라즈마 소스는 유전체 튜브를 포함할 수 있으며, 여기서 유전체 튜브는 유전체 튜브 표면의 에칭을 실질적으로 제거하기 위한 용융 실리카, 세라믹 알루미나, 사파이어, 또는 이들의 조합을 포함할 수 있다. 방법은 적어도 하나의 전구체 증기 전달 장치와 반응기 사이에 통신을 확립하는 단계를 더 포함할 수 있다. 방법은 적어도 하나의 전구체 증기 전달 장치로부터 반응기로의 연속적인, 불활성 가스 흐름을 유지하는 단계를 더 포함할 수 있다. 적어도 하나의 전구체 증기 전달 장치 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 클래딩을 포함할 수 있다. 클래딩은 알루미늄 클래딩 또는 충분한 열전도성을 가진 다른 적절한 축열체일 수 있다. 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 방법은 전구체 증기 전달 장치 내의 표면들 상의 전구체 증기들의 체류 시간을 실질적으로 감소시키기 위해 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나 상에 적어도 하나의 독립적으로 제어되는 히트 존을 구축하는 단계를 더 포함할 수 있다. 반응기는 반응기의 적어도 일부 주위에 클래딩을 포함할 수 있다. 클래딩은 알루미늄 클래딩 또는 충분한 열전도성을 가진 다른 적절한 축열체일 수 있다. 반응기는 반응기의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 방법은 반응기의 내부 체적 내의 표면들 상의 전구체 가스들, 증기들 및/또는 반응 부산물들의 체류 시간을 실질적으로 감소시키기 위해 적어도 하나의 독립적으로 제어되는 히트 존을 구축하는 단계를 더 포함할 수 있다. 방법은 상기 공정 가스 불순물 수준들을 실질적으로 감소시키기 위해 반응기와 적어도 하나의 공정 가스 소스 사이에 적어도 하나의 가스 정화 장치를 제공하는 단계를 더 포함할 수 있다. 방법은 대략 5 내지 50 Liters/sec의 공칭 펌핑 속도를 갖는 기계식 펌프를 제공하는 단계를 더 포함할 수 있다. 반응기의 베이스 압력은 대략 10-4 내지 10-2 Torr일 수 있다. 반응기 내부 체적 내의 각 배경 불순물의 부분 압력은 대략 10-6 Torr 미만일 수 있다.
본 개시의 다른 비제한적인 예에서, 초고순도 배경에 전구체 가스 또는 증기를 선택적으로 추가하고 상기 전구체 가스 또는 증기의 부분 압력을 제어하는 방법은 원자층 처리를 위한 반응기를 제공하는 단계; 반응기와 연통하는 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치를 제공하는 단계; 반응기의 내부 체적 내에서 초고순도 수준을 확립하는 단계; 초고순도 수준이 달성되면 전구체 가스 또는 증기를 반응기의 내부 체적에 선택적으로 추가하는 단계; 및 반응기의 내부 체적 내에서 상기 전구체 가스 또는 증기의 배경 부분 압력을 제어하는 단계를 포함한다.
본 개시의 다른 비제한적인 예에서, 전구체 가스 또는 증기를 초고순도 배경에 공급하고, 상기 전구체 가스 또는 증기의 부분 압력을 제어하기 위한 장치는 내부 표면 및 외부 표면을 갖는 반응기 - 여기서 내부 표면의 적어도 일부는 반응기의 내부 체적을 형성하고, 반응기의 내부 체적 내에 초고순도 수준이 확립됨 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체; 적어도 하나의 일차 전구체 가스 또는 증기 전달 장치; 및 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치를 포함한다.
적어도 하나의 일차 전구체 가스 또는 증기 전달 장치는 반응기에 전구체 가스 또는 증기를 순차적으로 공급하도록 구성될 수 있고, 보조 전구체 가스 또는 증기 전달 장치는 반응기에 전구체 가스 또는 증기를 연속적으로 공급하도록 구성될 수 있다. 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 반응기와 연통하는 압축 가스 실린더 또는 앰플; 및 압축 가스 실린더 또는 앰플과 반응기 사이의 저장소 및 압력 게이지를 포함한다. 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 압축 가스 실린더 또는 앰플과 저장소 및 압력 게이지 사이의 레귤레이터; 레귤레이터와 저장소 및 압력 게이지 사이의 제 1 오리피스; 및 제 1 오리피스와 저장소 및 압력 게이지 사이의 제 1 밸브를 더 포함한다. 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 저장소 및 압력 게이지와 반응기 사이의 제 2 오리피스; 및 제 2 오리피스와 반응기 사이의 제 2 밸브를 포함하며, 여기서 제 2 오리피스 및 제 2 밸브는 저장소로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어한다. 장치는 압력 게이지 및 제 1 밸브와 통신하는 시스템 제어 소프트웨어를 더 포함하며, 여기서 시스템 제어 소프트웨어는 압력 게이지로부터 피드백을 수신하고, 피드백을 기반으로 제 1 밸브를 순환시켜 저장소로의 흐름을 제어한다. 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 반응기와 연통하는 압축 가스 실린더 또는 앰플을 포함하며, 여기서 레귤레이터, 오리피스 및 밸브가 압축 가스 실린더 또는 앰플과 반응기 사이에 제공되어 압축 가스 실린더 또는 앰플로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어한다. 적어도 하나의 보조 전구체 증기 전달 장치는 반응기와 연통하는 앰플을 더 포함하며, 여기서 오리피스 및 밸브가 앰플과 반응기 사이에 제공되어 앰플로부터 반응기로의 전구체 증기의 흐름을 제어한다.
이제 본 발명의 다양한 바람직하고 비제한적인 예들 또는 양태들이 아래의 번호가 매겨진 조항들에서 기술되고 설명될 것이다:
조항 1: 원자 스케일 처리를 위한 장치로서, 내부 표면 및 외부 표면을 갖는 반응기 - 내부 표면의 적어도 일부가 반응기의 내부 체적을 형성함 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체; 및 유도 결합 플라즈마 소스를 포함하며, 유도 결합 플라즈마 소스 및 반응기는 제 1 연결 지점에서 연결되고; 제 1 연결 지점은 제 1 엘라스토머 시일 및 제 1 엘라스토머 시일로부터 이격되어 그 사이에 제 1 체적을 형성하는 제 2 엘라스토머 시일을 포함하고; 제 1 체적은 진공이거나, 또는 제 1 체적은 능동적으로 퍼지되고 및/또는 공정 가스로 백필되는 장치
조항 2: 조항 1의 장치에 있어서, 공정 가스 소스를 더 포함하며; 여기서 공정 가스 소스 및 유도 결합 플라즈마 소스는 제 2 연결 지점에서 연결되고; 제 2 연결 지점은 제 3 엘라스토머 시일 및 제 3 엘라스토머 시일로부터 이격되어 그 사이에 제 2 체적을 형성하는 제 4 엘라스토머 시일을 포함하며; 또한 여기서 제 2 체적은 진공이거나, 또는 제 2 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필되는 장치.
조항 3: 조항 2의 장치에 있어서, 유도 결합 플라즈마 소스는 냉각 장치를 포함하며; 여기서 냉각 장치는 제 1 및 제 2 연결 지점들에서 능동 냉각을 제공하는 장치.
조항 4: 조항 3의 장치에 있어서, 냉각 장치는 하나 이상의 히트 싱크를 포함하는 장치.
조항 5: 조항 3 내지 4 중 어느 한 조항의 장치에 있어서, 냉각 장치는 하나 이상의 수냉식 베이스 플레이트를 포함하는 장치.
조항 6: 조항 3 내지 5 중 어느 한 조항의 장치에 있어서, 냉각 장치는 하나 이상의 수냉식 장착 플랜지를 포함하는 장치.
조항 7: 조항 3 내지 6 중 어느 한 조항의 장치에 있어서, 냉각 장치는 냉각 팬을 포함하는 장치.
조항 8: 조항 3 내지 7 중 어느 한 조항의 장치에 있어서, 냉각 장치는 하나 이상의 수냉식 인클로저 패널을 포함하는 장치.
조항 9: 조항 1 내지 8 중 어느 한 조항의 장치에 있어서, 제 1 연결 지점과 고정 조립체 사이에 공간적으로 위치된 모든 나머지 연결 지점들은 금속 시일 및/또는 엘라스토머 시일을 포함하고; 여기서 각각의 엘라스토머 시일 연결 지점은 서로 이격되어 그 사이에 체적을 형성하는 적어도 2개의 엘라스토머 시일을 포함하고; 여기서 체적은 진공이거나, 또는 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필되는 장치.
조항 10: 조항 1 내지 9 중 어느 한 조항의 장치에 있어서, 반응기로부터 펌프 격리 밸브로의 배기 포트 및 펌프 격리 밸브로부터 펌프로의 포어라인을 더 포함하며; 여기서 펌프가 켜져 있고, 펌프 격리 밸브가 열려 있고, 반응기가 펌프와 연통할 때, 배기 포트 및 포어라인에서 연속적인 가스 흐름이 유지되는 장치.
조항 11: 조항 10의 장치에 있어서, 포어라인에 부착된 다운스트림 포트를 더 포함하며; 여기서 다운스트림 포트는 펌프가 켜져 있고, 펌프 격리 밸브가 닫혀 있고, 반응기가 펌프와 연통하지 않을 때, 포어라인에 연속적인 가스 흐름을 제공하도록 구성되는 장치.
조항 12: 조항 11의 장치에 있어서, 다운스트림 포트는 펌프 격리 밸브가 닫혀 있고, 펌프가 꺼져 있을 때, 포어라인을 대기압으로 빠르게 만드는 가스 흐름을 제공하도록 더 구성되는 장치.
조항 13: 조항 1 내지 12 중 어느 한 조항의 장치에 있어서, 유도 결합 플라즈마 소스는 유전체 튜브를 포함하며, 유전체 튜브는 용융 실리카, 세라믹 알루미나, 사파이어, 또는 이들의 조합을 포함하는 장치.
조항 14: 조항 1 내지 13 중 어느 한 조항의 장치에 있어서, 반응기와 연통하는 적어도 하나의 전구체 증기 전달 장치를 더 포함하는 장치.
조항 15: 조항 14의 장치에 있어서, 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 클래딩을 포함하는 장치.
조항 16: 조항 15의 장치에 있어서, 클래딩은 알루미늄 클래딩, 또는 충분한 열 전도성을 갖는 일부 다른 적절한 축열체인 장치.
조항 17: 조항 14 내지 16 중 어느 한 조항의 장치에 있어서, 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함하는 장치.
조항 18: 조항 14 내지 17 중 어느 한 조항의 장치에 있어서, 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 적어도 하나의 독립적으로 제어되는 히트 존을 포함하는 장치.
조항 19: 조항 1 내지 18 중 어느 한 조항의 장치에 있어서, 반응기는 반응기의 적어도 일부 주위에 클래딩을 포함하는 장치.
조항 20: 조항 19의 장치에 있어서, 클래딩은 알루미늄 클래딩, 또는 충분한 열 전도성을 갖는 일부 다른 적절한 축열체인 장치.
조항 21: 조항 1 내지 20 중 어느 한 조항의 장치에 있어서, 반응기는 반응기의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함하는 장치.
조항 22: 조항 1 내지 21 중 어느 한 조항의 장치에 있어서, 반응기는 적어도 하나의 독립적으로 제어되는 히트 존을 포함하는 장치.
조항 23: 조항 1 내지 22 중 어느 한 조항의 장치에 있어서, 반응기와 적어도 하나의 공정 가스 소스 사이에 적어도 하나의 가스 정화 장치를 더 포함하는 장치.
조항 24: 조항 1 내지 23 중 어느 한 조항의 장치에 있어서, 대략 5 내지 50 Liters/sec의 공칭 펌핑 속도를 갖는 기계식 펌프를 더 포함하는 장치.
조항 25: 조항 1 내지 24 중 어느 한 조항의 장치에 있어서, 반응기의 베이스 압력은 10-4 내지 10-2 Torr인 장치.
조항 26: 조항 1 내지 25 중 어느 한 조항의 장치에 있어서, 원자 스케일 처리 이전, 도중 및 이후에 표면 반응들에서 배경 불순물들 역할을 감소시키기 위해 반응기의 내부 체적 내의 각각의 상기 배경 불순물의 부분 압력은 대략 10-6 Torr 미만인 장치.
조항 27: 조항 1 내지 26 중 어느 한 조항의 장치에 있어서, 전구체 가스 또는 증기를 반응기의 내부 체적에 공급하고, 전구체 가스 또는 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 가스 또는 증기 전달 장치를 더 포함하며, 이것은 압축 가스 실린더 또는 앰플; 압축 가스 실린더 또는 앰플 및 반응기와 연통하는 저장소 및 압력 게이지 - 압축 가스 실린더 또는 앰플과 저장소 및 압력 게이지 사이에는 레귤레이터, 제 1 오리피스, 및 제 1 밸브가 제공됨 -; 상기 압력 게이지 및 상기 제 1 밸브와 통신하는 시스템 제어 소프트웨어를 포함하며, 여기서 시스템 제어 소프트웨어는 압력 게이지로부터 피드백을 수신하고, 피드백에 기초하여 제 1 밸브를 순환시켜 압축 가스 실린더 또는 앰플로부터 저장소로의 흐름을 제어하고; 여기서 제 2 오리피스 및 제 2 밸브가 저장소, 압력 게이지 및 반응기 사이에 제공되어 저장소로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
조항 28: 조항 1 내지 27 중 어느 한 조항의 장치에 있어서, 전구체 가스 또는 증기를 반응기의 내부 체적으로 공급하고, 전구체 가스 또는 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 가스 또는 증기 전달 장치를 더 포함하며, 이것은 반응기와 연통하는 압축 가스 실린더 또는 앰플을 포함하고, 여기서 레귤레이터, 오리피스, 및 밸브가 압축 가스 실린더 또는 앰플과 반응기 사이에 제공되어 압축 가스 실린더 또는 앰플로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
조항 29: 조항 1 내지 28 중 어느 한 조항의 장치에 있어서, 전구체 증기를 반응기의 내부 체적에 공급하고, 전구체 증기의 배경 부분 압력을 제어하기 위한 적어도 하나의 전구체 증기 전달 장치를 더 포함하며, 이것은 반응기와 연통하는 앰플을 포함하고; 여기서 오리피스 및 밸브가 앰플과 반응기 사이에 제공되어 앰플로부터 반응기로의 전구체 증기의 흐름을 제어하는 장치.
조항 30: 원자 스케일 처리 동안 배경 불순물을 감소시키는 방법으로서, 내부 표면 및 외부 표면을 갖는 반응기를 제공하는 단계 - 여기서 내부 표면의 적어도 일부가 반응기의 내부 체적을 형성함 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체를 제공하는 단계; 유도 결합 플라즈마 소스와 반응기 사이의 제 1 연결 지점에 제 1 엘라스토머 시일 및 제 2 엘라스토머 시일을 제공하는 단계; 제 1 엘라스토머 시일과 제 2 엘라스토머 시일 사이에 형성되는 제 1 체적을 구축하는 단계; 및 제 1 체적에 진공을 인가하거나, 제 1 체적을 능동적으로 퍼지 및/또는 공정 가스로 백필함으로써, 제 1 체적 내의 대기 부분 압력을 낮추는 단계를 포함하는 방법.
조항 31: 조항 30의 방법에 있어서, 유도 결합 플라즈마 소스와 공정 가스 소스 사이의 제 2 연결 지점에 제 3 엘라스토머 시일 및 제 4 엘라스토머 시일을 제공하는 단계; 제 3 엘라스토머 시일과 제 4 엘라스토머 시일 사이에 형성되는 제 2 체적을 구축하는 단계; 및 제 2 체적에 진공을 인가하거나, 제 2 체적을 능동적으로 퍼지 및/또는 공정 가스로 백필함으로써, 제 2 체적 내의 대기 부분 압력을 낮추는 단계를 더 포함하는 방법.
조항 32: 조항 31의 방법에 있어서, 제 1 및 제 2 연결 지점들에서 엘라스토머 시일에 대한 열적 손상을 방지하기 위해 능동 냉각을 제공하는 냉각 장치를 유도 결합 플라즈마 소스에 제공하는 단계를 더 포함하는 방법.
조항 33: 조항 32의 방법에 있어서, 냉각 장치는 하나 이상의 히트 싱크를 포함하는 방법.
조항 34: 조항 32 내지 33 중 어느 한 조항의 방법에 있어서, 냉각 장치는 하나 이상의 수냉식 베이스 플레이트를 포함하는 방법.
조항 35: 조항 32 내지 34 중 어느 한 조항의 방법에 있어서, 냉각 장치는 하나 이상의 수냉식 장착 플랜지를 포함하는 방법.
조항 36: 조항 32 내지 35 중 어느 한 조항의 방법에 있어서, 냉각 장치는 냉각 팬을 포함하는 방법.
조항 37: 조항 32 내지 36 중 어느 한 조항의 방법에 있어서, 냉각 장치는 하나 이상의 수냉식 인클로저 패널을 포함하는 방법.
조항 38: 조항 30 내지 37 중 어느 한 조항의 방법에 있어서, 제 1 연결 지점과 고정체 어셈블리 사이에 공간적으로 위치된 모든 나머지 연결 지점들에 금속 시일 및/또는 엘라스토머 시일을 제공하는 단계를 더 포함하며, 여기서 각각의 엘라스토머 시일 연결 지점은 그들 사이에 체적을 형성하기 위해 이격된 적어도 2개의 엘라스토머 시일을 포함하며; 여기서 체적은 진공이거나, 또는 체적은 능동적으로 퍼지되고 및/또는 공정 가스로 백필됨으로써, 체적 내의 대기 부분 압력을 낮추는 방법.
조항 39: 조항 30 내지 38 중 어느 한 조항의 방법에 있어서, 반응기 및 펌프 격리 밸브와 연통하는 배기 포트를 제공하는 단계; 펌프 격리 밸브 및 펌프와 연통하는 포어라인을 제공하는 단계; 펌프가 켜져 있고, 펌프 격리 밸브가 열려 있고, 반응기가 상기 펌프와 연통할 때, 배기 포트 및 포어라인에서 연속적인 가스 흐름을 유지함으로써, 불순물들이 포어라인 및/또는 펌프로부터 반응기의 내부 체적으로 역-확산되는 것을 방지하는 단계를 더 포함하는 방법.
조항 40: 조항 39의 방법에 있어서, 포어라인과 연통하는 다운스트림 포트를 구축하는 단계; 및 펌프가 켜져 있고, 펌프 격리 밸브가 닫혀 있고, 반응기가 펌프와 연통하지 않을 때, 포어라인에 대한 연속적인 가스 흐름을 유지함으로써, 불순물들이 펌프로부터 포어라인으로 역-확산되는 것을 방지하는 단계를 더 포함하는 방법.
조항 41: 조항 40의 방법에 있어서, 펌프 격리 밸브가 닫혀 있고, 펌프가 꺼져 있을 때, 포어라인을 대기압으로 빠르게 만드는 가스 흐름을 제공함으로써, 불순물들이 펌프로부터 포어라인으로 역-스트림되는 것을 방지하는 단계를 더 포함하는 방법.
조항 42: 조항 30 내지 41 중 어느 한 조항의 방법에 있어서, 유도 결합 플라즈마 소스는 유전체 튜브를 포함하며, 유전체 튜브는 유전체 튜브 표면의 에칭을 실질적으로 제거하기 위한 용융 실리카, 세라믹 알루미나, 사파이어, 또는 이들의 조합을 포함하는 방법.
조항 43: 조항 30 내지 42 중 어느 한 조항의 방법에 있어서, 적어도 하나의 전구체 증기 전달 장치와 반응기 사이에 통신을 확립하는 단계를 더 포함하는 방법.
조항 44: 조항 43의 방법에 있어서, 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 클래딩을 포함하는 방법.
조항 45: 조항 44의 방법에 있어서, 클래딩은 알루미늄 클래딩, 또는 충분한 열 전도성을 갖는 일부 다른 적절한 축열체인 방법.
조항 46: 조항 43 내지 45 중 어느 한 조항의 방법에 있어서, 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나는 전구체 증기 전달 장치의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함하는 방법.
조항 47: 조항 43 내지 46 중 어느 한 조항의 방법에 있어서, 전구체 증기 전달 장치 내의 표면들 상의 전구체 증기들의 체류 시간을 실질적으로 감소시키기 위해 적어도 하나의 전구체 증기 전달 장치들 중 적어도 하나 상에 적어도 하나의 독립적으로 제어되는 히트 존을 구축하는 단계를 더 포함하는 방법.
조항 48: 조항 30 내지 47 중 어느 한 조항의 방법에 있어서, 반응기는 반응기의 적어도 일부 주위에 클래딩을 포함하는 방법.
조항 49: 조항 48의 방법에 있어서, 클래딩은 알루미늄 클래딩, 또는 충분한 열 전도성을 갖는 일부 다른 적절한 축열체인 방법.
조항 50: 조항 48 내지 49 중 어느 한 조항의 방법에 있어서, 반응기는 반응기의 적어도 일부 주위에 적어도 하나의 히터 재킷, 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함하는 방법.
조항 51: 조항 30 내지 50 중 어느 한 조항의 방법에 있어서, 반응기의 내부 체적 내의 표면들 상의 전구체 가스들, 증기들 및/또는 반응 부산물들의 체류 시간을 실질적으로 감소시키기 위해 적어도 하나의 독립적으로 제어되는 히트 존을 구축하는 단계를 더 포함하는 방법.
조항 52: 조항 30 내지 51 중 어느 한 조항의 방법에 있어서, 공정 가스 불순물 수준들을 실질적으로 감소시키기 위해 반응기와 적어도 하나의 공정 가스 소스 사이에 적어도 하나의 가스 정화 장치를 제공하는 단계를 더 포함하는 방법.
조항 53: 조항 30 내지 52 중 어느 한 조항의 방법에 있어서, 공칭 속도가 대략 5 내지 50 Liters/sec인 기계식 펌프를 제공하는 단계를 더 포함하는 방법.
조항 54: 조항 30 내지 53 중 어느 한 조항의 방법에 있어서, 반응기의 베이스 압력은 대략 10-4 내지 10-2 Torr인 방법.
조항 55: 조항 30 내지 54 중 어느 한 조항의 방법에 있어서, 반응기의 내부 체적 내의 각각의 배경 불순물의 부분 압력은 대략 10-6 Torr 미만인 방법.
조항 56: 초고순도 배경에 전구체 가스 또는 증기를 선택적으로 추가하고 상기 전구체 가스 또는 증기의 부분 압력을 제어하는 방법으로서, 원자층 처리를 위한 반응기를 제공하는 단계; 반응기와 연통하는 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치를 제공하는 단계; 반응기의 내부 체적 내에서 초고순도 수준을 확립하는 단계; 초고순도 수준이 달성되면 전구체 가스 또는 증기를 반응기의 내부 체적에 선택적으로 추가하는 단계; 및 반응기의 내부 체적 내에서 상기 전구체 가스 또는 증기의 배경 부분 압력을 제어하는 단계를 포함하는 방법.
조항 57: 전구체 가스 또는 증기를 초고순도 배경에 공급하고, 상기 전구체 가스 또는 증기의 부분압을 제어하기 위한 장치로서, 내부 표면 및 외부 표면을 갖는 반응기 - 내부 표면의 적어도 일부가 반응기의 내부 체적을 형성하고, 반응기의 내부 체적 내에 초고순도 수준이 확립됨 -; 반응기의 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 반응기의 내부 체적 내에 위치된 고정 조립체; 적어도 하나의 일차 전구체 가스 또는 증기 전달 장치; 및 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치를 포함하는 장치.
조항 58: 조항 57의 장치에 있어서, 적어도 하나의 일차 전구체 가스 또는 증기 전달 장치는 반응기에 전구체 가스 또는 증기를 순차적으로 공급하도록 구성되고, 보조 전구체 가스 또는 증기 전달 장치는 반응기에 전구체 가스 또는 증기를 연속적으로 공급하도록 구성되는 장치.
조항 59: 조항 57 내지 58 중 어느 한 조항의 장치에 있어서, 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 반응기와 연통하는 압축 가스 실린더 또는 앰플; 및 압축 가스 실린더 또는 앰플과 반응기 사이의 저장소 및 압력 게이지를 포함하는 장치.
조항 60: 조항 59의 장치에 있어서, 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 압축 가스 실린더 또는 앰플과 저장소 및 압력 게이지 사이의 레귤레이터; 레귤레이터와 저장소 및 압력 게이지 사이의 제 1 오리피스; 및 제 1 오리피스와 저장소 및 압력 게이지 사이의 제 1 밸브를 더 포함하는 장치.
조항 61: 조항 60의 장치에 있어서, 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 저장소 및 압력 게이지와 반응기 사이의 제 2 오리피스; 및 제 2 오리피스와 반응기 사이의 제 2 밸브를 더 포함하며; 여기서 제 2 오리피스 및 제 2 밸브는 저장소로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
조항 62: 조항 60의 장치에 있어서, 압력 게이지 및 제 1 밸브와 통신하는 시스템 제어 소프트웨어를 더 포함하며; 여기서 시스템 제어 소프트웨어는 압력 게이지로부터 피드백을 수신하고, 피드백에 기초하여 제 1 밸브를 순환시켜 저장소로의 흐름을 제어하는 장치.
조항 63: 조항 57의 장치에 있어서, 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는 반응기와 연통하는 압축 가스 실린더 또는 앰플을 포함하며, 여기서 레귤레이터, 오리피스, 및 밸브가 압축 가스 실린더 또는 앰플과 반응기 사이에 제공되어 압축 가스 실린더 또는 앰플로부터 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
조항 64: 조항 57의 장치에 있어서, 적어도 하나의 보조 전구체 증기 전달 장치는 반응기와 연통하는 앰플을 포함하며, 여기서 오리피스 및 밸브가 앰플과 반응기 사이에 제공되어 앰플로부터 반응기로의 전구체 증기의 흐름을 제어하는 장치.
조항 65: 조항 2 내지 29 중 어느 한 조항의 장치에 있어서, 공정 가스 소스로부터 ICP 소스 및 반응기로의 연속적인, 불활성 가스 흐름이 유지되는 장치.
조항 66: 조항 14 내지 29 중 어느 한 조항의 장치에 있어서, 적어도 하나의 전구체 증기 전달 장치로부터 반응기로의 연속적인, 불활성 가스 흐름이 유지되는 장치.
조항 67: 조항 31 내지 55 중 어느 한 조항의 방법에 있어서, 공정 가스 소스로부터 ICP 소스 및 반응기로의 연속적인, 불활성 가스 흐름을 유지하는 단계를 더 포함하는 방법.
조항 68: 조항 43 내지 55 중 어느 한 조항의 방법에 있어서, 적어도 하나의 전구체 증기 전달 장치로부터 반응기로의 연속적인, 불활성 가스 흐름을 유지하는 단계를 더 포함하는 방법.
도 1은 본 발명의 일 양태에 따른 반응기 및 추가 구성 요소들의 등각도이다.
도 2a는 본 개시의 다른 양태에 따른 반응기를 위한 유도 결합 플라즈마 소스의 단면도이다.
도 2b는 본 발명의 다른 양태에 따른 반응기를 위한 유도 결합 플라즈마 소스의 후면의 등각도이다.
도 2c는 본 개시의 다른 양태에 따른 유도 결합 플라즈마 소스를 위한 수냉식 어댑터의 단면도이다.
도 3은 본 발명의 다른 양태에 따른 반응기의 단면도이다.
도 4는 본 개시의 다른 양태에 따른 반응기 및 반응기 아래에 위치된 추가 구성 요소들의 등각도이다.
도 5는 본 발명의 다른 양태에 따른 게이트 밸브의 정면도이다.
도 6은 본 개시의 다른 양태에 따른 반응기 아래에 위치된 추가 구성 요소들의 정면도이다.
도 7은 본 개시의 다른 양태에 따른 제 10 연결 지점의 단면도이다.
도 8은 본 개시의 다른 양태에 따른 반응기에 대한 전구체/반응물 증기 전달 장치의 정면도이다.
도 9는 테트라키스-디메틸아미노 티타늄(TDMAT)에 대한 온도 대 증기압의 그래프이다.
도 10은 본 개시의 다른 양태에 따른 반응기에 대한 전구체/반응물 증기 전달 장치의 정면도이다.
도 11은 본 개시의 다른 양태에 따른 반응기에 대한 전구체/반응물 증기 전달 장치의 정면도이다.
도 12는 본 개시의 다른 양태에 따른 반응기의 다수의 독립적으로 제어되는 히트 존에 걸친 온도 변화의 그래프이다.
도 13은 본 개시의 다른 양태에 따른 원자 스케일 처리를 위한 반응기의 정면도이다.
도 14는 본 개시의 다른 양태에 따른 유전체 튜브의 정면도이다.
도 15는 본 개시의 다른 양태에 따른 반응기 표면 상의 플라즈마 시스의 플라즈마 밀도의 이미지이다.
도 16은 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 17은 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 18은 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 19는 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 20은 본 개시의 다른 양태에 따른 가스 정화 장치의 정면도이다.
도 21은 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 22는 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 23은 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 24는 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 앰플 정면도이다.
도 25는 본 개시의 다른 양태에 따른 전구체/반응물 증기 전달 장치의 정면도이다.
도 26은 본 개시의 다른 양태에 따라 본 명세서에 개시된 임의의 전구체/증기 전달 장치로 구현하기 위한 MFC 장치의 개략도이다.
도 27은 본 개시의 다른 양태에 따른 도 6의 다운스트림 포트에서 구현하기 위한 가스 흐름 장치의 개략도이다.
도 28은 x-선 광전자 분광법(XPS)으로부터 얻어진 TiNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
도 29는 2차 이온 질량 분광법(SIMS)으로부터 얻어진 TiNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
도 30은 XPS로부터 얻은 TiNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
도 31은 SIMS로부터 얻은 TiNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
도 32는 XPS로부터 얻은 AlNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
도 33은 XPS로부터 얻어진 SiNx 막의 스퍼터 시간에 대한 다양한 원소의 농도의 그래프이다.
이하의 설명의 목적을 위해, 사용된 공간적 배향 용어는 첨부 도면에서 배향되거나 다음의 상세한 설명에서 달리 기술되는 바와 같이 참조된 실시형태와 관련될 것이다. 그러나, 이하에 설명되는 실시형태는 많은 대안적인 변형 및 구성을 가정할 수 있음을 이해해야 한다. 또한 첨부 도면에 예시된 특정 구성 요소, 장치, 특징 및 작동 순서는 단순히 예시적인 것이어서, 제한적인 것으로 간주되어서는 안 된다는 점을 이해해야 한다.
UHP 조건들은 PEALD에 의해 질화물 박막에서 배경 산소 불순물들의 혼입을 제한하는 것과 같은, 원자 스케일 처리 기술에 의한 막 성장 및/또는 에칭 이전, 도중 및 이후에 표면 반응에서 그들의 역할을 제한하기 위해 감소된 수준의 배경 불순물들을 기반으로 한다. UHP 공정 조건들은 표면 조성을 극도로 엄격하게 제어하는 것이 가장 중요한 표면 엔지니어링에서도 중요하다. 예를 들어, 후속 하이-k 게이트 산화물 통합을 위한 III-V 원소 및 기타 비-실리콘 기반 반도체 채널 재료(2D 재료 포함)의 준비. UHP 공정 조건을 설정하는 것은 Ti, Al, Ta 등과 같은 원소 금속들의 ALD/PEALD에도 중요하며(성장이 연소 화학을 기반으로 하는 루테늄(Ru) 및 백금(Pt)과 같은 보다 전통적인 금속에 비해), 여기서, 질화물과 유사하게, 산소 함량을 낮추는 것이 매우 중요하다. 대부분의 전이 및 p-블록 금속들은 쉽게 산화되는 경향이 있기 때문에(매우 적은 양의 산소가 존재하는 경우에도), 이것은 막 성장 이전, 도중 및 이후에 산소 종들과 같은, 배경 불순물들에 대한 노출을 줄이기 위해 신중하게 고려해야 하는 몇 가지 중요한 장비 설계 문제를 나타낸다.
원자 스케일 처리를 위한 반응 챔버 내부의 UHP 공정 환경을 설정하기 위해, 배경 불순물들의 부분 압력은 10-6 Torr 미만(즉, 1 Langmuir 또는 단층 등가물, 매초 노출 미만)으로 감소되어야 한다. 수증기는 비-산화물 기반 물질의 성장에 매우 일반적으로 문제가 되는 배경 불순물이기 때문에, 여기에서는 UHP 공정 조건들을 정의하기 위한 상한(즉, 10-6 Torr 부분 압력)을 설정하는데 사용된다. 이 요구 사항을 설정하려면 먼저 Ar 및 N2와 같은 UHP 등급(99.999% 순도) 공정 가스의 사양들을 고려하는 것이 좋다. UHP 등급 Ar/N2에는 최대 ppm 수준의 산소 불순물들이 포함되어 있다. 앞서 논의한 바와 같이, 이러한 불순물들에는 O2, H2O, CO 및 CO2가 포함된다. 1 Torr 압력에서 Ar/N2의 경우, ppm 수준은 10-6 Torr 부분 압력에 해당한다. 10-6 Torr 부분 압력에서 H2O와 같은 불순물의 경우, 성장하는 질화물 표면은 매초 1 Langmuir H2O 노출을 경험한다(1 Langmuir = 10-6 Torr·s). 이러한 조건 하에서, 표면에 부딪치는 각 H2O 분자가 흡착(또는 점착)되면, 매초마다 ~1개의 단층 표면 커버리지가 연속적으로 얻어진다. 일반적인 PEALD 공정은 각각의 완전한 주기마다 단층보다 적은 양의 재료를 증착한다(하나의 완전한 주기 = 하나의 완전한 전구체 투여 및 퍼지 단계들의 시퀀스). 일반적인 PEALD 주기 시간 범위는 약 10-60초이다. 따라서, 증착된 재료의 각 하위 단층은 매초 10-6 Torr 수준의 수증기로부터 10-60 Langmuir 노출(또는 10-60 단층 등가 노출)을 경험한다. 배경 수증기와, 비-산화물 기반 막 성장 동안의 결합 사이의 관계에 대한 추가적인 이해를 제공하기 위해, 운동 흡착 모델이 아래에 제시되어 있다.
각각의 가스/증기상 성분에 대한 표면 플럭스 F(분자·m-2·s-1)는 다음과 같은 Hertz-Knudsen 방정식을 사용하여 계산될 수 있다: F = P/(2p·m·k·Tg)1/2 여기서 P = 부분 압력(Pa), m = 질량(kg), k = 볼츠만 상수(1.381x10-23 J/K) 및 Tg = 가스/증기 온도(K). 흡착률(분자·m-2·s-1)은 dnads/dt = S·F에 의해 주어지며, 여기서 S는 점착 확률(0 ≤ S ≤ 1)이다. 점착 확률은 다음과 같이 표현될 수 있다: S = f(q)·e-E/RTs 여기서 f(q)는 표면 커버리지 q의 함수, E = 흡착 활성화 에너지(kJ/mol), R = 기체 상수(8.314x10-3 kJ·K-1·mol-1) 및 Ts = 표면/기판 온도(K). 10-6 Torr = 1.33x10-6 Pa 부분 압력(1 Torr = 133.32 Pa) 및 Tg = 165℃ = 438 K에서 수증기의 경우, 표면 플럭스는 3.95x1018 molecules/(m2·s) = 3.95x1014 molecules/(cm2·s)이다. 작은 표면적 커버리지(예를 들면, < 20 at.%)의 경우 점착 확률이 S
Figure pat00004
e-E/RT가 되도록 일정한 것으로 가정한다. 이 경우, nads = S·F·t이며, 여기서 t는 시간(초)이다. nads의 단위는 molecules/cm2(또는 H2O 화학 흡착의 경우 atoms/cm2)이다. 원자 스케일 처리에 의한 막 성장 동안의 일반적인 표면 커버리지를 나타내기 위해, 여기서는 TiNx PEALD가 모델 프로세스로서 이용된다. 특히, TiNx PEALD는 350℃ 기판 온도(반응기 온도 = 165℃)에서 TiCl4 및 Ar-N2-H2 플라즈마 혼합물을 사용하며, 여기서 각각의 완전한 주기는 0.33Å = 3.3x10-9cm의 재료를 증착한다. 이 특정 프로세스의 주기 시간은 18초이다. 각 주기(표면적 1 cm2) 동안 증착되는 재료의 체적은 3.3x10-9 cm3이다. 화학량론적 TiN(5.21g/cm3)의 체적 밀도를 기준으로, 사이클당 증착되는 재료의 대략적인 질량은 1.7x10-8 g이다. TiN 원자 질량은 61.89 g/mol이다. 질량을 원자 질량으로 나누고 아보가드로 상수(N = 6.022x1023 units/mol)를 곱하면 1.67x1014 TiN 단위가 생성된다. 각 TiN 단위는 하나의 Ti와 하나의 N 원자로 구성되기 때문에, Ti 원자 수 NTi는 질소 원자 수 NN과 같다(즉, NTi = NN = 1.67x1014 원자). 따라서, 각각의 완전한 주기는 TiNx 성장 동안 표면적 1 cm2에 NTi
Figure pat00005
1.67x1014 원자 및 NN
Figure pat00006
1.67x1014 원자를 증착한다. 다시 말하지만, 이것은 원자 스케일 처리에 의한 막 성장 동안의 일반적인 표면 커버리지를 나타내기 위한 것이다. 또한, 25 내지 50 kJ/mol의 활성화 에너지 범위가 막 성장 동안 H2O와 일반 표면 종들 사이의 서로 다른 표면 반응성들을 나타내는데 사용된다. 이 경우, 시간 간격 t를 TiNx PEALD 동안의 주기 시간인 것으로 놓도록 하며(즉, t = 주기 시간 = 18초); 따라서, nads = (7.11x1015)·e-E/RT atoms/cm2이다. 350℃(= 623.15K) 표면/기판 온도에서, nads는 각각 25 내지 50 kJ/mol 범위의 활성화 에너지에 대해 5.71x1013 내지 4.58x1011 atoms/cm2로 다양하다. 따라서, 1 cm2 표면적에 걸쳐 흡착된 산소 원자 수 Nabs는 Nabs = 5.71x1013(25 kJ/mol) 내지 4.58x1011(50 kJ/mol) 범위이다. 이 범위의 산소 커버리지(Nabs)와 위에서 결정된 Ti(NTi) 및 N(NN) 원자 수를 결합하면[즉, 산소 at.% = Nabs / (Nabs + NTi + NN) 막 성장 중 산소 결합의 근사치를 제공한다. 활성화 에너지 범위가 25 내지 50 kJ/mole인 경우, 산소 농도는 각각 ~15 내지 0.1 at.%이다. 이 모델은 ~35 kJ/mol 미만의 활성화 에너지가 산소에 대한 상대적으로 높은 친화도를 갖는 재료를 나타내며, 따라서 성장 중 낮은 산소 결합에 상당히 더 낮은 H2O 수준이 필요하게 된다. 35 kJ/mol을 초과하는 활성화 에너지의 경우, ~2 at.% 미만의 산소 함량은 산소와의 반응성이 충분히 낮은 재료에 대해 10-6 Torr 부분 압력이면 충분함을 나타내는 모델에 의해 예측된다. 이를 기반으로, 배경 불순물들에 대한 10-6 Torr 부분 압력의 상한이 설정된다. 원자 스케일 처리를 위한 반응 챔버 내부의 UHP 공정 조건의 경우, 배경 불순물들의 부분 압력은 10-6 Torr 미만(즉, 1 Langmuir 또는 단층 등가물, 매초 노출 미만)으로 감소되어야 한다.
일 양태에 따르면, 전술한 원자 스케일 처리 기술들 중 하나에 의해 수행되는 것과 같은 원자 스케일 처리는, 원자층 처리에 사용되는 엘라스토머 O-링과 같은 엘라스토머의 투과로부터 배경 불순물들을 실질적으로 제거하도록 구성될 수 있다. 예를 들어, PEALD 기술은 일반적으로 플라즈마 생성을 위해 ICP 소스를 사용한다. 유닛의 감소된 비용과 서비스 가능성을 유지하면서 진공 무결성을 달성하기 위해, ICP 소스는 신호 전송에 사용되는 유전체에 엘라스토머 시일이 필요하다. 엘라스토머 시일의 대기 측에 충분한 진공을 생성하거나, 이 체적을 능동적으로 퍼지(purging)하고/하거나 충분한 순도의 공정 가스로 백필(backfilling)함으로써, 상기 엘라스토머 시일의 대기 투과가 실질적으로 제거될 수 있으며, 이에 따라 UHP 공정 조건을 보장할 수 있다.
현재의 원자 스케일 처리에서, 실링을 필요로 하는 10개의 연결 지점이 제공될 수 있다. 실링이 필요한 6개의 연결 지점은 기판 표면의 평면 위에 위치할 수 있다. 이 평면과 펌프 격리 밸브 사이에, 실링이 필요한 4개의 추가 연결 지점이 제공될 수 있다. 이 10개의 연결 지점에 대해서는 아래에서 자세히 설명한다.
도 1을 참조하면, 반응기(100)가 제공될 수 있다. 반응기는 원자 스케일 처리가 수행될 수 있는 챔버(120)를 포함할 수 있다. ICP 소스(10)는 반응기(100)와 연통할 수 있으며, 반응기(100) 위에 위치할 수 있다. ICP 소스(10)와 반응기(100) 사이에, 전구체 투입 어댑터(130)가 제공될 수 있으며 이것은 ICP 소스(10) 및 반응기(100) 모두와 연통한다. 반응기(100)와 전구체 투입 어댑터(130) 사이에는 반응기 덮개(70)가 제공될 수 있다. 이송 포트(110)는 반응기(100)와 연통되며 이것은 반응기(100)의 전면(前面)에 위치할 수 있다. 게이트 밸브(40)는 이송 포트(110)와 연통할 수 있으며, 반응기(100)를 차단하도록 구성된다.
투과 처리량은 부분 압력의 차이에 비례하며, 여기서 흐름 방향은 고압으로부터 저압으로이다. 따라서, 대기 측의 산소 종들(예를 들면, O2 및 H2O)의 부분 압력을 크게 줄임으로써, 엘라스토머 시일들에 의해 제공되는 실질적인 이점들을 희생하지 않으면서 투과율을 크게 줄일 수 있다. 이것은 제 2 엘라스토머 O-링 시일을 추가하고, 제 1 엘라스토머 O-링 시일과 제 2 엘라스토머 O-링 시일 사이에 체적을 생성함으로써 달성될 수 있다. 그 다음, 대기 성분들의 부분 압력들이 실질적으로 감소되도록 이 체적 내에서 진공이 적용될 수 있다. 제 1 엘라스토머 O-링 시일과 제 2 엘라스토머 O-링 시일 사이에 진공을 추가하는 것에 의하여, 투과율을 낮춤으로써 반응기에서 10-6 Torr 부분 압력 미만의 불순물 수준들을 달성할 수 있다. 대기 성분들을 줄이기 위한 다른 방법은 이 체적을 능동적으로 퍼징하고/하거나 충분한 순도의 공정 가스로 백필하는 것이다(예를 들면, N2와 같은 UHP 등급 공정 가스 사용). 예를 들어, 위에서 설명한 기존 구성에서 10개의 플루오로엘라스토머 O-링 각각에 대한 물의 부분 압력을 0.01 Torr로 줄이면 투과로 인해 반응 챔버 내부의 물에 대한 부분 압력이 10-6 Torr 임계값보다 훨씬 낮은, 약 4x10-9 Torr로 된다(vs. 대기 조건 하에서 ~5x10-6 Torr). 이러한 부분 압력들은 150℃의 플루오로엘라스토머 O-링 온도 및 유효 펌핑 속도 Seff = 21 Liters/sec를 기반으로 한다.
도 2a를 참조하면, ICP 소스(10) 단면이 제공된다. 위에서 논의된 바와 같이, 실링을 필요로 하는 6개의 연결 지점이 기판 표면의 평면 위에 위치될 수 있다. 2개의 이러한 연결 지점(112, 114)이 도 2a에 제공되어 있다. 원자 스케일 처리를 위한 반응기와 같은 반응기(100)는, ICP 소스(10)와 통신하여, 제 1 연결 지점(112)을 확립할 수 있다. 유전체 튜브(14)의 바닥에 위치한 제 1 연결 지점(112)에, 제 1 엘라스토머 시일(16) 및 제 2 엘라스토머 시일(18)이 제공됨으로써 ICP 소스(10)의 연결부와 반응기(100) 사이에 충분한 실링을 유지할 수 있다. 제 1 엘라스토머 시일(16) 및 제 2 엘라스토머 시일(18)은 O-링 형태일 수 있다. 제 1 엘라스토머 시일(16) 및 제 2 엘라스토머 시일(18)은, 제 1 엘라스토머 시일(16)과 제 2 엘라스토머 시일(18) 사이에 체적(20)이 포함되도록 이격될 수 있다. 제 1 엘라스토머 시일(16)과 제 2 엘라스토머 시일(18) 사이의 체적(20)에 진공이 적용될 수 있다. 적용된 진공은 대기 성분들의 부분 압력, 특히 수증기의 부분 압력을 감소시킬 수 있으며, 이에 따라 투과율을 낮춤으로써 반응기 내부의 10-6 Torr 부분 압력 미만의 불순물 수준을 달성할 수 있다. 이러한 조건들 하에서, 표면들은 매초 1 Langmuir(또는 단층 등가물) 미만의 배경 불순물들에 노출되어, 원자 스케일 처리 기술들에 의한 막 성장 및/또는 에칭 이전, 도중 및 이후에 표면 반응들에서의 역할을 효과적으로 감소시킨다. 체적에 적용되는 진공과 함께 그 사이에 체적을 포함하는 이러한 2개의 엘라스토머 시일의 구성을 차동 펌핑된 O-링 시일이라고 할 수 있다. 대기 성분들을 줄이는 대안적인 방법은 체적(20)을 능동적으로 퍼징하고/하거나 UHP 등급 N2와 같은 충분한 순도의 공정 가스로 백필하는 것이다. 체적(20)을 능동적으로 퍼징 및/또는 백필하는 것은 체적(20)에 양압을 초래할 수 있다. 2개의 엘라스토머 시일 사이에 포함된 체적을 능동적으로 퍼징 및/또는 백필하는 것을 차동 펌핑된 O-링 시일이라고 할 수도 있다.
도 2a를 계속 참조하면, 공정 가스 소스(12)는 ICP 소스(10)와 직접 연통할 수 있으며, 이에 따라 공정 가스가 ICP 소스(10)의 유전체 튜브(14)로 흐를 수 있다. 공정 가스는 불활성 가스 및/또는 O2, N2, H2, NH3 등과 같은 하나 이상의 전구체/플라즈마 가스로 구성될 수 있다. 따라서, 공정 가스 소스(12)는 연속적인, 점성 층류 불활성 가스 흐름 및/또는 연속적 또는 순차적 전구체/플라즈마 가스 흐름을 ICP 소스(10)를 통해 반응기(100)(도 26 참조)에 제공하는, ICP 소스(10)의 업스트림에 위치한, 가스 흐름을 제어하기 위한 하나 이상의 MFC를 또한 포함한다. ICP 소스(10) 내에 있는 동안, 공정 가스는 유전체 튜브(14) 내에 포함된다. 유전체 튜브(14)의 상단의, ICP 소스(10)와 공정 가스 소스(12) 사이에 있는 제 2 연결 지점(114)에는, 제 3 엘라스토머 시일(22) 및 제 4 엘라스토머 시일(24)이 제공될 수 있다. 제 3 엘라스토머 시일(22) 및 제 4 엘라스토머 시일(24)은 차동 펌핑된 O-링 시일일 수 있고, 따라서 제 1 엘라스토머 시일(16) 및 제 2 엘라스토머 시일(18)의 구성과 실질적으로 동일하며, 여기서 체적(26)이 또한 제 3 엘라스토머 시일(22)과 제 4 엘라스토머 시일(24) 사이에 포함되며, 이 포함된 체적(26)에 진공이 적용됨으로써 대기 성분들의 부분 압력을 감소시키게 된다. 대기 성분들을 감소시키기 위한 대안적인 방법은 체적(26)을 능동적으로 퍼징하고/하거나 UHP 등급 N2와 같은 충분한 순도의 공정 가스로 백필하는 것이다. 체적(26)을 능동적으로 퍼징 및/또는 백필하는 것은 체적(26)에 양압을 초래할 수 있다.
도 2a를 계속 참조하면, ICP 소스(10)는 유전체 튜브(14) 주위에 인덕터 코일(28)을 포함한다. 인덕터 코일(28)은 인가된 전자기장을 유전체 튜브(14) 내부의 공정 가스에 결합하여 플라즈마를 생성하는데 사용된다. 금속 패러데이 인클로저(30)가 인덕터 코일(28)과 유전체 튜브(14) 주위에 제공됨으로써 인덕터 코일(28)에 의해 생성되는 RF 방사와 유전체 튜브(14) 내부에 생성된 플라즈마 종들에 의해 방출되는 방사를 차폐한다. 인클로저(30)의 다양한 표면들 중 하나에, 강제 공기 배출 그릴(32)이 제공될 수 있다. 강제 공기 배출 그릴(32)은 인클로저(30)의 다양한 표면들 중 하나에 위치된 냉각 팬(도 2b의 150 참조)에 의해 생성되는 공기 흐름을 가능하게 할 수 있다.
ICP 소스(10)는 냉각 장치를 포함할 수 있다. 냉각 장치는 도 2b의 냉각 팬(150)을 포함할 수 있다. 냉각 장치는 인클로저(30) 내에 히트 싱크(34)를 포함할 수 있다. 히트 싱크(34)는 유전체 튜브(14)의 둘레에 제공될 수 있다. 냉각 장치는 수냉식 베이스 플레이트 및 장착 플랜지(36)를 포함할 수 있다. 냉각 장치는 제 2 연결 지점(114) 아래에 위치한 수냉식 베이스 플레이트(160)를 포함할 수 있다. 도 2a 및 도 2b를 참조하면, 냉각 장치는 인클로저(30)의 표면들 중 하나 이상에 위치된 적어도 하나의 수냉식 인클로저 패널(162)을 포함할 수 있다. 예를 들어, 수냉식 인클로저 패널(162)은 도 2a 및 도 2b에 도시된 바와 같은, 인클로저(30)의 3개 이상의 표면과 같은, 인클로저(30)의 2개 이상의 표면에 제공될 수 있다. 냉각 팬(150), 히트 싱크(34), 수냉식 인클로저 패널들(162), 수냉식 베이스 플레이트(160), 그리고 수냉식 베이스 플레이트 및 장착 플랜지(36)가 제공됨으로써, 유전체 튜브(14), 인덕터 코일(28) 및 인클로저(30)의 열 부하를 관리한다. 특히, 히트 싱크(34)와 수냉식 베이스 플레이트 및 장착 플랜지(36)는 유전체 튜브(14) 실링 표면들의 엘라스토머 시일들에 대한 열적 손상을 방지한다. 도 2c를 참조하면, 추가적인 열 부하 관리를 위해, 냉각 장치는 제 2 연결 지점(114)에서 공정 가스 소스(12) 아래에 수냉식 어댑터(164)를 포함할 수 있다. 열 부하의 효과적인 관리는 고전력 ICP 소스 작동에 특히 중요하다(예를 들어, 최대 3kW의 고전력 작동). 예를 들어, 제 1 연결 지점(112)과 제 2 연결 지점(114)에 제공된 엘라스토머 시일들은 300℃의 온도를 초과하지 않아야 하며, 예를 들면 200℃의 온도를 초과하지 않아야 하고, 예를 들면 150℃의 온도를 초과하지 않아야 한다. 따라서, 전술한 냉각 장치 구성 요소들 중 임의의 것을 포함하는 냉각 장치는, 제 1 연결 지점(112) 및/또는 제 2 연결 지점(114)에서 엘라스토머 시일들의 온도를 300℃ 미만, 예를 들어 200℃ 미만, 예를 들어 150℃ 미만으로 유지하도록 구성될 수 있다. ICP 소스(10)는 반응기(100)와 통신할 수 있다. ICP 소스(10)와 반응기(100) 사이에는 전구체 투입 어댑터(130)가 제공될 수 있다.
2개의 유전체 튜브 연결부들(즉, 제 1 연결 지점(112) 및 제 2 연결 지점(114))에 추가하여, 차동 펌핑 O-링 시일 구성이 또한 본 발명의 다른 연결 지점들에 통합될 수 있다. 위에서 논의된 바와 같이, 실링을 필요로 하는 6개의 연결 지점이 기판 표면의 평면 위에 위치될 수 있다. 3개의 이러한 연결 지점(122, 126, 128)이 도 3에 나와 있다. 도 3을 참조하면, 여기에서 정의되는 차동 펌프 O-링 시일 구성은 반응기 덮개(70)와 반응기(100)의 챔버(120) 사이의 제 3 연결 지점(122)에 통합될 수도 있다. ICP 소스(10)를 전구체 투입 어댑터(130)에 연결하는 플라즈마 포트(124)와 ICP 소스(10) 사이의 제 4 연결 지점(126)에서, 금속 시일 연결부가 구현될 수 있다. 또한, 전구체 투입 어댑터(130)와 반응기 덮개(70) 사이의 제 5 연결 지점(128)에서, 전구체 투입 어댑터(130)와 반응기 덮개(70)가 함께 용접될 수 있다. 반응기(100)는 외부 표면(152) 및 내부 표면(154)을 포함할 수 있다. 기판(118)의 평면(116) 위의 반응기(100)의 내부 표면들(154)은 반응기(100)의 내부 체적(156)을 규정한다. 고정 조립체(158)는 내부 체적(156) 내에 있을 수 있다. 고정 조립체(158)는 반응기(100)의 내부 체적(156) 내에 기판(118)을 유지하도록 구성된 표면을 가질 수 있다.
다시 도 1을 참조하면, 기판(118)의 평면(116) 위의 마지막 연결 지점에서, 게이트 밸브(40)와 이송 포트(110) 사이의 제 6 연결 지점(132)에서, 차동 펌프 O-링 시일이 구현될 수 있으며, 이것은 도 5와 관련하여 아래에서 더 논의된다. 따라서, 기판(118)의 평면(116) 위의 모든 연결 지점들은 차동 펌핑 O-링 시일들, 금속 시일들을 포함하거나, 또는 함께 용접된다. 제 3 연결 지점(122) 및 제 6 연결 지점(132)에서의 차동 펌핑 O-링 시일들은 2개의 엘라스토머 시일들 사이의 체적에 진공을 포함할 수 있거나, 또는 대안적으로, 2개의 엘라스토머 시일들 사이의 체적은 능동적으로 퍼징되거나 또는 UHP 등급 N2와 같은 충분한 순도의 공정 가스로 백필될 수 있다.
현재 배열의 엘라스토머 시일들에서는, 4개의 O-링이 모두 차동 펌핑되는 기판(118)에 의해 규정된 평면(116) 위에 위치된다. 150℃의 플루오로엘라스토머 시일 온도와 유효 펌핑 속도 Seff = 21Liters/sec의 경우, 물의 부분 압력이 0.01Torr로 감소하면, 반응기(100) 내부 체적(156) 내의 물의 불순물 수준이 UHP 조건에 대한 사양보다 훨씬 낮은 약 2x10-9 Torr 부분 압력으로 감소될 수 있다. 이것은 500초마다의 1 Langmuir(또는 단층 등가물) 물 노출에 대응한다. 대조적으로, 차동 펌핑이 없는 물 불순물 수준은 UHP 반응기 조건에 대한 요구 사항보다 3x 더 큰 약 3x10-6 Torr이다.
기판(118)의 평면(116) 아래에 위치된, 모든 다운스트림 엘라스토머 O-링 연결부들은 차동 펌핑, 또는 능동 퍼징 및/또는 공정 가스에 의한 백필 없이 유지될 수 있다. 이러한 다운스트림 엘라스토머 시일들은, 불순물들이 반응기(100)의 내부 체적(156)으로 역-확산되는 것을 방지하는 공정 가스의 연속적인, 점성 층류 흐름에 의해 충분한 확산 배리어가 제공되기 때문에, 유지될 수 있다. 이 가스 흐름은, 부분적으로, 공정 가스 소스(12)에 의해 제공된다. 이러한 엘라스토머 시일들을 유지하면 반응기(100)의 설계가 단순해져서 비용이 절감되고 서비스 가능성이 향상된다.
위에서 논의된 바와 같이, 4개의 연결 지점이 기판(118)의 평면(116)과 펌프 격리 밸브 사이에 위치된다. 상기 연결 지점들 중 3개(134, 138, 142)가 도 4에 도시되어 있다. 도 4를 참조하면, 배기 포트(50a)와 배기 포트 퍼지 어댑터(136) 사이의 제 7 연결 지점(134)에서, 엘라스토머 O-링 시일이 제공될 수 있다. 제 7 연결 지점(134)에 있는 이 엘라스토머 O-링 시일은 차동 펌핑 없이 유지될 수 있다. 배기 포트 퍼지 어댑터(136) 아래에는, 차동 펌핑될 필요가 없는 엘라스토머 O-링 시일을 포함하는 제8 연결 지점(138)이 제공된다. 배기 포트 퍼지 어댑터(136) 아래 및 히터 스템(146, 도 6 참조) 위에는 차동 펌핑될 필요가 없는 엘라스토머 O-링 시일을 포함하는 제 9 연결 지점(142)이 존재한다.
도 5를 참조하면, 게이트 밸브(40)가 제공된다. 도 5는 차동 펌핑 O-링 시일을 구현함으로써 엘라스토머 투과로부터 배경 불순물들의 제거를 도울 수 있는 게이트 밸브(40)의 비제한적인 예이다. 게이트 밸브(40)는 제 1 엘라스토머 시일(42) 및 제 2 엘라스토머 시일(44)을 포함할 수 있다. 제 1 엘라스토머 시일(42)과 제 2 엘라스토머 시일(44) 사이에 체적(46)이 제공될 수 있으며, 대기 부분 압력을 감소시켜 엘라스토머 재료를 통해 투과하는 배경 불순물들의 수준을 감소시키기 위해 체적(46)에 진공이 적용될 수 있다. 대안적으로, 2개의 엘라스토머 시일 사이의 체적은 능동적으로 퍼징되거나 또는 UHP 등급 N2와 같은 충분한 순도의 공정 가스로 백필될 수 있다. 게이트 밸브(40)가 도 1에 도시된 바와 같이, 챔버(120)의 이송 포트(110)에 구현될 수 있다. 이송 포트(110)는 다중 기술 능력을 가능하게 하는 클러스터 도구 장치들을 포함하는 다양한 구성들을 위한 기판 이송을 가능하게 할 수 있다. 게이트 밸브(40)는 기판 이송의 완료 시에 반응기 격리를 제공한다.
다른 양태에 따르면, 전술한 원자 스케일 처리 기술들 중 하나에 의해 수행되는 것과 같은 원자 스케일 처리가, 공정 펌프 역-확산 및 역-스트리밍으로부터 배경 불순물들을 실질적으로 제거할 수 있다. 다운스트림 공정 펌프 불순물들은, 확산 배리어를 생성하기 위해 정상 작동 중에 연속적인, 점성 층류 가스 흐름을 유지함으로써 기판이 위치한 반응 존(reaction zone)으로 들어가는 것이 방지될 수 있다. 이 가스 흐름은, 부분적으로, 공정 가스 소스(12)에 의해 제공된다.
기판(118)의 평면(116)과 펌프 격리 밸브(54) 사이에 위치한 최종 연결 지점(144)이 도 6에 도시되어 있다. 도 6을 참조하면, 배기 포트(50a)는 반응기(100) 및 펌프 격리 밸브(54)와 연통될 수 있다. 배기 포트(50a)와 펌프 격리 밸브(54) 사이의 제 10 연결 지점(144)에서, 차동적으로 펌핑될 필요가 없는 엘라스토머 O-링 시일(58)이 제공될 수 있다. 압력 게이지(52)는 반응기(100)로부터 이어지는 배기 포트(50a)에 부착되어 이것과 연통될 수 있다. 압력 게이지(52)는 반응기(100) 내부에 사공간(dead-space) 체적을 도입하지 않고 반응기(100)의 압력을 결정하는데 사용될 수 있다. 펌프 격리 밸브(54)는 배기 포트(50a)의 일부 및 포어라인(50b)의 일부에 부착될 수 있다. 펌프 격리 밸브(54)는 반응기(100)로부터 펌프(166)를 격리하기 위해 열리거나 닫힐 수 있다. 포어라인(50b)은 펌프 격리 밸브(54)에서 펌프(166)까지 이어질 수 있다. 펌프(166)는 반응기(100), 배기 포트(50a) 및 포어라인(50b)을 통해 요구되는 압력 및 가스 흐름 속도 범위에 걸쳐, 공정 가스의 흐름을 가능하게 하고 이에 따라 연속적인 점성 층류가 유지되도록 하는 임의의 적합한 화학 시리즈 펌프일 수 있다. 예를 들어, 약 5-50 Liters/sec 범위의 펌핑 속도를 가진 화학 시리즈 기계식 펌프일 수 있다. 병렬 펌핑 채널들(예를 들면, 펌핑 채널 A 및 B)이 사용될 수도 있다. 예를 들어, 채널 A는 약 200-300 mTorr 이상의 압력에서 작동하기 위한 화학 시리즈 기계식 펌프일 수 있고, 채널 B는 더 낮은 압력(예를 들면, 1-100 mTorr)에서 작동하기 위한 화학 시리즈 터보 분자 펌프일 수 있다. 다운스트림 포트(56)는 포어라인(50b)에 부착되어 포어라인(50b)과 연통될 수 있다. 다운스트림 포트(56)는 펌프 역-확산 및 불순물 역-스트림의 가능성을 줄이기 위해 퍼지 및 벤트 보호를 제공한다(도 27 및 아래의 해당 설명 참조). 예를 들어, 다운스트림 포트(56)는 반응기(100)가 펌프(166)와 연통되지 않는 경우, 연속적인, 점성 층류 가스 흐름(MFC, 오리피스 또는 기타 적절한 가스 흐름 제어 수단 사용)을 제공하도록 구성될 수 있다. 따라서, 펌프(166)는 펌프(166)에서 포어라인(50b)으로 불순물들이 유입될 위험 없이 펌프 격리 밸브(54)가 닫힐 때 켜진 상태를 유지할 수 있다. 펌프(166)가 유지보수 목적, 전력 손실, 펌프 고장 등을 위해 꺼지면, 펌프 격리 밸브(54)를 사용하여 반응기(100)를 격리할 수 있는 한편 다운스트림 포트(56)는 펌프(166)에서 포어라인(50b)으로 불순물들이 역-스트림하는 것을 방지하기 위해 포어라인(50b)을 대기압으로 빠르게 만드는 가스 흐름을 제공하도록 구성될 수 있다. 예를 들어, 양압의 불활성 가스 소스(예를 들면, N2)에서 밸브로, 그리고 밸브에서 다운스트림 포트(56)로의 튜브는 펌프 격리 밸브(54)가 닫히고 펌프(166)가 꺼질 때 대기압으로 포어라인(50b)의 신속한 배기를 가능하게 한다.
앞서 언급한 바와 같이, 연속적인, 점성 층류 가스 흐름에 의해 생성되는 확산 배리어가 또한 엘라스토머 투과로 인한 다운스트림 불순물들이 역-확산되어 반응기(100)의 내부 체적(156)으로 들어가는 것을 방지한다. 따라서, 연속적인, 점성 층류를 유지하면 UHP 공정 환경을 계속 유지하면서 다운스트림에 엘라스토머 시일들을 사용할 수 있다. 도 7을 참조하면, 배기 포트(50a)와 펌프 격리 밸브(54) 사이의 제 10 연결 지점(144)이 도시되어 있다. 제 10 연결 지점(144)에는, 엘라스토머 O-링 시일(58)이 제공된다. 반응기(100), 배기 포트(50a) 및 펌프 격리 밸브(58)를 통한 연속적인, 점성 층류 가스 흐름은 불순물들이 역-확산되어 반응기(100)의 내부 체적(156)으로 들어가는 것을 방지하는 확산 배리어를 생성한다.
다른 양태에 따르면, 전술한 원자 스케일 처리 기술들 중 하나에 의해 수행되는 것과 같은, 원자 스케일 처리는 가스 배출 및 기화로부터 배경 불순물들을 실질적으로 제거할 수 있다. 도 8은 전구체 증기를 반응기(100)로 전달하기 위한 전구체(또는 반응물) 증기 전달 장치(80a)를 도시한 것이다. 하나 이상의 전구체 증기 전달 장치(80a)가 반응기(100)와 연통될 수 있다. 전달 구성 요소들은 원자 스케일 처리를 위한 전구체를 포함하는 앰플(60)을 포함할 수 있다. 반응기(62)로의 라인은 전구체가 반응기(100)로 수송될 수 있도록 앰플(60) 및 반응기(100)와 연통될 수 있다. 밸브(64)는 반응기(62)에 대한 라인에 부착되어 이것과 연통될 수 있다. 밸브(64)는 앰플(60)로부터 반응기(62)에 대한 라인으로의 전구체 증기의 도입을 제어하기 위해 열리거나 닫힐 수 있다. 전구체 증기 전달 장치(80a)는 또한 밸브(64)의 업스트림에 위치한 MFC를 포함하며, 이것은 효과적인 증기 전달 및 전달 구성 요소들의 후속적인 퍼징(도 26 참조)을 위해 밸브(64) 및 라인을 통해 반응기(62)로 연속적인, 점성 층류 불활성 가스 흐름을 제공한다. 하나 이상의 상기 전구체 증기 전달 장치(80a)와 공정 가스 소스(12)로부터의 연속적인, 불활성 가스 흐름의 조합은 반응기(100), 배기 포트(50a) 및 포어라인(50b)을 통한 연속적인, 점성 층류 흐름을 펌프(166)에 제공한다.
열 관리(또는 온도 균일성 및 제어)는 UHP 프로세스 환경을 생성하고 유지하는데 필수적이다. 불활성 캐리어/퍼지 가스(예를 들면, Ar 또는 N2)의 연속적인, 점성 층류 흐름 외에도, 전달 소스 구성 요소들의 가열은 전달 채널 내의 응축 또는 증착뿐만 아니라 전구체 흡착으로 인한 긴 체류 시간을 방지하기 위해 필요하다. 많은 경우에 있어서, 효과적인 증기 전달은 증기압을 증가시키기 위해 액상/고상 전구체 자체의 가열을 또한 필요로 한다. 도 9를 참조하면, 온도가 테트라키스-디메틸아미노 티타늄(TDMAT)의 증기압에 미치는 영향 그래프가 제공된다. TDMAT는 TiO2 및 TiNx의 ALD/PEALD에 일반적으로 사용되는 액상 전구체이다. 관련된 모든 전달 소스 구성 요소들의 온도는 전달 채널 내에서 전구체 증기(예를 들면, TDMAT, H2O 등)의 잔류를 최소화할 수 있을 만큼 충분히 높고 균일해야 한다.
도 10을 참조하면, 온도 균일성을 효과적으로 유지하기 위해, 클래딩(66)이 앰플(60), 밸브(64) 및/또는 반응기(62)에 대한 라인의 적어도 일부 주위의 전구체 증기 전달 장치(80a)에 추가될 수 있으며/있거나 효과적인 온도 관리를 위해 반응기 덮개(70) 주위에서 반응기(100)에 추가될 수 있다. 클래딩(66)은 금속 재료일 수 있다. 예를 들어, 클래딩(66)은 알루미늄(Al) 클래딩일 수 있다. 알루미늄(Al) 클래딩은 온도 관리를 돕기 위해 앰플(60), 밸브(64) 및 반응기(62)에 대한 라인 및/또는 반응기 덮개(70)의 특정 표면들에 추가될 수 있다. 대안적으로 또는 알루미늄 클래딩에 추가하여, 클래딩(66)은 클래딩(66)이 존재하는 구성 요소들의 온도를 제어하기에 충분한 열 전도성을 갖는 일부 다른 적절한 축열체(thermal mass)를 포함할 수 있다. 예를 들어, 알루미늄에 추가로 또는 대안적으로, 구리(Cu)와 같은 상이한 금속 재료가 클래딩(66)에 사용될 수도 있다. 클래딩(66)은 앰플(60), 밸브(64), 반응기(62)에 대한 라인, 및/또는 반응기 덮개(70) 주위를 적어도 부분적으로 감싸는 고체 구조의 형태일 수 있다. 대안적으로, 클래딩(66)은 다른 형태를 취할 수도 있다. 예를 들어, 클래딩(66)은 앰플(60), 밸브(64), 반응기(62)에 대한 라인 및/또는 반응기 덮개(70)의 표면들의 적어도 일부를 덮는 비드(bead)들의 형태일 수도 있다.
도 11을 참조하면, 클래딩(66)에 추가하여 또는 대안으로서, 히터 재킷(68), 또는 열 에너지를 공급하는 일부 다른 적절한 수단이 온도 관리에 도움을 주기 위해서 앰플(60), 밸브(64), 및/또는 반응기(62)에 대한 라인의 적어도 일부 주위의 전구체 증기 전달 장치(80a)에 및/또는 반응기 덮개(70)의 적어도 일부 주위의 반응기(100)에 추가될 수도 있다. 일부 비제한적 실시형태에서, 재킷(68)은 반응기(62)에 대한 라인 및/또는 반응기 덮개(70)의 전체 둘레 주위에 추가될 수 있다. 반응기 구성 요소, 앰플, 밸브 및 반응기에 대한 라인을 포함하는 복잡한 기하학적 구조들에서도, 클래딩(66) 및 재킷들(68)은 독립적으로 제어되는 여러 히트 존과 함께, ±5% 미만의 온도 비균일성을 가능하게 한다. 소프트웨어 제어를 사용하여 설정값의 ±0.5℃ 내에서 온도를 제어할 수 있다.
앞서 언급한 바와 같이, 반응기 시스템은 도 12에 도시된 바와 같은, 다수의 독립적으로 제어되는 히트 존을 포함할 수 있다. 예를 들어, 도 8에 도시된 바와 같이, 반응기 시스템은 앰플(60)을 포함하도록 제 1 독립적으로 제어되는 히트 존(72)을 포함할 수 있다. 반응기 시스템은 밸브(64)를 포함하도록 독립적으로 제어되는 제 2 히트 존(74)을 포함할 수 있다. 반응기 시스템은 반응기(62)에 대한 라인 상에 독립적으로 제어되는 제 3 히트 존(76)을 포함할 수 있다. 반응기 시스템은 다양한 추가의 독립적으로 제어되는 히트 존을 포함할 수 있으며, 앞서 언급한 3개의 독립적으로 제어되는 히트 존으로 제한되지 않는다는 점에 유의해야 한다. 예를 들어, 반응기(100)는 반응기 덮개(70) 상에 독립적으로 제어되는 히트 존을 포함할 수 있다. 반응기(100)는 반응기 측벽들 상에 독립적으로 제어되는 히트 존을 포함할 수 있다. 반응기(100)는 하나 이상의 반응기 포트들에 독립적으로 제어되는 히트 존을 포함할 수도 있다. 반응기(100)는 고정 조립체(158)에 독립적으로 제어되는 히트 존을 포함할 수도 있다. 여러 개의 독립적으로 제어되는 히트 존들이 있는 반응기 시스템은 온도 균일성을 유지하는데 도움이 되며 또한 온도 구배를 생성하는 기능도 제공한다. 예를 들어, 도 12에 도시된 바와 같이 전구체 앰플로부터 기판까지의 온도를 제어하고 증가시키기 위해 다양한 독립적으로 제어되는 히트 존들이 사용될 수 있다. 다양한 독립적으로 제어되는 히트 존들은 전구체 체류 시간이 감소되도록 하는 도 12의 온도 구배를 가능하게 한다.
가스 방출은 비-가열 시스템 구성 요소들에서도 발생할 수 있다. 예를 들어, 대기 노출로 인해 스테인리스강 튜브로부터 반응기로의 물이 표면에서 가스 방출된다. 따라서, 이러한 비-가열 구성 요소들의 충분한 가스 방출을 보장하는 절차를 마련해야 한다. 가스 방출은 플라즈마와 같은 대체 에너지 소스들에 의해 자극될 수도 있다. 예를 들어, 플라즈마를 사용한 사전 컨디셔닝(pre-conditioning)이 UHP 조건 하에서 원자 스케일 처리 이전에 수행될 수도 있다.
가스 방출은 반응기 벽들 및 내부 고정물들 내에서 흡수된 요소들 또는 화합물들의 확산 및 후속 탈착을 통해 추가로 발생할 수 있다. 예를 들면, 엘라스토머 시일들로부터, 제조 공정과 관련된 휘발성 가소제 및 안정제를 포함하는 물의 가스 방출이다. 이러한 형태의 가스 방출을 해결하기 위해, 앞서 언급한 엘라스토머 시일들(예를 들면, 엘라스토머 O-링들) 중 임의의 것이 사전 어닐링될 수 있다. 사전 어닐링된 엘라스토머 시일들의 설치 이후에, 상기 시일들은 대기 노출로 인해 후속적으로 흡수되는 물과 기타 불순물들을 제거하기 위해 다시 한번 베이킹될 수 있다.
다른 양태에 따르면, 전술한 원자 스케일 처리 기술들 중 하나에 의해 수행되는 것과 같은, 원자 스케일 처리는 플라즈마 에칭으로부터 배경 불순물들을 실질적으로 제거할 수 있다. 도 13을 참조하면, 유전체 튜브(14)는 반응기(100)와 연통한다. 유전체 튜브(14)는 일반적으로 용융 실리카(또는 석영)를 포함한다. 그러나, 도 14에 도시된 바와 같이, 플라즈마 에칭은 용융 실리카/석영 유전체 튜브의 사용으로 인해 발생할 수도 있다. 도 14에 도시된 바와 같이, 인덕터 코일(28)이 위치하는 유전체 튜브(14)의 변색된 영역은 유전체 튜브(14) 내부 표면들 상의 플라즈마 에칭으로 인한 것이다. 용융 실리카 표면의 직접적인 플라즈마 노출로 인한 화학적 에칭 또는 물리적/스퍼터 에칭을 방지하기 위해, 유전체 튜브(14)는 추가 및/또는 대체 재료를 포함할 수 있다. 예를 들어, 세라믹 알루미나, 사파이어 또는 알루미나 코팅된 용융 실리카가 유전체 튜브(14) 표면의 에칭을 방지하기 위해 사용될 수 있다. 충분한 UHP 공정 환경을 얻기 위해 세라믹 알루미나, 사파이어 또는 알루미나 코팅된 용융 실리카를 사용하여, 이러한 플라즈마 에칭을 제거하거나 상당히 감소시킬 수 있다.
추가 고려 사항은 플라즈마 생성 영역의 다운스트림에 위치한 반응기(100) 표면들의 화학적 및/또는 물리적 에칭이다. 물리적/스퍼터 에칭은 이온들이 플라즈마에 직접 노출된 표면에 형성된 플라즈마 시스(sheath)를 가로질러 가속될 때 발생한다. 반응기(100) 측벽 표면들 상의 이러한 화학적 및 물리적 에칭을 최소화하기 위해, 상기 표면들은 UHP 처리 이전에 알루미나와 같은 재료로 패시베이션되고 플라즈마로 전처리될 수 있다.
도 15를 참조하면, 노출된 표면(104) 상의 플라즈마 시스(102)가 도시되어 있다. 플라즈마 시스(102)는 유전체 튜브(14) 및 다운스트림 반응기(100) 표면들과 같은 플라즈마(106)에 직접 노출된 표면들에 대한 양전하 및 음전하의 흐름의 균형을 유지하도록 디벨로핑된다. 도 15의 y축은 표면에서의 이온 전하(ni)와 전자 전하(ne) 사이의 불균형이 시스(102)를 가로지르는 전압을 생성하는 플라즈마 밀도에 해당한다. 유도성 플라즈마의 경우, 시스 전압은 일반적으로 20-40V 범위이며 너비(w)에서 몇 Debye 길이이다. 이러한 전압들은 상대적으로 낮기 때문에, 스퍼터링은 일반적으로 유도성 플라즈마의 주요 관심 사항이 아니다. 그러나, 플라즈마 투여/노출 단계들의 다양한 스테이지들에서 플라즈마 기반 원자 스케일 처리 동안 과도 전압 증가의 가능성이 높으며, 그 결과 더 높은 스퍼터 수율로 플라즈마에 더 많은 용량 성분을 야기한다(예를 들어, 플라즈마의 초기 조명 동안). 알루미나는 화학적 안정성과 낮은 스퍼터 수율로 잘 알려져 있으며; 따라서, 플라즈마에 직접 노출된 표면들이 반응성 및/또는 물리적 에칭에 저항하도록 하기 위해, 사파이어 및/또는 세라믹 알루미나 오버레이어들이 사용될 수도 있다.
다른 양태에 따르면, 전술한 원자 스케일 처리 기술들 중 하나에 의해 수행되는 것과 같은, 원자 스케일 처리는 막 성장 및/또는 에칭 동안 표면 화학을 수정하기 위해 UHP 환경 내에서 특정 배경 종들의 부분 압력을 제어(vs. 감소)하도록 구성될 수 있다. 예를 들어, O2 가스 및/또는 H2O 증기의 연속적인 배경이 보조 전구체 전달을 통해 반응기(100)에서 특정 수준(예를 들면, 10-7 Torr 부분 압력)으로 유지될 수 있으며 이에 따라 질화물 막과 같은 비산화물 기반 물질에서 산소의 도핑 수준을 제어할 수 있다.
도 16을 참조하면, 예시적인 보조 전구체 전달 장치(80b)가 제공된다. 상기 전구체 전달 장치(80b)에서, 전구체(예를 들어, O2 가스)를 함유하는 압축 가스 실린더(82)는 저장소(84)와 연통된다. 압축 가스 실린더(82)를 저장소(84)에 연결하는 라인 상에는, 레귤레이터(86)가 제공되어 있다. 레귤레이터(86)에서 저장소(84)를 향해 이동하면, 제 1 오리피스(88)가 제공되고, 이어서 제 1 밸브(90)가 제공된다. 압축 가스 실린더(82)의 밸브가 개방되어, 전구체가 실린더 외부로 흐를 때, 전구체 가스는 레귤레이터(86), 제 1 오리피스(88), 제 1 밸브(90)를 거쳐, 저장소(84)로 흐르게 된다. 저장소(84)로부터, 라인은 압력 게이지(92)로 이어진다. 압력 게이지(92) 이후에, 라인은 제 2 오리피스(94) 및 제 2 밸브(96)로 이어진다. 제 2 밸브(96) 이후에, 라인은 반응기(100)와 연결되거나, 또는 공정 가스 소스(12)를 통해 반응기(100)와 연결된다. 따라서, 전구체 가스는 저장소(84)에 들어간 후, 압력 게이지(92)를 지나, 제 2 오리피스(94)를 통해, 제 2 밸브(96)를 거쳐, 반응기(100)로 이동할 수 있다. 레귤레이터(86)는 압축 가스 실린더(82)와 저장소(84) 사이의 라인 압력을 제어하는데 사용될 수 있다. 제 1 오리피스(88)는 흐름을 제어하는데 사용될 수 있으며, 제 1 밸브(90)는 압축 가스 실린더(82)로부터 저장소(84)로의 전구체 흐름을 활성화 또는 비활성화하는데 사용될 수 있다. 유사하게, 제 2 오리피스(94)는 흐름을 제어하는데 사용될 수 있으며, 제 2 밸브(96)는 저장소(84)로부터 반응기(100)로의 전구체 흐름을 활성화 또는 비활성화하는데 사용될 수 있다. 압력 게이지(94)는 저장소(84)의 압력을 모니터링하는데 사용될 수 있다. 원하는 반응기(100) 압력에 따라, 레귤레이터(86) 및 저장소(84) 압력, 그리고 제 1 오리피스(88) 및 제 2 오리피스(94) 크기는, 초크 흐름(choked flow)을 가능하게 하고 저장소(84) 및 반응기(100)로의 원하는 흐름 속도를 얻도록 선택될 수 있다. 도 16에 도시된 바와 같이, 전구체 전달 구성 요소들의 전술한 구성은 효과적인 보조 전구체 전달을 위한 적절한 흐름 및 압력 제어를 가능하게 한다. 예를 들어, 시스템 제어 소프트웨어는 압력 게이지(92)로부터의 피드백을 사용하여 제 1 밸브(90)를 순환시켜 가스가 제 1 오리피스(88)를 통해 저장소(84)로 흐르게 함으로써 저장소(84) 내부의 압력을 유지할 수 있다. 보조 전구체 흐름은 제 2 밸브(96)를 개방함으로써 유지될 수 있으며, 이에 따라 제 2 오리피스(94)를 통해 반응기(100)로의 흐름을 허용한다. 기상(gas phase) 전구체들에 대한 전달 시스템의 이러한 구성은, 반응기(100)로의 보조 전구체 흐름에 대한 극도로 정밀한 제어를 제공한다.
도 17을 참조하면, 대안적인 전구체 전달 구성은 레귤레이터(86)에서 제 2 오리피스(94)로 라인을 직접 라우팅하는 것이다. 이 구성에서, 전구체 가스가 압축 가스 실린더(82)를 빠져나오면, 전구체 가스는 레귤레이터(86)로부터 제 2 오리피스(94), 제 2 밸브(96)를 거쳐, 반응기(100)로 흐른다. 또한, 아르곤과 같은 사전 혼합된 비활성 공정 가스가, 원하는 수준의 보조 전구체를 함유할 수도 있다. 도 18을 참조하면, 수증기와 같은 기상 전구체들의 경우, 전구체 앰플(98)이 제 1 밸브(90)에 연결될 수 있고 그 다음 제 2 오리피스(94)에 직접 연결될 수 있다. 따라서, 증기상 전구체가 앰플(98)을 빠져나오면, 증기상 전구체가 제 1 밸브(90), 제 2 오리피스(94) 및 제 2 밸브(96)를 통해 이동한 후, 반응기(100) 또는 여기에 포함된 다양한 반응기 구성 요소들 중 하나로 들어갈 수 있다.
이 경우 라인 압력은 전구체 온도에만 의존한다(도 9의 TDMAT에 대해 도시됨). 따라서, 고정된 전구체 온도를 유지함으로써 매우 정밀한 증기 전달이 달성될 수 있다. 증기상 전구체에 대한 전달 시스템의 이러한 구성은 또한 반응기(100)로의 보조 전구체 흐름에 대한 극도로 정밀한 제어를 제공한다.
도 19를 참조하면, 전구체 증기 전달 장치(80c)가 제공된다. 전구체 증기 전달 장치(80c)는 전구체 증기 전달 장치(80b)와 유사할 수 있지만, 복수의 전구체 앰플(60) 및 복수의 밸브 장치(64)를 구비한다. 복수의 전구체 앰플들(60)의 각각의 전구체 앰플(60)은 복수의 밸브들(64) 중 밸브(64)와 연통될 수 있다. 복수의 전구체 앰플들(60) 및 복수의 밸브(64)는 반응기(62)에 대한 라인을 통해 반응기(100)와 연통될 수 있다. 반응기(62)에 대한 단일 라인은 각각의 전구체 앰플(60)과 연통될 수 있으며 또한 전구체 증기가 반응기 내로 흐르도록 할 수 있다. 각각의 밸브(64)는 각 전구체 앰플(60)로부터 전구체의 흐름을 허용하거나 방지하기 위해 개방되거나 폐쇄될 수 있다. 클래딩(66)은 열 관리를 돕기 위해 복수의 앰플들(60)의 각 앰플(60), 복수의 밸브(64)의 각 밸브(64) 및/또는 반응기(62)에 대한 라인 주위에 제공될 수 있다. 클래딩(66)은 Al 클래딩, 또는 충분한 열 전도성을 갖는 다른 적절한 축열체, 예를 들어 구리일 수 있다. 클래딩(66)은 구성 요소 주위를 감싸는 고체 구조의 형태일 수 있거나, 또는 비드 형태와 같은 다른 구조일 수 있다. 전구체 증기 전달 장치(80c)는 복수의 앰플들(60), 복수의 밸브(64) 및/또는 또는 반응기(62)에 대한 라인의 적어도 일부 주위에, 히터 재킷들(68), 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 전구체 증기 전달 장치(80c)는 온도 관리를 추가로 돕기 위해 하나 이상의 독립적으로 제어되는 히트 존을 포함할 수 있다. 전구체 증기 전달 장치(80c)는 또한 복수의 밸브(64)로부터 업스트림에 위치한 MFC를 포함하며, 이것은 효과적인 증기 전달 및 전달 구성 요소들의 후속 퍼징을 위해 복수의 밸브(64) 및 반응기(62)에 대한 라인을 통해 연속적인, 점성 층류 불활성 가스 흐름을 제공한다(도 26 참조). 하나 이상의 상기 전구체 증기 전달 장치들(80a 및/또는 80c) 및 공정 가스 소스(12)로부터의 연속적인, 불활성 가스 흐름의 조합이 반응기(100), 배기 포트(50a) 및 포어라인(50b)을 통해 펌프(166)로 연속적인, 점성 층류를 제공한다.
UHP 조건들은 PEALD에 의해 질화물 박막들에 배경 산소 불순물들의 결합을 제한하는 것과 같은, 원자 스케일 처리 기술들에 의한 막 성장 및/또는 에칭 이전, 도중 및 이후에 표면 반응들에서 이들의 역할을 제한하기 위해 반응기(100)의 내부 체적(156) 내의 10-6 Torr 미만의 불순물 부분 압력들을 기반으로 한다. 본 개시의 발명 원리들에 따르면, UHP 조건들은 반응기(100)의 내부 체적(156) 내에서 10-6 Torr 미만의 베이스 압력 요구 사항 없이 실현된다. 따라서, 여기에 설정된 원칙들에 따라 UHP 공정 환경을 생성하고 유지하기 위해 고진공 펌핑(즉, 터보 분자 펌프)이 필요하지 않다. 반응기(100)의 내부 체적(156) 내의 베이스 압력이 10-4 내지 10-2 Torr의 범위에 있을 수 있도록 임의의 적합한 화학 시리즈 메커니컬이 사용될 수 있다. 예를 들어, 펌핑 속도가 5-50 Liters/sec 범위인 화학 시리즈 건식 펌프가 상기 UHP 공정 조건들을 생성하고 유지하는데 적합하다.
도 20을 참조하면, 가스 정화 장치(170)가 공정 가스에서 배경 불순물들(예를 들어, O2, H2O, CO, CO2)을 감소 또는 제어하는데 사용될 수 있다. 가스 정화 장치(170)는 공정 가스로부터 원하지 않는 배경 불순물들을 제거하도록 구성된 가스 정화기(172)를 포함할 수 있다. 가스 정화기(172)의 어느 단 또는 양단에는, 가스 정화기(172)를 격리하기 위해 개폐하는 수동 밸브들(174)이 제공될 수 있다. 가스 정화 장치는 개방 또는 폐쇄될 수 있고 가스 정화기(172)의 보호 및 장기간 작동을 위해 반응기(100)가 사용되지 않을 때 정화를 방지하도록 구성된 바이패스 채널(176)을 포함할 수 있다. 가스 정화 장치(170)의 일단은 가스 소스에 부착될 수 있다. 부착된 경우, 가스 소스에 포함된 가스가 가스 정화 장치(170)로 흐른다. 가스는 수동 밸브들(174)이 열려 있는지 닫혀 있는지에 따라, 가스 정화기(172) 또는 바이패스 채널(176)을 통해 이동하게 된다. 가스 정화 장치(170)의 타단은 가스가 가스 정화기(172) 또는 바이패스 채널(176)을 통해 이동한 후, 가스가 반응기(100)로 흐르게 되도록 반응기(100)와 연통될 수 있다.
도 21을 참조하면, 전구체 증기 전달 장치(80d)가 제공된다. 전구체 증기 전달 장치(80d)는 캐리어 가스가 전구체 증기 전달 장치(80d) 내로 흐를 수 있도록 캐리어 가스 소스와 연통되는 캐리어 가스 투입 라인(192)을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 캐리어 가스가 전구체 증기 전달 장치(80d)로부터 반응기(100)로 흐를 수 있도록 하기 위해 반응기(100)와 연통되는 캐리어 가스 출력 라인(190)을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 액상 또는 고상 전구체를 포함하는 앰플(188)을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 밸브 매니폴드(180)를 포함할 수 있다. 밸브 매니폴드(180)는 개방 또는 폐쇄될 수 있는 투입 밸브(186)를 포함할 수 있다. 투입 밸브(186)가 열릴 경우, 투입 밸브(186)는 캐리어 가스가 캐리어 가스 투입 라인(192)으로부터 앰플(188)로 흐를 수 있게 한다. 투입 밸브(186)가 닫힐 경우, 투입 밸브(186)는 캐리어 가스가 앰플(188)로 들어가는 것을 방지한다. 밸브 매니폴드(180)는 개방 또는 폐쇄될 수 있는 출력 밸브(182)를 포함할 수 있다. 출력 밸브(182)가 열릴 경우, 출력 밸브(182)는 앰플(188)에 존재하는 캐리어 가스가 앰플(188)로부터 캐리어 가스 출력 라인(190)으로 흐를 수 있게 한다. 출력 밸브(182)가 닫힐 경우, 출력 밸브(182)는 캐리어 가스가 앰플(188)을 나가는 것을 방지한다. 밸브 매니폴드(180)는 개방 또는 폐쇄될 수 있는 바이패스 밸브(184)를 포함할 수 있다. 바이패스 밸브(184)가 열릴 경우, 바이패스 밸브(184)는 캐리어 가스가 앰플(188)에 들어가지 않고 캐리어 가스 투입 라인(192)으로부터 캐리어 가스 출력 라인(190)으로 흐를 수 있게 한다.
반응기(100)에 대한 전구체의 투여가 필요하지 않은 경우, 투입 밸브(186) 및 출력 밸브(182)가 폐쇄될 수 있고 바이패스 밸브(184)는 개방될 수 있으며 이에 따라 캐리어 가스가 앰플(188)로 흐를 수 없어 앰플(188) 내의 전구체 증기를 픽업할 수 있지만, 대신에, 캐리어 가스가 캐리어 가스 투입 라인(192)에서 바이패스 밸브(184)로 흐른 다음 캐리어 가스 출력 라인(190)으로 흐르도록 한다. 캐리어 가스 흐름 속도는 대략 10 내지 100의 분당 표준 입방 센티미터(sccm)로 이루어질 수 있다. 전구체 투여가 필요할 때, 바이패스 밸브(184)가 폐쇄되고, 투입 밸브(186)와 출력 밸브(182)가 동시에 개방된다. 이 구성은 캐리어 가스가 캐리어 가스 투입 라인(192)으로부터, 캐리어 가스가 그 내부에서 전구체 증기를 픽업하는 앰플(188)로 흐른 다음, 전구체 증기를 가진 캐리어 가스가 캐리어 가스 및 전구체 증기를 반응기(100)로 수송하는 캐리어 가스 출력 라인(190)으로 흐를 수 있게 한다. 대안적으로는, 전구체 투여 동안, 바이패스 밸브(184)가 폐쇄될 수 있고 동시에 투입 밸브(186)만 개방될 수 있으며, 출력 밸브(182)는 폐쇄된 상태로 남게 된다. 이러한 구성은 캐리어 가스가 캐리어 가스 투입 라인(192)으로부터 앰플(188)로 흐를 수 있게 하고 캐리어 가스가 캐리어 가스 출력 라인(190)으로 앰플(188)을 빠져나가게 하지 않는다. 이러한 밸브 구성은 1 Torr의 반응기(100) 내부의 대략적인 압력과 비교하여, 앰플(188) 내부가 10-20 Torr로 증가하는 것과 같이, 앰플(188) 헤드 공간의 압력이 증가하는 것을 허용한다. 앰플(188)에서 충분한 압력 증가가 달성되면, 출력 밸브(182)가 개방될 수 있으며, 이에 의해 전구체 증기를 가진 캐리어 가스가 캐리어 가스 출력 라인(190)으로 유입된 다음 반응기(100)로 흐를 수 있다. 출력 밸브(182)가 폐쇄된 것으로부터 앰플(188) 헤드 공간 내부의 압력이 증가하면 캐리어 가스 및 전구체 증기가 반응기(100) 내부 및 기판 표면(118)에 걸쳐서 보다 쉽게 분포될 수 있게 된다. 투여가 완료되면, 바이패스 밸브(184)가 개방되고 투입 밸브(186)와 출력 밸브(182)가 동시에 폐쇄되어, 캐리어 가스가 캐리어 가스 투입 라인(192)으로부터 바이패스 밸브(184)로, 그 다음 캐리어 가스 출력 라인(190)으로 흐를 수 있게 되며 이에 따라 앰플(188)을 피하여 투여되는 것을 방지하고 전달 채널에 대한 퍼지를 가능하게 한다. 전구체 증기 전달 장치(80d)는 온도 관리를 돕기 위해 하나 이상의 독립적으로 제어되는 히트 존을 포함할 수 있다. 예를 들어, 전구체 증기 전달 장치(80d)는 앰플(188)에 독립적으로 제어되는 제 1 히트 존을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 밸브 매니폴드(180)에 독립적으로 제어되는 제 2 히트 존을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 캐리어 가스 출력 라인(190) 주위에 독립적으로 제어되는 제 3 히트 존을 포함할 수 있다. 전구체 증기 전달 장치(80d)는 또한 효과적인 증기 전달 및 전달 구성 요소들의 후속 퍼징을 위해 밸브 매니폴드(180) 및 캐리어 가스 출력 라인(190)을 통한 연속적인, 점성 층류 불활성 가스 흐름을 제공하는, 밸브 매니폴드(180)의 업스트림에 위치한 MFC를 포함할 수 있다(도 26 참조).
도 22를 참조하면, 전구체 증기 전달 장치(80d)는 온도 균일성을 효과적으로 유지하기 위해 전구체 증기 전달 장치(80d)의 다양한 표면들 중 적어도 하나 주위에 클래딩(194)을 포함할 수 있다. 예를 들어, 클래딩(194)은 투입 밸브(186), 바이패스 밸브(184) 및/또는 출력 밸브(182)의 적어도 일부 주위와 같은, 밸브 매니폴드(180)의 적어도 일부 주위에 제공될 수 있다. 클래딩(194)은 캐리어 가스 출력 라인(190)의 적어도 일부 주위에 제공될 수 있다. 클래딩(194)은 금속 재료일 수 있다. 예를 들어, 클래딩(194)은 알루미늄(Al) 클래딩일 수 있다. 대안적으로, 또는 알루미늄 클래딩에 추가하여, 클래딩(194)은 클래딩(194)이 존재하는 구성 요소들의 온도를 제어하기에 충분한 열 전도성을 갖는 일부 다른 적절한 축열체를 포함할 수 있다. 예를 들어, 알루미늄에 추가하여 또는 대안적으로, 구리(Cu)와 같은 상이한 금속 재료가 클래딩(194)에 사용될 수 있다. 클래딩(194)은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190) 주위를 적어도 부분적으로 감싸는 고체 구조의 형태일 수 있다. 대안적으로, 클래딩(194)은 다른 형태를 취할 수 있다. 예를 들어, 클래딩(194)은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190)의 표면들의 적어도 일부를 덮는 비드들의 형태일 수 있다.
도 23을 참조하면, 클래딩(194)에 대한 대안으로서 또는 추가로, 전구체 증기 전달 장치(80d)는 온도 관리를 돕기 위해 전구체 증기 전달 장치(80d)의 하나 이상의 표면 주위에 적어도 부분적으로, 하나 이상의 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 예를 들어, 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단은 투입 밸브(186), 바이패스 밸브(186) 및/또는 출력 밸브(182) 주위와 같은, 밸브 매니폴드(180)의 적어도 일부 주위에 제공될 수 있다. 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단이 캐리어 가스 출력 라인(190)의 적어도 일부 주위에 제공될 수 있다. 일부 비제한적인 실시형태들에서, 히터 재킷(196)은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190)의 전체 표면 주위에 제공될 수 있다.
도 24를 참조하면, 전구체 증기 전달 장치(80d)의 앰플(188)이 제공된다. 앰플(188)은 열 관리를 돕기 위해 앰플(188)의 적어도 일부 주위에 클래딩(194)을 포함할 수 있다. 앰플(188) 주위의 클래딩(194)은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190) 주위의 클래딩(194)과 동일할 수 있다(예를 들면, 동일한 재료 및/또는 구조를 포함). 하나의 비제한적인 실시형태에서, 클래딩(194)은 앰플(188)의 전체 둘레 주위에 제공될 수 있다. 앰플(188)은 클래딩(194)에 추가하여 또는 대안으로서, 열 관리를 돕기 위해 앰플(188)의 적어도 일부 주위에 적어도 하나의 히터 재킷(196), 또는 열 에너지를 공급하는 다른 적절한 수단을 포함할 수 있다. 앰플(188) 주위에 제공된 히터 재킷(196) 또는 열 에너지를 공급하는 다른 적절한 수단은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190) 주위에 제공된 히터 재킷(196)과 동일할 수 있다. 하나의 비제한적인 실시형태에서, 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단이 앰플(188)의 전체 둘레 주위에 제공될 수 있다.
도 25를 참조하면, 전구체 증기 전달 장치(80d)는 온도 관리를 돕기 위해 하부 오븐 인클로저를 포함할 수 있다. 하부 오븐 인클로저는 앰플(188)의 적어도 일부 주위에 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단을 포함할 수 있다. 하나의 비제한적인 실시형태에서, 히터 재킷(196), 또는 열 에너지를 공급하는 일부 다른 적절한 수단은 앰플(188)의 전체 둘레 주위에 제공될 수 있다. 히터 재킷(196)은 밸브 매니폴드(180) 및/또는 캐리어 가스 출력 라인(190) 주위에 제공된 히터 재킷(196)과 동일할 수 있다. 하부 오븐 인클로저는 온도 관리를 돕기 위해 앰플(188)과 히터 재킷(196) 사이에 적어도 하나의 카트리지 히터(198)를 포함할 수 있다. 하부 오븐 인클로저는 앰플(188)과 히터 재킷(196) 사이에, 서로 동등하게 이격된 2개의 히터 카트리지(198)와 같은, 2개 이상의 히터 카트리지(198)를 포함할 수 있다. 예를 들어, 하부 오븐 인클로저는 앰플(188)과 히터 재킷(196) 사이에, 서로 동등하게 이격된 3개의 히터 카트리지(198)와 같은, 3개 이상의 히터 카트리지(198)를 포함할 수 있다.
도 26을 참조하면, 여기에 개시된 임의의 전구체 증기 또는 가스 전달 장치들로부터 업스트림에서 구현될 수 있는 MFC 장치가 제공된다. 예를 들어, 도 26의 MFC 장치는 도 2의 공정 가스 소스(12), 도 8의 전구체 증기 전달 장치(80a), 도 19의 전구체 증기 전달 장치(80c), 및/또는 도 21의 전구체 증기 전달 장치(80d)로부터 업스트림에 구현될 수 있다. 비제한적인 예로서, 도 26은 도 8의 전구체 증기 전달 장치(80a)를 포함하지만, 도 19의 전구체 증기 전달 장치(80c) 및 도 21의 전구체 증기 전달 장치 모두는 도 26의 MFC 장치를 포함할 수도 있음에 유의한다. 도 26에서는, 열리거나 닫힐 수 있는 수동 밸브(206), 밸브(64), 및 앰플(60)을 반응기(100)에 연결하는 반응기(62)에 대한 라인이 있는 앰플(60)을 포함하는 전구체 증기 전달 장치가 제공된다. 수동 밸브(206)가 열려 있으면 전구체 증기가 앰플(60)을 빠져나갈 수 있고, 수동 밸브(206)가 닫혀 있으면 전구체 증기는 앰플(60)을 빠져나갈 수 없다. MFC 장치는 전구체 증기 전달 장치의 업스트림에 제공되며, 밸브(64) 및 반응기(62)에 대한 라인과 연통한다. MFC 장치는 불활성 가스 소스(204)를 포함한다. 예를 들어, 불활성 가스 소스(204)는 Ar 또는 N2를 포함할 수 있다. MFC(200)는 불활성 가스 소스(204)와 연통될 수 있다. MFC(200)는 밸브(64) 및 반응기(62)에 대한 라인을 통해 비활성 Ar 및/또는 N2 공정 가스의 연속적인 흐름을 제어하는데 사용될 수 있다. 연속적인, 점성 층류 불활성 가스 흐름은 전구체 전달/투여 단계들 동안 캐리어 가스 역할을 하며, 후속 퍼지 단계들 동안 퍼지 가스 역할을 한다. 이 불활성 가스 흐름은 또한 다운스트림 불순물들이 증기 전달 채널로 원치 않게 역-확산하는 것을 방지하기 위해 확산 배리어를 생성한다. MFC 장치는 밸브(64) 및 반응기(62)에 대한 라인으로의 불활성 가스 흐름을 허용하거나 방지하기 위해 열리거나 닫힐 수 있는 밸브(202)를 더 포함한다. 밸브(202)는 MFC(200) 및 밸브(64)와 연통된다. MFC 장치들 중 하나 이상이 도 2의 공정 가스 소스(12)와 함께 구현되어야 하는 경우, 하나 이상의 밸브(202)가 하나 이상의 MFC(200) 및 공정 가스 소스(12)와 연통하게 된다. MFC 장치가 도 19의 전구체 증기 전달 장치(80c)로 구현되는 경우, 밸브(202)는 MFC(200) 및 복수의 밸브(64)와 연통하게 된다. MFC 장치가 도 21의 전구체 증기 전달 장치(80d)로 구현되는 경우, 밸브(202)는 MFC(200) 및 밸브 매니폴드(180) 모두와 연통하게 된다.
도 27을 참조하면, 가스를 다운스트림 포트(56)에 공급하기 위한 가스 흐름 장치(210)가 제공된다. 가스 흐름 장치(210)는 도 6에 도시된 다운스트림 포트(56)에 구현될 수 있다. 가스 흐름 장치(210)는 레귤레이터(214)와 연통하는 압축 가스 실린더(212)를 포함할 수 있다. 레귤레이터(214)는 압축 가스 실린더(212)와 다운스트림 포트(56) 사이의 라인 압력을 제어하는데 사용될 수 있다. 열리거나 닫힐 수 있는 격리 밸브(220)는 압축 가스 실린더(212) 및 다운스트림 포트(56)와 연통할 수 있다. 격리 밸브(220)가 열릴 경우, 가스는 압축 가스 실린더(212)에서 다운스트림 포트(56)로 흐를 수 있다. 격리 밸브(220)가 닫힐 경우, 가스는 압축 가스 실린더(212)로부터 다운스트림 포트(56)로 흐를 수 없다. 가스 흐름 장치(210)는 열리거나 닫힐 수 있고 압축 가스 실린더(212) 및 격리 밸브(220)와 연통할 수 있는 배출 밸브(216)를 포함할 수 있다. 가스 흐름 장치(210)는 압축 가스 실린더(212) 및 격리 밸브(220)와도 연통하지만, 벤트 밸브(216)와 연통하지 않는 퍼지 오리피스(218)를 더 포함할 수 있으며, 이에 따라 벤트 밸브(216)가 닫힐 경우, 압축 가스 실린더(212)로부터의 가스는 퍼지 오리피스(218)를 통해 이동함으로써 격리 밸브(220) 및 다운스트림 포트(56)로 계속 이동할 수 있게 된다. 가스 흐름 장치(210)는 다운스트림 포트(56)가 펌프 역-확산 및 불순물들의 역-스트림 가능성을 줄이기 위해 퍼지 및 벤트 보호를 제공할 수 있도록 구성되며, 이에 따라 반응기(100)가 펌프(166)와 연통하지 않을 때 다운스트림 포트(56)가 연속적인, 점성 층류 가스 흐름을 제공할 수 있도록 한다. 펌프(166)가 켜져 있고 펌프 격리 밸브(54)가 닫혀 있는 경우, 벤트 밸브(216)가 닫히며, 이에 따라 불순물들이 펌프(166)에서 포어라인(50b)으로 유입되는 것을 방지하기 위해 압축 가스 실린더(212)의 가스가 퍼지 오리피스(218)를 통해 이동하여 가스에 대한 낮은 컨덕턴스 이동 경로를 제공해야 하도록 한다. 펌프(166)가 꺼져 있고 펌프 격리 밸브(54)가 닫혀 있을 경우, 벤트 밸브(216)가 열리며 이에 따라 불순물들이 펌프(166)로부터 역-스트림하는 것을 방지하기 위해 압축 가스 실린더(212)의 가스가 벤트 밸브(216)를 통해 이동하여 가스에 대한 높은 컨덕턴스 이동 경로가 포어라인(50b)을 대기압까지 빠르게 만들 수 있도록 한다.
다음의 실시예들이 본 개시의 일반적인 발명 원리 및 UHP 공정 조건들의 유효성을 입증하기 위해 제시된다. 본 발명이 제시된 특정 실시들로 제한되는 것으로 간주되어서는 안 된다.
실시예들
다음의 실시예들이 UHP 공정 조건들 하에서 수행되었다. UHP 공정 조건들은 위에서 설명한 배경 불순물들의 다양한 소스들 각각을 처리함으로써 완전히 가능했다. 시스템 누출은 모든 시스템 구성 요소를 철저히 누출 테스트하여 대기 누출이 없고 밸브 시트 전체에 걸쳐 공정 가스 및/또는 증기의 내부 누출이 없도록 하는 것에 의해 해결하였다. 오염된 공정 가스를 통해 유입된 배경 불순물들은 산소 불순물들을 ppb 수준 이하로 낮추는 Ar, N2 및 H2 가스의 일차 전달 라인들에 가스 정화기들(SAES Micro Torr 및 Entegris Gatekeeper)을 설치하여 해결하였다. 엘라스토머 투과는 기판 평면 아래에 위치한 4개의 연결 지점 및 함께 용접된 반응기 덮개와 전구체 투입 어댑터 사이의 연결부를 제외하고, 모든 연결 지점 상에 금속 및 차동 펌핑된 엘라스토머 시일들을 사용하는 것만으로 해결하였다. 공정 펌프 역-확산은 O-링 투과에 의해 유입되는 산소 종들을 비롯한, 모든 다운스트림 불순물들의 역-확산을 방지하는 확산 배리어를 구축하기 위해 연속적인 점성 층류 Ar 흐름을 유지함으로써 해결하였다. 연속적인 점성 층류 Ar 흐름이 또한 반응기 포어라인 및/또는 진공 펌프로부터 산소(및 기타 불순물들)의 역-확산을 방지했다. 내부 구성 요소들에서의 임의의 흡수된 물의 충분한 가스 방출을 보장하기 위해, 대부분의 반응기 표면을 UHP Ar 공정 가스로 지속적으로 가열하고 퍼지했다. 특히, 전구체 증기에 직접 노출되는 전달 소스 구성 요소들은 전달 채널들 내에서의 긴 체류 시간을 방지하기 위해 150℃로 유지되었다. 반응기 덮개 및 반응기 벽들은 각각 160℃ 및 165℃로 유지되었다. 온도 균일성을 달성하기 위해 모든 전달 구성 요소들(반응기 덮개 포함)에 대하여 히터 재킷들로 둘러싸인 Al 클래딩이 사용되었다. 비-가열 반응기 표면들(예를 들면, 공정 가스 전달 라인들 및 구성 요소들의 비-가열 섹션들) 상에서의 흡수된 H2O의 가스 방출은 UHP Ar 처리로 이러한 구성 요소들을 퍼지함으로써 달성되었다. 원자 스케일 처리를 위한 UHP 조건들은 하기 실시예들에 의해 입증된 바와 같이 비산화물 기반 막 성장에 대해 1 at.% 미만과 같은, 2 at.% 미만의 배경 산소 결합을 가능하게 한다.
하기 실시예들은 다음과 같이 마련되었다. 증착은 공칭 펌핑 속도가 분당 44 입방 피트(cfm)(즉, 21 L/s(Liters/sec))인 화학 시리즈 진공 펌프가 장착된 ALD150LX 수직 흐름 반응기(Kurt J. Lesker Company에서 상업적으로 입수 가능)에서 수행되었다. 플라즈마는 13.56 MHz(megahertz) 주파수와 975 W(Watt) 플라즈마 전력에서 작동하는 원격 ICP 소스에 의해 생성되었다. 용융 실리카(SiO2) 플라즈마 튜브는 외부의, 은 코팅된 구리, RF 코일 및 플라즈마 가스 사이의 무선 주파수(RF) 신호 전송을 위한 투명한 창을 제공했다. 용융 실리카 뷰포트가 장착된 분석 포트들은 타원 측정법에 의해서 현장 공정 모니터링이 가능했다. 터보 분자 펌프가 장착된 통합 로드-록이 샘플 전송에 사용되었다. 질화티타늄(TiNx), 질화알루미늄(AlNx) 및 질화규소(SiNx) PEALD가 미처리 150 mm Si(100) 웨이퍼들에 대해 약 1 Torr 압력에서 수행되었다.
막 두께는 193-1000nm의 파장 범위에 걸쳐 M-2000 분광 타원계(J.A. Woollam에서 상업적으로 입수 가능)를 사용하여 분광 타원법(SE)에 의해 현장 외에서 결정되었다. 아래의 TiNx 실시예들의 경우, Drude-Lorentz 모델을 사용하여 SE 데이터를 분석하고 막 두께를 추출했다. 아래의 AlNx 및 SiNx 실시예들의 경우, Cauchy 모델을 사용하여 막 두께를 결정했다. FS-1EX 다중 파장 타원계(Film Sense에서 상업적으로 입수 가능)를 사용하여 TiNx 성장 동안 막 두께와 저항을 결정하기 위해 타원법 측정이 또한 현장에서 수행되었다. FS=1EX는 6개 파장의 타원 측정 데이터(405, 450, 525, 660, 850 및 950 nm)를 제공한다. 막 조성은 단색 Al kα x-선 소스(1486.7 전자 볼트(eV)) 및 동심 반구 분석기가 장착된 VersaProbe II 기기(Physical Electronics에서 상업적으로 입수 가능)를 사용하여 깊이 프로파일 x-선 광전자 분광법(XPS)에 의해 측정되었다. 탄소 및 산소(XPS의 배경 수준들에 공통적인 두 가지 원소들)에 대한 분석을 최적화하기 위해, 티타늄 승화 펌프를 순환하면서 샘플들을 분석 챔버에서 밤새 사전 펌핑하였다. 정량화는 전자들의 x-선 단면과 비탄성 평균 자유 경로를 설명하는 중요한 RSF(relative sensitivity factor)를 활용했다. 주요 원소들(Ti, Al, Si, N)의 경우, 1 시그마(1σ) 정량적 정확도는 ± 10 상대 %(rel%) 이내일 것으로 예상된다. 빈약한 카운팅 통계와 탄소 및 산소의 유한한 배경으로 인해, 1σ 정확도는 소수 원소들에 대해 ± 20-40 rel% 이내로 예상된다. 이온 스퍼터링이 2 킬로볼트(kV) Ar+ 이온 빔을 사용하여 수행되었다. Adept 1010 사중극자 기기(Physical Electronics에서 상업적으로 입수 가능)를 사용하여 이차 이온 질량 분광법(SIMS)에 의해 원소 깊이 프로파일링도 수행했다. 측정은 1kV 세슘+(Cs+) 이온 충격을 사용했다. 음 이온들이 검출되었다. 원소 정량화는 주입된 TiN 막 기준 물질로부터 계산된 RSF를 기반으로 했다. 1σ 정량적 정확도는 ± 5-30 rel%로 예상된다.
실시예 1
실시예 1은 UHP 조건 하에 전술한 절차에 따라 티타늄 질화물(TiNx) 막을 증착함으로써 마련되었다. TiNx 막은 350℃ 기판 온도에서 사염화티타늄(TiCl4)과 Ar, H2 및 N2(Ar-N2-H2) 플라즈마 종 혼합물을 사용하여 UHP 환경에서 증착되었다. 사이클당 성장(GPC)은 0.33 Å/사이클이었다. TiNx 막은 Drude-Lorentz 모델을 사용하여 M-2000 분광 타원계에 의해 결정된 33 nm의 두께를 가졌다. TiNx 막의 PEALD에 대한 X-선 광전자 분광법(XPS) 깊이 프로파일 결과가 도 28에 나와 있으며 평균 산소 농도가 막의 대부분에서 1 at.% 미만임을 보여준다. TiNx 막에 대한 산소 농도는 체적 값을 얻기 위해 1.6-4.6 분 스퍼터링 시간 사이에서 평균을 냈다. 이 체적 평균은 TiNx 막의 경우 0.7 at.%의 산소 농도에 해당한다.
실시예 1의 동일한 TiNx 막은 또한 깊이 프로파일 이차 이온 질량 분광법(SIMS)을 거쳤다. 도 29에 도시된 바와 같이, TiNx 막은 1 at.% 미만의 산소 농도를 보여주며, 따라서 이전에 논의된 XPS 결과와 잘 일치한다. TiNx 막에 대한 산소 농도(atoms/cm3)는 스퍼터 시간 2-5분 사이의 평균을 낸 다음 TiN의 체적 밀도(5.21 g/cm3)를 사용하여 산소의 at.%로 로 변환했다. SIMS에 의해 결정된 산소 농도는 TiNx 막의 경우 0.9 at.%이었다.
TiNx 막의 XPS 및 SIMS 둘 다에 대한 결과가 아래 표 1에 표로 작성되어 있다. 보고된 불확실성은 지정된 범위에 대한 at.% 평균과 관련된 ± 1σ 변동을 나타낸다.
기술 샘플 Ti (at.%) N (at.%) O (at.%) Cl (at.%) H (at.%)
XPS TiN (Baseline) 47±1 52±1 0.7±0.2 0.2±0.1 n/a
SIMS TiN (Baseline) n/a n/a 0.9±0.08 1.0±0.05 2.1±0.03
실시예 2실시예 2의 경우, 250℃ 기판 온도에서 TDMAT 및 Ar-N2 플라즈마 혼합물을 사용하여 TiNx 막을 증착하였다. 실시예 2의 GPC는 0.75 Å/사이클이었다. TiNx 막은 Drude-Lorentz 모델을 사용하여 M-2000 분광 타원계에 의해 측정된 30 nm의 두께를 가졌다. TDMAT를 사용하여 형성된 TiNx 막에 대해 깊이 프로파일 XPS를 수행했다. TiNx 막의 XPS 결과가 도 30에 나와 있다. 도 30의 그래프는 1 at.%의 임계값 미만의 TiNx 막에 대한 산소 농도를 보여준다. TiNx 막에 대한 산소 농도는 체적 값을 얻기 위해 1.2-5.2분 스퍼터 시간 사이에서 평균을 냈다. 이 체적 값은 TiNx 막의 경우 0.6 at.%의 산소 농도에 해당한다.
실시예 2의 동일한 TiNx 막은 또한 깊이 프로파일 이차 이온 질량 분광법(SIMS)을 거쳤다. 도 31에 도시된 바와 같이, TiNx 막은 1 at.% 미만의 산소 농도를 보여주며, 따라서 이전에 논의된 XPS 결과와 잘 일치한다. TiNx 막의 산소 농도(atoms/cm3)는 1.5-5.5 분 스퍼터 시간 사이에서 평균을 낸 다음 TiN의 체적 밀도(5.21 g/cm3)를 사용하여 산소의 at.%로 변환했다. SIMS에 의해 결정된 산소 농도는 TiNx 막의 경우 0.7 at.%이었다.
TiNx 막의 XPS 및 SIMS 둘 다에 대한 결과가 하기 표 2에 정리되어 있다. 보고된 불확실성은 지정된 범위에 대한 at.% 평균과 관련된 ± 1σ 변동을 나타낸다.
기술 샘플 Ti (at.%) N (at.%) O (at.%) C (at.%) H (at.%)
XPS TiN (Baseline) 46±1 51±1 0.6±0.4 1.9±0.6 n/a
SIMS TiN (Baseline) n/a n/a 0.7±0.05 2.7±0.23 1.5±0.03
실시예 3실시예 3의 경우, 300℃ 기판 온도에서 트리메틸알루미늄(TMA) 및 Ar-N2 플라즈마 혼합물을 사용하여 AlNx 막을 증착하였다. 실시예 3의 GPC는 0.95 Å/사이클이었다. AlNx 막은 Cauchy 모델을 사용하여 M-2000 분광 타원계에 의해 측정된 30 nm의 두께를 가졌다. TMA를 사용하여 형성된 AlNx 막에 대해 깊이 프로파일 XPS를 수행했다. AlNx 막의 XPS 결과가 도 32에 나와 있다. 도 32의 그래프는 1 at.%의 임계값 미만의 AlNx 막에 대한 산소 농도를 보여준다. 산소 농도는 2-6 분 스퍼터 시간 사이에서 평균을 냈다. XPS에 의해 결정된 산소 농도는 AlNx 막의 경우 0.7 at.%이었다.
실시예 4
실시예 4의 경우, 350℃ 기판 온도에서 트리스(디메틸아미노)실란(3DMAS) 및 Ar-N2 플라즈마 혼합물을 사용하여 SiNx 막을 증착하였다. 실시예 4의 GPC는 0.13 Å/사이클이었다. SiNx 막은 Cauchy 모델을 사용하여 M-2000 분광 타원계에 의해 측정된 18 nm의 두께를 가졌다. 3DMAS로부터 형성된 SiNx 막에 대해 깊이 프로파일 XPS를 수행했다. SiNx 막에 대한 XPS의 결과가 도 33에 나와 있다. 도 33의 그래프는 1 at.%의 임계값 미만의 SiNx 막에 대한 산소 농도를 보여준다. 산소 농도는 1.5-6 분 스퍼터 시간 사이에서 평균을 냈다. XPS에 의해 결정된 산소 농도는 SiNx 막의 경우 0.4 at.%이었다.
본 발명은 달리 명시적으로 지정된 경우를 제외하고 다양한 대안적인 변형을 가정할 수 있음을 이해해야 한다. 또한 첨부된 도면에 예시되고 명세서에 기재된 특정 장치는 본 발명의 단지 예시적인 실시형태라는 것이 이해되어야 한다. 본 발명이 현재 가장 실체적이고 바람직한 실시형태로 간주되는 것에 기초하여 예시의 목적으로 상세하게 설명되었지만, 그러한 상세는 오로지 그 목적을 위한 것이며 본 발명이 이에 제한되지 않으며, 반대로, 본 발명의 사상 및 범위 내에 있는 수정 및 등가 구성을 포함하도록 의도됨을 이해해야 한다. 예를 들어, 본 발명은 가능한 범위 내에서 임의의 실시형태의 하나 이상의 특징이 임의의 다른 실시형태의 하나 이상의 특징과 결합될 수 있음을 이해해야 한다. 바람직한 실시형태와 관련하여 위에서 설명된 본 발명의 실시형태는 본 발명의 실시형태를 제공된 모든 세부사항으로 제한하는 것으로 간주되어서는 안되며, 그 수정 및 변형이 본 발명의 실시형태의 사상 및 범위를 벗어나지 않고 이루어질 수 있기 때문이다.

Claims (18)

  1. 원자 스케일 처리를 위한 장치로서,
    내부 표면 및 외부 표면을 갖는 반응기(reactor) - 상기 내부 표면의 적어도 일부가 상기 반응기의 내부 체적을 형성함 -;
    상기 반응기의 상기 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 상기 반응기의 상기 내부 체적 내에 위치된 고정 조립체(fixture assembly); 및
    유도 결합 플라즈마 소스를 포함하며,
    상기 유도 결합 플라즈마 소스 및 상기 반응기는 제 1 연결 지점에서 연결되고;
    상기 반응기의 베이스 압력은 대략 10-4 내지 10-2 Torr이고; 또한
    원자 스케일 처리 이전, 도중 및 이후에 표면 반응들에서 배경 불순물들 역할을 감소시키기 위해 상기 반응기의 상기 내부 체적 내의 각각의 상기 배경 불순물의 부분 압력은 대략 10-6 Torr 미만인 장치.
  2. 제1항에 있어서,
    공칭 펌핑 속도가 대략 5 내지 50 Liters/sec인 기계식 펌프를 더 포함하는 장치.
  3. 제1항에 있어서,
    공정 가스 소스를 더 포함하며,
    상기 공정 가스 소스 및 상기 유도 결합 플라즈마 소스는 제 2 연결 지점에서 연결되고;
    상기 제 2 연결 지점은 제 3 엘라스토머 시일 및 상기 제 3 엘라스토머 시일로부터 이격되어 그 사이에 제 2 체적을 형성하는 제 4 엘라스토머 시일을 포함하며; 또한
    상기 제 2 체적은 진공이거나, 또는 상기 제 2 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필되는 장치.
  4. 제1항에 있어서,
    상기 제 1 연결 지점과 상기 고정 조립체 사이에 공간적으로 위치된 모든 나머지 연결 지점들은 금속 시일 및/또는 엘라스토머 시일을 포함하고;
    각각의 엘라스토머 시일 연결 지점은 서로 이격되어 그 사이에 체적을 형성하는 적어도 2개의 엘라스토머 시일들을 포함하며; 또한
    상기 체적은 진공이거나, 또는 상기 체적은 능동적으로 퍼지 및/또는 공정 가스로 백필되는 장치.
  5. 제1항에 있어서,
    상기 반응기로부터 펌프 격리 밸브로의 배기 포트(exhaust port) 및 상기 펌프 격리 밸브로부터 펌프로의 포어라인(foreline)을 더 포함하며;
    상기 펌프가 켜져 있고, 상기 펌프 격리 밸브가 열려 있고, 상기 반응기가 상기 펌프와 연통할 때, 상기 배기 포트 및 상기 포어라인에서 연속적인 가스 흐름이 유지되는 장치.
  6. 제5항에 있어서,
    상기 포어라인에 부착된 다운스트림 포트를 더 포함하며;
    상기 다운스트림 포트는 상기 펌프가 켜져 있고, 상기 펌프 격리 밸브가 닫혀 있고, 상기 반응기가 상기 펌프와 연통하지 않을 때, 상기 포어라인에 연속적인 가스 흐름을 제공하도록 구성되는 장치.
  7. 제6항에 있어서,
    상기 다운스트림 포트는 상기 펌프 격리 밸브가 닫혀 있고 상기 펌프가 꺼져 있을 때, 상기 포어라인을 대기압으로 빠르게 만드는 가스 흐름을 제공하도록 더 구성되는 장치.
  8. 제1항에 있어서,
    상기 반응기는 상기 반응기의 적어도 일부 주위에 클래딩을 포함하는 장치.
  9. 제1항에 있어서,
    상기 반응기는 적어도 하나의 독립적으로 제어되는 히트 존을 포함하는 장치.
  10. 제1항에 있어서,
    상기 반응기와 적어도 하나의 공정 가스 소스 사이에 적어도 하나의 가스 정화 장치를 더 포함하는 장치.
  11. 전구체 가스 또는 증기를 초고순도 배경에 공급하고, 상기 전구체 가스 또는 증기의 부분 압력을 제어하기 위한 장치로서,
    내부 표면 및 외부 표면을 갖는 반응기 - 상기 내부 표면의 적어도 일부가 상기 반응기의 내부 체적을 형성하고, 상기 반응기의 상기 내부 체적 내에 초고순도 수준이 확립됨 -;
    상기 반응기의 상기 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 상기 반응기의 상기 내부 체적 내에 위치된 고정 조립체;
    적어도 하나의 일차 전구체 가스 또는 증기 전달 장치; 및
    적어도 하나의 보조 전구체 가스 또는 증기 전달 장치
    를 포함하고,
    상기 적어도 하나의 일차 전구체 가스 또는 증기 전달 장치는 상기 반응기에 전구체 가스 또는 증기를 순차적으로 공급하도록 구성되고, 상기 보조 전구체 가스 또는 증기 전달 장치는 상기 반응기에 전구체 가스 또는 증기를 연속적으로 공급하도록 구성되는 장치.
  12. 제11항에 있어서,
    상기 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는,
    상기 반응기와 연통하는 압축 가스 실린더 또는 앰플; 및
    상기 압축 가스 실린더 또는 상기 앰플과 상기 반응기 사이의 저장소 및 압력 게이지를 포함하는 장치.
  13. 제12항에 있어서,
    상기 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는,
    상기 압축 가스 실린더 또는 상기 앰플과 상기 저장소 및 압력 게이지 사이의 레귤레이터;
    상기 레귤레이터와 상기 저장소 및 압력 게이지 사이의 제 1 오리피스; 및
    상기 제 1 오리피스와 상기 저장소 및 압력 게이지 사이의 제 1 밸브를 더 포함하는 장치.
  14. 제13항에 있어서,
    상기 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는,
    상기 저장소 및 압력 게이지와 상기 반응기 사이의 제 2 오리피스; 및
    상기 제 2 오리피스와 상기 반응기 사이의 제 2 밸브를 더 포함하며,
    상기 제 2 오리피스 및 상기 제 2 밸브는 상기 저장소로부터 상기 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
  15. 제13항에 있어서,
    상기 압력 게이지 및 상기 제 1 밸브와 통신하는 시스템 제어 소프트웨어를 더 포함하며,
    상기 시스템 제어 소프트웨어는 상기 압력 게이지로부터 피드백을 수신하고, 상기 피드백에 기초하여 제 1 밸브를 순환시켜 상기 저장소로의 흐름을 제어하는 장치.
  16. 제11항에 있어서,
    상기 적어도 하나의 보조 전구체 가스 또는 증기 전달 장치는,
    상기 반응기와 연통하는 압축 가스 실린더 또는 앰플을 포함하며,
    레귤레이터, 오리피스, 및 밸브가 상기 압축 가스 실린더 또는 앰플과 상기 반응기 사이에 제공되어 상기 압축 가스 실린더 또는 상기 앰플로부터 상기 반응기로의 전구체 가스 또는 증기의 흐름을 제어하는 장치.
  17. 제11항에 있어서,
    상기 적어도 하나의 보조 전구체 증기 전달 장치는,
    상기 반응기와 연통하는 앰플을 포함하며,
    오리피스 및 밸브가 상기 앰플과 상기 반응기 사이에 제공되어 상기 앰플로부터 상기 반응기로의 전구체 증기의 흐름을 제어하는 장치.
  18. 원자 스케일 처리 동안 배경 불순물들을 감소시키기 위한 방법으로서,
    내부 표면 및 외부 표면을 갖는 반응기를 제공하는 단계 - 상기 내부 표면의 적어도 일부가 상기 반응기의 내부 체적을 형성함 -;
    상기 반응기의 상기 내부 체적 내에 기판을 유지하도록 구성된 표면을 갖는 상기 반응기의 상기 내부 체적 내에 위치된 고정 조립체를 제공하는 단계;
    상기 반응기의 베이스 압력을 대략 10-4 내지 10-2 Torr으로 설정하는 단계; 그리고
    원자 스케일 처리 이전, 도중 및 이후에 표면 반응들에서 배경 불순물들 역할을 감소시키기 위해 상기 반응기의 상기 내부 체적 내의 각각의 상기 배경 불순물의 부분 압력을 대략 10-6 Torr 미만으로 설정하는 단계를 포함하는 방법.
KR1020237003170A 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건 KR20230024424A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962885446P 2019-08-12 2019-08-12
US62/885,446 2019-08-12
US202063035014P 2020-06-05 2020-06-05
US63/035,014 2020-06-05
KR1020227007938A KR102494377B1 (ko) 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건
PCT/US2020/045751 WO2021030336A1 (en) 2019-08-12 2020-08-11 Ultra high purity conditions for atomic scale processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227007938A Division KR102494377B1 (ko) 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건

Publications (1)

Publication Number Publication Date
KR20230024424A true KR20230024424A (ko) 2023-02-20

Family

ID=72240494

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237003170A KR20230024424A (ko) 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건
KR1020227007938A KR102494377B1 (ko) 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227007938A KR102494377B1 (ko) 2019-08-12 2020-08-11 원자 스케일 처리를 위한 초고순도 조건

Country Status (5)

Country Link
US (2) US11631571B2 (ko)
EP (2) EP4013905B1 (ko)
KR (2) KR20230024424A (ko)
FI (1) FI4013905T3 (ko)
WO (1) WO2021030336A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862516B2 (en) * 2020-10-15 2024-01-02 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method
US20220270865A1 (en) * 2021-02-25 2022-08-25 Kurt J. Lesker Company Pressure-Induced Temperature Modification During Atomic Scale Processing
FR3135731B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Procédé de dépôt de couches atomiques assisté par plasma à couplage capacitif
FR3135732B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Réacteur de dépôt assisté par plasma
CN115029685B (zh) * 2022-05-30 2023-11-10 绍兴中芯集成电路制造股份有限公司 气瓶柜装置及其控制方法、化学沉积系统

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3106172B2 (ja) 1991-02-26 2000-11-06 東京エレクトロン株式会社 熱処理装置の封止構造
EP0632144B1 (en) 1993-06-30 1999-09-08 Applied Materials, Inc. Method of purging and pumping vacuum chamber to ultra-high vacuum
US5908504A (en) 1995-09-20 1999-06-01 Memc Electronic Materials, Inc. Method for tuning barrel reactor purge system
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JPH09232296A (ja) 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US20010000160A1 (en) * 1997-08-14 2001-04-05 Infineon Technologies Ag Method for treatment of semiconductor substrates
US6165313A (en) 1999-04-14 2000-12-26 Advanced Micro Devices, Inc. Downstream plasma reactor system with an improved plasma tube sealing configuration
US6689222B2 (en) 1999-11-03 2004-02-10 Applied Materials, Inc. Sealable surface method and device
JP3744850B2 (ja) * 2001-12-18 2006-02-15 富士通株式会社 半導体装置の製造方法
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US20070231485A1 (en) 2003-09-05 2007-10-04 Moffat William A Silane process chamber with double door seal
KR100765681B1 (ko) 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US20050147742A1 (en) * 2004-01-07 2005-07-07 Tokyo Electron Limited Processing chamber components, particularly chamber shields, and method of controlling temperature thereof
US7444955B2 (en) * 2004-05-19 2008-11-04 Sub-One Technology, Inc. Apparatus for directing plasma flow to coat internal passageways
KR100870246B1 (ko) 2004-11-08 2008-11-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리장치
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4943669B2 (ja) 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
FR2907531B1 (fr) 2006-10-18 2009-02-27 Sidel Participations Dispositif a double etancheite pour une machine de traitement de recipients par plasma
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
ES2385460T3 (es) 2009-06-18 2012-07-25 Riber Aparato para depositar una película delgada de material sobre un sustrato y procedimiento de regenaración para un aparato de este tipo
US20140004022A1 (en) * 2009-07-02 2014-01-02 Sio2 Medical Products, Inc. Pecvd coating of chromatography vials
JP2012127386A (ja) 2010-12-14 2012-07-05 Canon Anelva Corp 真空容器
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
CN103688339B (zh) 2011-07-22 2016-09-28 应用材料公司 用于ald/cvd工艺的反应物输送系统
EP2557198A1 (en) 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
EP2765218A1 (en) 2013-02-07 2014-08-13 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2784176B1 (en) 2013-03-28 2018-10-03 Applied Materials, Inc. Deposition platform for flexible substrates
WO2014178160A1 (ja) 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
JP6277398B2 (ja) 2013-08-27 2018-02-14 株式会社ユーテック プラズマcvd装置及び配管内の成膜方法
JP6258657B2 (ja) 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
WO2015140933A1 (ja) 2014-03-18 2015-09-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US20160002775A1 (en) 2014-07-02 2016-01-07 Rolls-Royce Corporation Multilayer liner for chemical vapor deposition furnace
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6496510B2 (ja) 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP6398761B2 (ja) 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
JP6457307B2 (ja) 2015-03-16 2019-01-23 東芝メモリ株式会社 半導体装置の製造方法、及び半導体製造装置
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US11773487B2 (en) 2015-06-15 2023-10-03 Ald Nanosolutions, Inc. Continuous spatial atomic layer deposition process and apparatus for applying films on particles
US20160376705A1 (en) 2015-06-25 2016-12-29 The Regents Of The University Of California Method for optical coating of large scale substrates
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
WO2017022366A1 (ja) 2015-08-04 2017-02-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
SG10201606973YA (en) 2015-08-31 2017-03-30 Ultratech Inc Plasma-enhanced atomic layer deposition system with rotary reactor tube
JP6586328B2 (ja) 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
US20170088952A1 (en) 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
JP6523119B2 (ja) 2015-09-28 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
DE102015220127A1 (de) 2015-10-15 2017-04-20 Wacker Chemie Ag Vorrichtung zur Isolierung und Abdichtung von Elektrodenhalterungen in CVD Reaktoren
JP6706901B2 (ja) 2015-11-13 2020-06-10 東京エレクトロン株式会社 処理装置
TWI727024B (zh) 2016-04-15 2021-05-11 美商應用材料股份有限公司 微體積沉積腔室
JP6455480B2 (ja) 2016-04-25 2019-01-23 トヨタ自動車株式会社 成膜装置及び成膜方法
DE102017108290B4 (de) 2016-04-25 2021-05-06 Toyota Jidosha Kabushiki Kaisha Plasmavorrichtung
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10049911B2 (en) 2016-09-16 2018-08-14 Lam Research Corporation Temporally pulsed and kinetically modulated CVD dielectrics for gapfill applications
US10153156B2 (en) 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
JP6832154B2 (ja) 2016-12-27 2021-02-24 東京エレクトロン株式会社 パージ方法
US9972501B1 (en) * 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
CN110574150B (zh) 2017-05-01 2023-09-19 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
JP7289031B2 (ja) 2017-07-28 2023-06-09 東京エレクトロン株式会社 基板の裏面堆積のシステム及び方法
CN107326340B (zh) 2017-08-29 2023-06-13 京东方科技集团股份有限公司 成膜设备
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof

Also Published As

Publication number Publication date
US20230230802A1 (en) 2023-07-20
EP4013905A1 (en) 2022-06-22
EP4207244A1 (en) 2023-07-05
KR102494377B1 (ko) 2023-02-07
WO2021030336A1 (en) 2021-02-18
US11631571B2 (en) 2023-04-18
EP4013905B1 (en) 2023-02-22
KR20220069931A (ko) 2022-05-27
US20210313145A1 (en) 2021-10-07
FI4013905T3 (fi) 2023-05-19

Similar Documents

Publication Publication Date Title
KR102494377B1 (ko) 원자 스케일 처리를 위한 초고순도 조건
US10351952B2 (en) Film formation apparatus, film formation method, and storage medium
US9790595B2 (en) Method and system to reduce outgassing in a reaction chamber
US7779785B2 (en) Production method for semiconductor device and substrate processing apparatus
TWI597378B (zh) 利用高頻電漿沉積金屬的方法
US7067439B2 (en) ALD metal oxide deposition process using direct oxidation
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
US20050221000A1 (en) Method of forming a metal layer
EP1466034A1 (en) Ald apparatus and method
US20190214228A1 (en) Radical assisted cure of dielectric films
US10676823B2 (en) Processing method and processing apparatus
KR102653087B1 (ko) 처리 장치 및 확산로를 갖는 부재
CN108998776B (zh) 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
US20160017495A1 (en) Plasma-enhanced and radical-based cvd of porous carbon-doped oxide films assisted by radical curing
KR20190065129A (ko) 반도체 장치의 제조 방법 및 성막 장치
US20220178026A1 (en) Carbon cvd deposition methods to mitigate stress induced defects
KR20170078068A (ko) 원자층 증착 장치의 배기 시스템

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination