TWI597378B - 利用高頻電漿沉積金屬的方法 - Google Patents
利用高頻電漿沉積金屬的方法 Download PDFInfo
- Publication number
- TWI597378B TWI597378B TW102103756A TW102103756A TWI597378B TW I597378 B TWI597378 B TW I597378B TW 102103756 A TW102103756 A TW 102103756A TW 102103756 A TW102103756 A TW 102103756A TW I597378 B TWI597378 B TW I597378B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- plasma
- high frequency
- gas
- precursor
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 84
- 238000000151 deposition Methods 0.000 title claims description 41
- 229910052751 metal Inorganic materials 0.000 title description 21
- 239000002184 metal Substances 0.000 title description 21
- 150000002739 metals Chemical class 0.000 title description 2
- 239000007789 gas Substances 0.000 claims description 142
- 239000000758 substrate Substances 0.000 claims description 113
- 239000002243 precursor Substances 0.000 claims description 69
- 230000008569 process Effects 0.000 claims description 47
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 18
- 238000010926 purge Methods 0.000 claims description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 14
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 12
- 229910052707 ruthenium Inorganic materials 0.000 claims description 12
- 239000001257 hydrogen Substances 0.000 claims description 11
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 10
- 239000001307 helium Substances 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 10
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 10
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 9
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 8
- AFSGYZZYLXVSKS-UHFFFAOYSA-N [ethyl(hydrazinyl)amino]ethane Chemical compound CCN(CC)NN AFSGYZZYLXVSKS-UHFFFAOYSA-N 0.000 claims description 8
- RXWJGOFOAJCLPF-UHFFFAOYSA-N [hydrazinyl(methyl)amino]ethane Chemical compound CCN(C)NN RXWJGOFOAJCLPF-UHFFFAOYSA-N 0.000 claims description 7
- BYDUPHGNAAJNJO-UHFFFAOYSA-N [hydrazinyl(methyl)amino]methane Chemical compound CN(C)NN BYDUPHGNAAJNJO-UHFFFAOYSA-N 0.000 claims description 7
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 229910052757 nitrogen Inorganic materials 0.000 claims description 7
- 229910021529 ammonia Inorganic materials 0.000 claims description 5
- 238000010438 heat treatment Methods 0.000 claims description 5
- 229910052715 tantalum Inorganic materials 0.000 claims description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 5
- ZGAHOLJSUXUNRR-UHFFFAOYSA-N CCNCC.CCNCC.CCNCC.CCNCC.CCNCC.C1C(C=CC=C2)=C2C2=CC=CC=C12 Chemical compound CCNCC.CCNCC.CCNCC.CCNCC.CCNCC.C1C(C=CC=C2)=C2C2=CC=CC=C12 ZGAHOLJSUXUNRR-UHFFFAOYSA-N 0.000 claims description 3
- KAEVCTXOQRGEPE-UHFFFAOYSA-N CNC.CNC.CNC.CNC.CNC.C1C(C=CC=C2)=C2C2=CC=CC=C12 Chemical group CNC.CNC.CNC.CNC.CNC.C1C(C=CC=C2)=C2C2=CC=CC=C12 KAEVCTXOQRGEPE-UHFFFAOYSA-N 0.000 claims description 3
- XYFCBTPGUUZFHI-UHFFFAOYSA-O phosphonium Chemical compound [PH4+] XYFCBTPGUUZFHI-UHFFFAOYSA-O 0.000 claims description 3
- YRQNNUGOBNRKKW-UHFFFAOYSA-K trifluororuthenium Chemical compound F[Ru](F)F YRQNNUGOBNRKKW-UHFFFAOYSA-K 0.000 claims description 3
- HYYJMAXXOKLCAI-UHFFFAOYSA-N C(C)N(C)[Ru] Chemical compound C(C)N(C)[Ru] HYYJMAXXOKLCAI-UHFFFAOYSA-N 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 239000002002 slurry Substances 0.000 claims 1
- 210000002381 plasma Anatomy 0.000 description 100
- 230000008021 deposition Effects 0.000 description 28
- 238000000231 atomic layer deposition Methods 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 14
- 150000001875 compounds Chemical class 0.000 description 9
- 238000006243 chemical reaction Methods 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- 229940126062 Compound A Drugs 0.000 description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- 238000002955 isolation Methods 0.000 description 7
- 238000012545 processing Methods 0.000 description 7
- 238000009832 plasma treatment Methods 0.000 description 6
- 238000005086 pumping Methods 0.000 description 5
- 230000000694 effects Effects 0.000 description 4
- -1 ethylmethylamino Chemical group 0.000 description 4
- MDKVDJZIHRFUBO-UHFFFAOYSA-N 2-amino-3-benzoyl-4-(2-benzoylphenyl)iminocyclohexa-2,5-dien-1-one Chemical compound C1=CC=C(C=C1)C(=O)C2=CC=CC=C2N=C3C=CC(=O)C(=C3C(=O)C4=CC=CC=C4)N MDKVDJZIHRFUBO-UHFFFAOYSA-N 0.000 description 3
- 238000012864 cross contamination Methods 0.000 description 3
- 229910052735 hafnium Inorganic materials 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical group [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 238000005192 partition Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910001507 metal halide Inorganic materials 0.000 description 2
- 150000005309 metal halides Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 239000012713 reactive precursor Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- IFZHGQSUNAKKSN-UHFFFAOYSA-N 1,1-diethylhydrazine Chemical compound CCN(N)CC IFZHGQSUNAKKSN-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000009849 deactivation Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- OOHBDXHPNWXUFJ-UHFFFAOYSA-N dimethylazanide ruthenium(5+) Chemical compound CN(C)[Ru](N(C)C)(N(C)C)(N(C)C)N(C)C OOHBDXHPNWXUFJ-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000008595 infiltration Effects 0.000 description 1
- 238000001764 infiltration Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 150000003505 terpenes Chemical class 0.000 description 1
- 235000007586 terpenes Nutrition 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76862—Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Plasma & Fusion (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Description
本發明的實施例大致關於沉積金屬的方法。本發明的特定實施例指向利用CVD或ALD技術沉積氮化鉭(TaN)的方法。
原子層沉積(ALD)所沉積之氮化鉭(TaN)是用於銅阻障層(特別針對於非常精細結構)之有希望的候選者。可在裸露基板或多個下層(包括但不限於低介電常數的介電質)上執行作為銅阻障層之TaN的沉積。舉例而言,氮化鉭膜用於前端製程(FEOL)處理中作為功函數材料之頂部上的蝕刻終止以保護閘極材料並作為鋁沉積的阻障層。TaN亦可用於後端製程(BEOL)處理中作為銅阻障層以避免銅擴散進入鄰近層。
一般而言,較大數量的鉭造成後續沉積層的較佳附著。處理TaN中,習知較高功率的電漿會傷害TaN層。上述傷害可包括較高的電容、增加介電常數而造成較大的漏電流、低介電常數膜中碳的蝕刻、低介電常數膜中孔的崩潰與通過高介電常數膜與介面層之滲透。對下層的傷害在製程(包括但不限於金屬閘極沉積、低介電常數膜上處置膜之形成與
矽化物沉積)中是一問題。在金屬閘極沉積中,基板可為Si,且基板可包括沉積於Si基板上厚度小於約10的薄氧化物層、沉積於薄氧化物層上厚度小於約20的高介電常數氧化物、厚度在約10至約20範圍中之視情況可選的蓋層與金屬閘極層(諸如,鉭或鈷金屬層)。在低介電常數膜上提供處置膜的製程中,基板包括低介電常數材料、沉積於基板上之阻障層、接著為阻障層上之金屬沉積或阻障層之處置。在上述製程中之阻障層通常是薄的。在矽化物沉積中,基板通常包括Si,包括配置於基板上並經過清潔之金屬矽化物層,與配置於金屬矽化物層上之阻障層。
持續有需求要發展沉積具有下列一或多者之TaN膜的方法:較佳性能、較高的膜密度、較低的電阻率、較低或沒有電漿傷害與均勻的阻障層覆蓋與阻止覆蓋。
本發明的一或多個實施例關於在基板上沉積金屬膜的方法。方法包括依序暴露基板至金屬前驅物與高頻電漿。
在某些實施例中,高頻電漿包括氬、氫、氨、氦與氮的一或多者。在某些實施例中,高頻電漿的頻率約40 MHz。在一或多個實施例中,高頻電漿的壓力在約1托至約20托範圍中。在一或多個實施例中,高頻電漿是電容耦合電漿。在一或多個實施例中,高頻電漿的功率在約200瓦至約1200瓦範圍中。
在一或多個實施例中,金屬前驅物是鉭前驅物。在某些實施例中,鉭前驅物包括五(二甲胺基)鉭(PDMAT)、氟化
鉭、第三丁基亞胺基-參(乙基甲基胺基)鉭(TBTEMT)、第三丁基亞胺基-參(二乙胺基)鉭(TBTDEAT)、第三丁基亞胺基-參(二甲胺基)鉭(TBTDMAT)、第三戊基亞胺基-參(二甲胺基)鉭(TAIMATA)、第三戊基亞胺基-參(二乙胺基)鉭、第三戊基亞胺基-參(甲基乙基胺基)鉭、五(乙基甲基胺基)鉭(PEMAT)、五(二乙基醯胺基)鉭(PDEAT)、上述之電漿、上述之衍生物或上述之組合的一或多者。在特定實施例中,鉭前驅物是五(二甲基醯胺基)鉭(PDMAT)。
某些實施例更包括加熱基板至約150℃至約350℃範圍中的溫度。某些實施例更包括調整基板與氣體分配板之間的距離。在某些實施例中,基板與氣體分配板之間的距離在約50密爾至約800密爾範圍中。在特定實施例中,基板與氣體分配板之間的距離在約300密爾與約580密爾範圍中。
在某些實施例中,得到的膜之電阻率低於不以高頻電漿處理的相似膜之電阻率。在一或多個實施例中,得到的膜之密度高於不以高頻電漿處理的相似膜之密度。
本發明的額外實施例指向在基板的表面上沉積氮化鉭膜的方法。基板被置於包括氣體分配板之製程腔室中。基板的表面經配置與氣體分配板相隔一距離。基板被依序暴露至鉭前驅物與高頻電漿。某些實施例更包括調整基板表面與氣體分配板之間的距離,調整之距離在約300密爾與約580密爾範圍中。一或多個實施例更包括加熱基板。
在一或多個特定實施例中,鉭前驅物包括五(二甲胺基)鉭(PDMAT)、氟化鉭、第三丁基亞胺基-參(乙基甲基胺基)
鉭(TBTEMT)、第三丁基亞胺基-參(二乙胺基)鉭(TBTDEAT)、第三丁基亞胺基-參(二甲胺基)鉭(TBTDMAT)、第三戊基亞胺基-參(二甲胺基)鉭(TAIMATA)、第三戊基亞胺基-參(二乙胺基)鉭、第三戊基亞胺基-參(甲基乙基胺基)鉭、五(乙基甲基胺基)鉭(PEMAT)、五(二乙基醯胺基)鉭(PDEAT)、上述之電漿、上述之衍生物或上述之組合的一或多者,且高頻電漿包括氬、氫、氨、氦與氮的一或多者,且高頻電漿的頻率在約20 MHz與約120 MHz範圍中。
本發明的進一步實施例指向在基板的表面上沉積氮化鉭膜的方法。基板被置於包括氣體分配板之製程腔室中。氣體分配板包括至少一個第一反應性氣體注入器與至少一個第二反應性氣體注入器。至少一個第一反應性氣體注入器提供鉭前驅物至沉積區而至少一個第二反應性氣體注入器提供高頻電漿至沉積區。至少一個第一反應性氣體注入器與至少一個第二反應性氣體注入器藉由一或多個真空埠與一或多個淨化氣體注入器而分隔。在第一方向中將基板的一部分通過整個氣體分配板,以致基板的部分被依序暴露至鉭前驅物、接著為高頻電漿。
如本文所用之詞彙「處置」層或基板應代表暴露層或基板至本文另有描述之氫電漿。上述暴露可為週期性或可在能夠重複的短暫持續時間內。暴露的持續時間可為恆定的或者在每個暴露與下個暴露之間有所改變。暴露過程中的其他條件亦可有所變化或是恆定的。
上文已經列出相當廣的本發明之某些特徵與技術優
點。熟悉技術人士應當理解所揭示之特定實施例可輕易被用來作為在本發明之範圍中修改或設計其他構造或製程的基礎。彼等熟悉技術人士亦應理解上述等效的構造並未偏離隨附之申請專利範圍所提出之本發明的精神與範圍。
10‧‧‧負載鎖定腔室
15‧‧‧隔離閥
20‧‧‧製程腔室
30、701‧‧‧氣體分配板
60、722‧‧‧基板
61‧‧‧第一表面
65‧‧‧載體
70‧‧‧軌道
90‧‧‧輻射熱燈
100‧‧‧原子層沉積系統
120‧‧‧第一前驅物注入器
125、135、145‧‧‧氣體埠
130‧‧‧第二前驅物注入器
140‧‧‧淨化氣體注入器
150‧‧‧泵送系統
155‧‧‧真空埠
160‧‧‧分隔板
198‧‧‧箭號
700‧‧‧製程腔室
702‧‧‧第一入口埠
704‧‧‧第二入口埠
706‧‧‧面板
708‧‧‧區
710‧‧‧氣體箱
712‧‧‧沉積區
714‧‧‧第一組開口
716‧‧‧第二組開口
718‧‧‧面板縫隙
724‧‧‧基座
726‧‧‧電漿
為了詳細理解本發明上述之特徵結構,可參照某些圖示於附圖中的實施例來理解簡短概述於【發明內容】中的本發明的更明確描述。然而,需注意附圖僅圖示本發明之典型實施例而因此附圖不被視為本發明之範圍的限制因素,因為本發明可允許其他等效實施例。
第1圖圖示用於一或多個本發明的實施例之示範性製程腔室;及第2圖圖示用於一或多個本發明的實施例之示範性空間性製程腔室。
本發明的實施例關於在半導體基板上沉積金屬層的方法。更明確地,本文所述之實施例關於金屬前驅物層之沉積與用氫電漿處置彼等層以提供純金屬層或雜質及/或有機成分降低的金屬層。更特定的實施例包括選擇性改變氫電漿處置中所用之進入氫物種(例如,氫游離基團)的離子能量。
ALD沉積之氮化鉭(TaN)是用於銅阻障層(特別針對於非常精細結構)之有希望的候選者。本發明者已經意外地發現高頻(例如,40 MHz)RF直接式電漿處置會密化膜、降低電阻率並提高阻障層性質。高頻電漿(40 MHz或甚至更高的頻
率,諸如60 MHz、80 MHz或120 MHz)可提供高密度電漿處置而不對基板膜造成傷害。
本發明的實施例提供有效方式來降低TaN膜的電阻率並提高阻障層性質。此外,已經意外地發現電漿處置可在TaN沉積之前與之後提高膜的介面品質。更明確地,已經發現高頻RF電漿處置改善TaN與後續層(諸如,銅晶種層、銅晶種層之前的金屬濕潤層(諸如,鈷或釕))之間的介面。本發明的實施例應用於任何需要降低電阻率與密化膜之CVD或ALD金屬膜製程。
利用通常與化學氣相沉積(CvD)及/或原子層沉積(ALD)製程相關之硬體將本文所述之方法的一或多個實施例併入上述製程中。
可用於本發明的多種實施例之典型ALD與CVD反應腔室適用於如本文所述般沉積層於基板上,並包括電漿反應器以供應電漿至反應腔室(遠端電漿)或在反應腔室中產生電漿(直接式電漿)。第1圖圖示根據本發明的一或多個實施例之製程腔室700的簡化橫剖面示意圖。第1圖圖示製程腔室700,製程腔室700具有噴頭以輸送氣體(諸如,前驅物、淨化氣體)進入沉積區712。彼等熟悉技術人士將理解如圖所示之噴頭僅為氣體輸送的一種可能的選項。其他實施例之腔室具有渦流蓋、遠端電漿蓋、直接式電漿蓋、雜合蓋與空間性ALD注入器。為了易於描述,將彼等氣體注入系統的多種類型稱為氣體分配板701。
第1圖中所示之氣體分配板701設有兩個入口埠702
與704。第一入口埠702與噴頭的中心同軸並界定流動路徑讓至少第一前驅物向下至噴頭的中心並接著橫向地在面板706後方。前驅物離開噴頭進入在面板中選定開口後方的沉積腔室。
第二入口埠704可設以流動電漿氣體圍繞第一埠702並進入氣體箱710與面板706之間的區708。在到達沉積區712之前,電漿氣體可接著自區708流過面板706中選定開口。在沉積區712外部產生電漿的此類型配置可稱為遠端電漿。如第1圖所示,面板706具有兩組開口:第一組開口714提供區708與沉積區712之間的流體流通,而第二組開口716提供第一入口埠702、面板縫隙718與沉積區712之間的流體流通。同樣地,彼等熟悉技術人士將理解此僅為一種可能的配置。可透過氣體分配板中相同組的開口或透過相同漏斗型渦流腔室提供沉積氣體至沉積區712。
面板706可為雙通道面板,雙通道面板保持前驅物與電漿氣體或物種獨立直到前驅物與電漿氣體或物種離開氣體分配板至沉積區為止。舉例而言,在透過開口716離開氣體分配板之前,前驅物可移動繞過面板縫隙718中之開口714。阻擋件(例如,圓柱形埠)可圍繞開口714以避免前驅物透過該等開口離開。同樣地,透過開口714移動的電漿氣體或物種無法流動橫跨面板縫隙718並自第二開口716離開進入沉積區。
在一或多個實施例中,面板706與基座724可形成電極以在基板722上之沉積區中產生電容耦合電漿726。此類
型的實施例可被稱為直接式電漿腔室或直接式電漿製程等等。直接式電漿提供較大程度的離子化,因為電漿中的離子化物種較無機會在流入腔室中時變成去活性化。
系統700亦可設以在面板後方之區708中產生第二電漿728。可藉由在氣體箱710與面板706(形成電漿用之電極)之間施加RF電場來產生此電漿728。可由自第二入口埠704流入區708之電漿氣體來製造此電漿。第二電漿728亦可用來自電漿氣體產生反應性電漿物種。
第1圖中所圖示之製程腔室可被作為CVD反應器,其中同時地將兩個製程氣體(或電漿)流入沉積區712,或作為ALD腔室,其中依序地流動氣體進入腔室以致反應實質上僅發生在基板表面上。
本發明的一或多個實施例指向藉由依序暴露基板至金屬前驅物與高頻電漿而在基板上沉積金屬膜的方法。如本說明書與隨附之申請專利範圍所用之詞彙「高頻電漿」意指頻率大於20 MHz的電漿。在某些實施例中,詞彙「高頻電漿」意指頻率大於約40 MHz、60 MHz、80 MHz、100 MHz或120 MHz的電漿。彼等熟悉技術人士將理解已經由功率源產生之電漿具有大致或約等於所列之頻率。
可取決於將沉積之物種來應用金屬前驅物。舉例而言,鉭前驅物用於在基板上沉積鉭與鉭物種。在某些實施例中,金屬前驅物是鉭前驅物。在某些實施例中,鉭前驅物包括五(二甲胺基)鉭(PDMAT)、氟化鉭、第三丁基亞胺基-參(乙基甲基胺基)鉭(TBTEMT)、第三丁基亞胺基-參(二乙胺基)鉭
(TBTDEAT)、第三丁基亞胺基-參(二甲胺基)鉭(TBTDMAT)、第三戊基亞胺基-參(二甲胺基)鉭(TAIMATA)、第三戊基亞胺基-參(二乙胺基)鉭、第三戊基亞胺基-參(甲基乙基胺基)鉭、五(乙基甲基胺基)鉭(PEMAT)、五(二乙基醯胺基)鉭(PDEAT)、上述之電漿、上述之衍生物或上述之組合的一或多者。在特定實施例中,鉭前驅物是五(二甲基醯胺基)鉭(PDMAT)。
取決於將沉積之膜與前驅物,電漿可包括任何適當的物種。舉例而言,氮化鉭膜之沉積可受益於包括氮之電漿。然而,取決於將應用之鉭前驅物,並非必需在電漿中具有氮。在某些實施例中,高頻電漿包括氬、氫、氨、氦與氮的一或多者。在特定實施例中,高頻電漿包括氬與氫的混合物。
電漿的頻率對得到的膜之品質有顯著影響。較高功率的電漿通常在沉積之膜中產生更多的傷害。本發明者已經發現提高電漿的頻率最小化較高功率電漿於膜上之影響。在某些實施例中,應用實質上僅僅一個電漿頻率。如本說明書與隨附之申請專利範圍所用之詞彙「實質上僅僅一個電漿頻率」意指用於產生電漿之功率源設定在單一頻率下運作。彼等熟悉技術人士將理解頻率中有自然波動。此外,若應用超過一個產生器,即便所有產生器設定至相同頻率,但將有可能在頻率間有所差異。在某些實施例中,高頻電漿的頻率約40 MHz。在某些實施例中,高頻電漿的頻率在約20 MHz至約120 MHz範圍中。在某些實施例中,高頻電漿是電容耦合電漿。
亦可取決於氣態物種與期望的膜品質來調整高頻電漿的功率水平。在某些實施例中,高頻電漿的功率大於約100瓦、200瓦、300瓦、400瓦、500瓦、600瓦、700瓦、800瓦或900瓦。在某些實施例中,高頻電漿的功率在約200瓦至約1200瓦範圍中,或在約300瓦至約1000瓦範圍中,或在約400瓦至約600瓦範圍中。
亦可取決於電漿與得到的膜之期望性質來調整製程腔室中之反應氣體的壓力。在某些實施例中,電漿壓力在約0.01托至約100托範圍中,或在約1托至約20托範圍中,或在約0.1托至約10托範圍中,或約1托或約10托。
基板、基板表面或沉積區的溫度可對沉積之膜的品質有顯著影響。若溫度太高,前驅物會分解而不會與基板或已經在基板上之膜反應。若溫度太低,並沒有反應。因此,方法的某些實施例更包括加熱基板。可藉由彼等熟悉技術人士習知的任何數目之適當手段來加熱基板。舉例而言,可藉由內部電極來加熱基座724或者可藉由分隔的加熱機制來加熱腔室。此外,可加熱反應氣體本身。在一或多個實施例中,加熱(或冷卻)基板至約100℃至約400℃範圍中之溫度,或約150℃至約350℃範圍中之溫度,或約200℃至約300℃範圍中之溫度。
氣體分配板與基板表面之間的距離亦對得到的膜有影響。若距離太小,因為空間不足以讓氣體擴散橫跨基板表面,噴頭的圖案常常被傳送至基板。若距離太大,便難以在沉積區中交換氣體。本發明的一或多個實施例更包括調整基
板與氣體分配板之間的距離。在一或多個實施例中,基板與氣體分配板之間的距離在約50密爾至約800密爾範圍中,或在約75密爾至約600密爾範圍中,或在100密爾至約500密爾範圍中,或在約125密爾至約400密爾範圍中,或在約300密爾至約580密爾範圍中。在一或多個實施例中,基板表面與氣體分配板之間的距離是約300密爾或約580密爾。
在一或多個實施例中,得到的膜的電阻率低於不以高頻電漿處理之相似膜的電阻率。在一或多個實施例中,得到的膜的電阻率比不以高頻電漿處理之相似膜的電阻率低約10%、20%、30%、40%、50%或60%。
在一或多個實施例中,得到的膜的密度高於不以高頻電漿處理之相似膜的密度。在一或多個實施例中,得到的膜的密度比不以高頻電漿處理之相似膜的密度高約10%、20%、30%、40%、50%或60%。
在某些實施例中,在一或多個前驅物暴露之後發生淨化。舉例而言,可在暴露至金屬前驅物之後或在暴露至高頻電漿之後淨化腔室或鄰近基板之表面的反應區。在一或多個實施例中,在金屬前驅物與高頻電漿的每次暴露之後淨化腔室或鄰近基板之表面的反應區。
可控制沉積速率以造成相當緩慢或快速的膜。在某些實施例中,沉積速率在約0.3 Å/循環至約0.8 Å/循環範圍中,或在約0.4 Å/循環至約0.7 Å/循環範圍中,或在約0.5 Å/循環至約0.6Å/循環範圍中。
本發明的一或多個實施例包括作為第一前驅物之
TDEAH與電漿,電漿包括約200部分的氬、1000部分的氨、2000部分的氦與2300部分的氫之混合物。在約275℃且與氣體分配板相隔約580密爾之距離下固持基板。電漿壓力是約1托,且在600瓦或400瓦與約40 MHz的頻率下產生電漿。
已經將電漿處置作為製程後處置以密化膜。在某些實施例中,將電漿作為ALD反應製程的部分且不需要將電漿作為沉積後製程。因此,亦可宣稱一或多個實施例不需要沉積後電漿製程來密化膜。
本發明的另一個實施例指向利用可稱為空間性原子層沉積在基板的表面上沉積氮化鉭膜的方法。第2圖圖示空間性ALD腔室的橫剖面。第2圖是根據本發明的一或多個實施例之原子層沉積系統100或反應器的示意性橫剖面圖。系統100包括負載鎖定腔室10與製程腔室20。製程腔室20通常為在真空下或至少低壓下運作之可密封的封圍件。製程腔室20藉由隔離閥15隔離於負載鎖定腔室10。隔離閥15在關閉位置中自負載鎖定腔室10密封製程腔室20,且隔離閥15在開放位置中允許自負載鎖定腔室10透過閥傳送基板60至製程腔室20或反過來自製程腔室20透過閥傳送基板60至負載鎖定腔室10。
系統100包括氣體分配板30,氣體分配板30能夠分散一或多個氣體橫跨基板60。氣體分配板30可為彼等熟悉技術人士習知的任何適當分配板,且所述之氣體分配板不應被視為本發明範圍的限制。氣體分配板30的輸出面面向基板60的第一表面61。
用於本發明的實施例之基板可為任何適當的基板。在某些實施例中,基板是硬的、個別的、大致平坦的基板。本說明書與隨附之申請專利範圍所用之詞彙「個別的」當提及基板時意指基板具有固定的尺寸。某些實施例的基板是半導體晶圓,諸如200 mm或300 mm直徑矽晶圓。
氣體分配板30包括複數個設以傳送一或多個氣體流至基板60之氣體埠與複數個配置於各個氣體埠之間且設以傳送氣體流離開製程腔室20的真空埠。在第1圖之實施例中,氣體分配板30包括第一前驅物注入器120、第二前驅物注入器130與淨化氣體注入器140。可藉由系統電腦(未圖示)(例如,主機)或藉由腔室特定的控制器(例如,可編程邏輯控制器)來控制注入器120、130、140。前驅物注入器120設以透過複數個氣體埠125注入連續(或脈衝)流的化合物A之反應性前驅物進入製程腔室20。前驅物注入器130設以透過複數個氣體埠135注入連續(或脈衝)流的化合物B之反應性前驅物進入製程腔室20。淨化氣體注入器140設以透過複數個氣體埠145注入連續(或脈衝)流的非反應性氣體或淨化氣體進入製程腔室20。淨化氣體設以自製程腔室20移除反應性材料與反應性副產物。淨化氣體通常為惰性氣體(諸如,氮、氬與氦)。氣體埠145配置於氣體埠125與氣體埠135之間以分隔化合物A之前驅物與化合物B之前驅物,藉此避免前驅物之間的交叉污染。
在另一個態樣中,在將前驅物注入腔室20之前,可將遠端電漿源(未圖示)連接至前驅物注入器120與前驅物注
入器130。可藉由施加電場至遠端電漿源中之化合物來產生反應性物種之電漿。可應用能夠激發意圖化合物之任何功率源。舉例而言,可應用使用以DC、射頻(RF)與微波(MW)為基礎之放電技術的功率源。若應用RF功率源,RF功率源可為電容性耦合或感應耦合任一者。亦可藉由以熱為基礎之技術、氣體破壞技術、高強度光源(例如,UV能量)、或暴露至X光源來產生激發作用。可自諸如MKS Instruments,Inc.與Advanced Energy Industries,Inc.之供應商取得示範性遠端電漿源。此外,可藉由在分隔板160中包括電極材料而在注入器的端點處產生電漿,以致在靠近基板之表面處產生電漿,藉此降低電漿物種的去活化機率。
系統100更包括連接至製程腔室20之泵送系統150。泵送系統150大致設以透過一或多個真空埠155將氣體流排出製程腔室20。真空埠155配置於各個氣體埠之間,以在氣體流與基板表面反應後將氣體流排出製程腔室20並進一步限制前驅物之間的交叉污染。
系統100包括複數個分隔板160,複數個分隔板160配置於製程腔室20上且在各個埠之間。各個分隔板的下部延伸靠近基板60之第一表面61,舉例而言,與第一表面61相隔約0.5 mm。此距離應以致於讓分隔板160的下部與基板表面分隔一足夠距離,以在氣體流與基板表面反應後允許氣體流流動繞過下部朝向真空埠155。箭號198指出氣體流的方向。由於分隔板160作為氣體流的物體阻障,分隔板160亦限制前驅物之間的交叉污染。所示之配置僅為描述性且不應
視為本發明之範圍的限制。彼等熟悉技術人士將理解所示之氣體分配系統僅為一種可能的分配系統且可應用其他類型的噴頭與氣體分配系統。
在運作中,將基板60輸送(例如,藉由機器人)至負載鎖定腔室10並置於載體65上。在打開隔離閥15後,沿著軌道70移動載體65,軌道70可為軌或框架系統。一旦載體65進入製程腔室20,隔離閥15關閉而密封製程腔室20。接著移動載體65通過製程腔室20以進行處理。在一個實施例中,在線性路徑中移動載體65通過腔室。
隨著基板60移動通過製程腔室20,基板60之第一表面61反覆地暴露至來自氣體埠125之化合物A的前驅物、來自氣體埠135之化合物B的前驅物,且來自氣體埠145之淨化氣體在化合物A的前驅物與化合物B的前驅物之間。淨化氣體之注入經設計以在暴露基板表面110至下一前驅物之前自先前前驅物移除未反應的材料。在每次暴露至多種氣體流(諸如,前驅物或淨化氣體)後,藉由泵送系統150透過真空埠155排出氣體流。由於真空埠可配置於各個氣體埠之兩側上,透過兩側上之真空埠155排出氣體流。因此,氣體流自各個氣體埠垂質向下朝向基板60之第一表面61流動,橫跨第一表面110並繞過分隔板160之下部,並最終地向上朝向真空埠155。在此方式中,可橫跨基板表面110均勻地分散各個氣體。箭號198指出氣體流之方向。當基板60被暴露至多種氣體流時亦可旋轉基板60。基板之旋轉可有用於避免形成之層中的長條形成。基板之旋轉可為持續的或個別的步驟。
通常在製程腔室20的端點處提供足夠空間以確保製程腔室20中之最後氣體埠的完全暴露。一旦基板60到達製程腔室20的端點(亦即,第一表面61已經完全暴露至腔室20中的每個氣體埠),基板60在朝向負載鎖定腔室10的方向中返回。隨著基板60向後移動朝向負載鎖定腔室10,基板表面可在自第一暴露的相反順序中再度暴露至化合物A之前驅物、淨化氣體與化合物B之前驅物。
舉例而言,可藉由各個氣體離開氣體埠的流動速率與基板60之移動速率來確定基板表面110暴露至各個氣體的程度。在一個實施例中,各個氣體之流動速率經過設置以至於不自基板表面110移除吸附之前驅物。各個分隔板之間的寬度、製程腔室20上配置之氣體埠的數目與基板來回通過的次數亦可確定基板表面110暴露至多種氣體的程度。因此,可藉由改變上述因子來最佳化沉積膜之數量與品質。
在另一個實施例中,系統100可包括前驅物注入器120與前驅物注入器130而不具有淨化氣體注入器140。因此,隨著基板60移動通過製程腔室20,基板表面110將交替地暴露至化合物A之前驅物與化合物B之前驅物,在化合物A之前驅物與化合物B之前驅物並未暴露至淨化氣體。
第1圖中所示之實施例具有基板上方之氣體分配板30。雖然已經參照此向上方向來描述與圖示實施例,但將理解顛倒方向亦是可能的。在該情況中,基板60之第一表面61將面向下方,而朝向基板流動之氣體將指向上方。
在又另一個實施例中,系統100可設以處理複數個
基板。在上述實施例中,系統100可包括第二負載鎖定腔室(配置於負載鎖定腔室10的相對端)與複數個基板60。基板60可被輸送至負載鎖定腔室10並自第二負載鎖定腔室取回。
在一或多個實施例中,至少一個輻射熱燈90經配置以加熱基板之第二側。對基板而言,輻射熱源通常被配置在氣體分配板30的相對側上。在該等實施例中,氣體緩衝板由允許來自輻射熱源之至少某些光線的透射之材料所製成。舉例而言,氣體緩衝板可由石英所製成,石英允許來自可見光源之輻射能量通過板並接觸基板的背側且造成基板之溫度的提高。
因此,在一或多個實施例中,基板被置入包括氣體分配板之製程腔室中。氣體分配板包括至少一個第一反應性氣體注入器與至少一個第二反應性氣體注入器。至少一個第一反應性氣體注入器提供鉭前驅物至沉積區而至少一個第二反應性氣體注入器提供高頻電漿至沉積區。至少一個第一反應性氣體注入器與至少一個第二反應性氣體注入器藉由一或多個真空埠與一或多個淨化氣體注入器而分隔。在第一方向中傳送基板的部分橫跨氣體分配板,以致基板的部分被依序暴露至鉭前驅物接著為高頻電漿。在某些實施例中,在第二方向中傳送基板以在基板上沉積額外的TaN層,第二方向與第一方向相反。
本說明書通篇提及「一個實施例」、「某些實施例」、「一或多個實施例」或「實施例」意指結合實施例所述之特定特徵、結構、材料或特性被包含於本發明的至少一個實施
例中。因此,諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在實施例中」之片語出現在本說明書通篇不同位置處時並非必然指的是本說明的相同實施例。再者,可在一或多個實施例中以任何適當方式組合特定特徵、結構、材料或特性。上述方法的描述順序不應被視為限制,且方法可在無順序下或具有省略或添加下應用所述之操作。
將理解上述描述意圖作為描述性而非限制性。彼等熟悉技術人士在檢閱上述描述後可顯而易見地得知許多其他實施例。因此,應參照隨附之申請專利範圍以及上述申請專利範圍給予權利之等效物的整個範圍來確定本發明的範圍。
10‧‧‧負載鎖定腔室
15‧‧‧隔離閥
20‧‧‧製程腔室
30‧‧‧氣體分配板
60‧‧‧基板
61‧‧‧第一表面
65‧‧‧載體
70‧‧‧軌道
90‧‧‧輻射熱燈
100‧‧‧原子層沉積系統
120‧‧‧第一前驅物注入器
125、135、145‧‧‧氣體埠
130‧‧‧第二前驅物注入器
140‧‧‧淨化氣體注入器
150‧‧‧泵送系統
155‧‧‧真空埠
160‧‧‧分隔板
198‧‧‧箭號
Claims (15)
- 一種在一基板之一表面上沉積一氮化鉭膜的方法,該方法包括以下步驟:將該基板置於一製程腔室中,該製程腔室包括一氣體分配板,該氣體分配板包括至少一個第一反應性氣體注入器與至少一個第二反應性氣體注入器,該至少一個第一反應性氣體注入器提供一鉭前驅物而該至少一個第二反應性氣體注入器提供一高頻電漿,該至少一個第一反應性氣體注入器與該至少一個第二反應性氣體注入器藉由一或多個真空埠與一或多個淨化氣體注入器而分隔;以及在一第一方向中將該基板之一部分傳送橫跨該氣體分配板,以致該基板之該部分被依序暴露至該鉭前驅物接著為該高頻電漿。
- 如請求項1所述之方法,其中該高頻電漿的一頻率大於約20MHz。
- 如請求項1所述之方法,其中該高頻電漿包括氬、氫、氨、氦與氮的一或多者。
- 如請求項1所述之方法,其中該高頻電漿的一頻率約40MHz。
- 如請求項1所述之方法,其中該高頻電漿的一壓力在約1托至約20托範圍中。
- 如請求項1所述之方法,其中該高頻電漿是一電容耦合電漿。
- 如請求項1所述之方法,其中該高頻電漿的一功率在約200瓦至約1200瓦範圍中。
- 如請求項1所述之方法,其中該鉭前驅物包括五(二甲胺基)鉭(PDMAT)、氟化鉭、第三丁基亞胺基-參(乙基甲基胺基)鉭(TBTEMT)、第三丁基亞胺基-參(二乙胺基)鉭(TBTDEAT)、第三丁基亞胺基-參(二甲胺基)鉭(TBTDMAT)、第三戊基亞胺基-參(二甲胺基)鉭(TAIMATA)、第三戊基亞胺基-參(二乙胺基)鉭、第三戊基亞胺基-參(甲基乙基胺基)鉭、五(乙基甲基胺基)鉭(PEMAT)、五(二乙基醯胺基)鉭(PDEAT)、上述之電漿、上述之衍生物或上述之組合的一或多者。
- 如請求項1所述之方法,其中該鉭前驅物是五(二甲基醯胺基)鉭(PDMAT)。
- 如請求項1所述之方法,更包括以下步驟:加熱該基板至一在約150℃至約350℃範圍中的溫度。
- 如請求項1所述之方法,更包括以下步驟:調整該基板與一氣體分配板之間的一距離。
- 如請求項11所述之方法,其中該基板與該氣體分配板之間的該距離是在約50密爾至約800密爾範圍中。
- 如請求項11所述之方法,其中該基板與該氣體分配板之間的該距離是在約300密爾與約580密爾範圍中。
- 如請求項1所述之方法,其中所得到的膜的一電阻率低於一不以該高頻電漿處理之相似膜的電阻率。
- 如請求項1所述之方法,其中所得到的膜的一密度高於一不以該高頻電漿處理之相似膜的密度。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261592986P | 2012-01-31 | 2012-01-31 | |
US13/742,596 US9466524B2 (en) | 2012-01-31 | 2013-01-16 | Method of depositing metals using high frequency plasma |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201337028A TW201337028A (zh) | 2013-09-16 |
TWI597378B true TWI597378B (zh) | 2017-09-01 |
Family
ID=48870581
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102103756A TWI597378B (zh) | 2012-01-31 | 2013-01-31 | 利用高頻電漿沉積金屬的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US9466524B2 (zh) |
TW (1) | TWI597378B (zh) |
WO (1) | WO2013116495A1 (zh) |
Families Citing this family (306)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20120225191A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10204764B2 (en) | 2014-10-28 | 2019-02-12 | Applied Materials, Inc. | Methods for forming a metal silicide interconnection nanowire structure |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US11015246B2 (en) * | 2016-04-24 | 2021-05-25 | Applied Materials, Inc. | Apparatus and methods for depositing ALD films with enhanced chemical exchange |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10332810B2 (en) | 2016-10-24 | 2019-06-25 | Kla-Tencor Corp. | Process modules integrated into a metrology and/or inspection tool |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
EP3892585A1 (en) * | 2020-04-09 | 2021-10-13 | Imec VZW | Growing a dielectric material on a surface |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
CN111440210A (zh) * | 2020-05-19 | 2020-07-24 | 合肥安德科铭半导体科技有限公司 | 一种含铌或钽的有机化合物的制备方法、产物及应用 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11961716B2 (en) | 2021-12-09 | 2024-04-16 | Industrial Technology Research Institute | Atomic layer deposition method |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6025264A (en) | 1998-02-09 | 2000-02-15 | United Microelectronics Corp. | Fabricating method of a barrier layer |
US6265311B1 (en) * | 1999-04-27 | 2001-07-24 | Tokyo Electron Limited | PECVD of TaN films from tantalum halide precursors |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US20040082169A1 (en) | 2002-10-29 | 2004-04-29 | Chartered Semiconductor Manufacturing Ltd. | Deposition of barrier metal in damascene interconnects using metal carbonyl |
JP2004336019A (ja) | 2003-04-18 | 2004-11-25 | Advanced Lcd Technologies Development Center Co Ltd | 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置 |
JP2005036250A (ja) * | 2003-07-16 | 2005-02-10 | Matsushita Electric Ind Co Ltd | スパッタ装置 |
EP1697727B1 (en) | 2003-07-25 | 2007-10-03 | Lightwind Corporation | Method and apparatus for monitoring chemical processes |
US8043471B2 (en) * | 2006-03-31 | 2011-10-25 | Tokyo Electron Limited | Plasma processing apparatus |
US8702867B2 (en) * | 2008-07-08 | 2014-04-22 | Jusung Engineering Co., Ltd. | Gas distribution plate and substrate treating apparatus including the same |
US20100081285A1 (en) * | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
US8709551B2 (en) * | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
-
2013
- 2013-01-16 US US13/742,596 patent/US9466524B2/en active Active
- 2013-01-31 TW TW102103756A patent/TWI597378B/zh active
- 2013-01-31 WO PCT/US2013/024107 patent/WO2013116495A1/en active Application Filing
Also Published As
Publication number | Publication date |
---|---|
WO2013116495A1 (en) | 2013-08-08 |
US20130196507A1 (en) | 2013-08-01 |
US9466524B2 (en) | 2016-10-11 |
TW201337028A (zh) | 2013-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI597378B (zh) | 利用高頻電漿沉積金屬的方法 | |
US20220059362A1 (en) | Self-Aligned Double Patterning With Spatial Atomic Layer Deposition | |
TW578212B (en) | Atomic layer deposition reactor | |
US20080241387A1 (en) | Atomic layer deposition reactor | |
US20140023794A1 (en) | Method And Apparatus For Low Temperature ALD Deposition | |
JP5909484B2 (ja) | 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計 | |
KR102271731B1 (ko) | 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들 | |
KR102664779B1 (ko) | 공간적인 원자 층 증착에서의 가스 분리 제어 | |
TWI599673B (zh) | 沉積無氟/碳保形鎢之方法 | |
KR102197576B1 (ko) | 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들 | |
TWI745528B (zh) | 用於低溫氮化矽膜的方法及設備 | |
US20130210238A1 (en) | Multi-Injector Spatial ALD Carousel and Methods of Use | |
US20050221000A1 (en) | Method of forming a metal layer | |
JP2014515790A (ja) | ホットワイヤ原子層堆積装置及び使用方法 | |
US20140174362A1 (en) | Apparatus And Methods For Symmetrical Gas Distribution With High Purge Efficiency | |
SG188656A1 (en) | Method of mitigating substrate damage during deposition processes | |
US20160024653A1 (en) | Plasma Source For Rotating Platen ALD Chambers | |
US10096464B2 (en) | Atomic layer deposition of high density silicon dioxide | |
KR102405729B1 (ko) | 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착 | |
TW201404925A (zh) | 使用快速熱處理之原子層沉積 | |
TW201615879A (zh) | 高溫二氧化矽原子層沉積技術 |