KR102271731B1 - 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들 - Google Patents

배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들 Download PDF

Info

Publication number
KR102271731B1
KR102271731B1 KR1020167017058A KR20167017058A KR102271731B1 KR 102271731 B1 KR102271731 B1 KR 102271731B1 KR 1020167017058 A KR1020167017058 A KR 1020167017058A KR 20167017058 A KR20167017058 A KR 20167017058A KR 102271731 B1 KR102271731 B1 KR 102271731B1
Authority
KR
South Korea
Prior art keywords
gas
diverter
assembly
processing chamber
substrate
Prior art date
Application number
KR1020167017058A
Other languages
English (en)
Other versions
KR20160089508A (ko
Inventor
조셉 유도브스키
케빈 그리핀
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160089508A publication Critical patent/KR20160089508A/ko
Application granted granted Critical
Publication of KR102271731B1 publication Critical patent/KR102271731B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

다수의 기판들을 프로세싱하기 위한 방법들 및 기판 프로세싱 챔버가 제공되며, 기판 프로세싱 챔버는 일반적으로, 가스 분배 어셈블리, 각각의 가스 분배 어셈블리에 인접하는 경로를 따라서 기판들을 회전시키기 위한 서셉터 어셈블리, 및 프로세싱 챔버에서의 가스 유동의 각도를 변경하기 위한 가스 다이버터(gas diverter)를 포함한다.

Description

배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들{TILTED PLATE FOR BATCH PROCESSING AND METHODS OF USE}
[0001] 본 발명의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 보다 특정하게는, 본 발명은 기판들 상에서 원자 층 증착(ALD) 및 화학 기상 증착(CVD)을 수행하기 위한 배치 프로세싱 플랫폼(batch processing platform)에 관한 것이다.
[0002] 반도체 디바이스들을 형성하는 프로세스는 일반적으로, 다수의 챔버들을 포함하는 기판 프로세싱 플랫폼들에서 수행된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 하지만, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계만을 수행할 수 있으며, 부가적인 챔버들은, 기판들이 플랫폼에 의해 프로세싱되는 레이트를 최대화하도록 의도된다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예를 들어 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 이를 테면, ALD 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 기판 프로세싱 플랫폼 또는 시스템의 유효성(effectiveness)은 종종, COO(cost of ownership)에 의해 정량화된다(quantified). COO는, 많은 요인(factor)들에 의해 영향을 받기는 하지만, 시스템 풋프린트, 즉 제조 플랜트(fabrication plant)에서 시스템을 동작시키는 데에 요구되는 총 바닥 면적(floor space), 및 시스템 처리량, 즉 시간당 프로세싱되는 기판들의 개수에 의해 주로 영향을 받는다. 풋프린트는 전형적으로, 유지보수(maintenance)를 위해 요구되는, 시스템에 인접한 액세스 구역(access area)들을 포함한다. 따라서, 기판 프로세싱 플랫폼이 비교적 작을 수 있다고 하더라도, 동작 및 유지보수를 위해 모든 측(side)들로부터의 액세스를 필요로 한다면, 시스템의 실질적인 풋프린트는 여전히 엄청나게 클 수 있다.
[0004] 반도체 디바이스들의 크기가 축소됨에 따라, 프로세스 가변성(process variability)에 대한 반도체 산업의 허용오차(tolerance)는 계속해서 감소하고 있다. 이러한 더 엄격한(tighter) 프로세스 요건들을 충족시키기 위해, 산업은 더 엄격한 프로세스 윈도우 요건들을 충족시키는 다수의 새로운 프로세스들을 개발하였지만, 이러한 프로세스들은 종종, 완료하는 데에 더 긴 시간이 걸린다. 예를 들어, 높은 종횡비의 65 nm 또는 그 보다 더 작은 배선 피처(interconnect feature)의 표면 상에 등각적으로(conformally) 구리 확산 배리어 층을 형성하기 위해서는, ALD 프로세스를 사용하는 것이 필요할 수 있다. ALD는, CVD와 비교하여 더 우수한 스텝 커버리지를 나타내는, CVD의 변형이다. ALD는, 본래 전자 발광 디스플레이(electroluminescent display)들을 제조하는 데에 이용되었던 원자 층 에피택시(ALE)에 기초한다. ALD는, 기판 표면 상에 반응성 전구체 분자들의 포화된 모노레이어(monolayer)를 증착하기 위해 화학 흡착(chemisorption)을 이용한다. 이는, 증착 챔버 내로 적절한 반응성 전구체들의 펄싱(pulsing)을 주기적으로 교번(alternating)시킴으로써 달성된다. 반응성 전구체의 각각의 주입은 전형적으로, 기판의 표면 상에 균일한 재료 층을 형성하기 위해, 이전에 증착된 층들에 새로운 원자 층을 제공하도록 비활성 가스 퍼지에 의해 분리된다. 재료 층을 선택된 두께로 형성하기 위해, 반응성 전구체 및 비활성 퍼지 가스들의 주기(cycle)들이 반복된다. ALD 기법들에 대한 가장 큰 결점은, 전형적인 CVD 기법들 보다 증착 레이트가 적어도 10배 만큼 훨씬 더 낮다는 것이다. 예를 들어, 몇몇 ALD 프로세스들은, 기판의 표면 상에 높은 품질 층을 증착하기 위해, 약 10 내지 약 200 분의 챔버 프로세싱 시간을 필요로 할 수 있다. 더 우수한 디바이스 성능을 위해 이러한 ALD 및 에피택시 프로세스들을 선택함에 있어서, 통상의 단일의 기판 프로세싱 챔버에서 디바이스들을 제조하기 위한 비용은, 매우 낮은 기판 프로세싱 처리량으로 인해, 증가할 것이다. 따라서, 그러한 프로세스들을 구현할 때, 연속적인 기판 프로세싱 접근법이 경제적으로 실현가능해질 필요가 있다.
[0005] 능률적이고 비용 효율적인 방식으로 기판 상에 필름(film)을 균일하게 증착하기 위한 장치 및 방법들에 대한 계속적인 필요성이 당업계에 존재한다.
[0006] 본 발명의 실시예들은 프로세싱 챔버에 관한 것이며, 프로세싱 챔버는, 가스 분배 어셈블리, 서셉터 어셈블리 및 다이버터(diverter)를 포함한다. 원형(circular) 가스 분배 어셈블리가 프로세싱 챔버 내에 포지셔닝되며(positioned), 가스 분배 어셈블리의 전방 면(front face)에 복수의 세장형(elongate) 가스 포트들을 포함한다. 복수의 세장형 가스 포트들은, 가스 분배 어셈블리의 내측 직경 영역(inner diameter region)으로부터 외측 직경 영역(outer diameter region)까지 연장하며, 그리고 복수의 가스 포트들은, 프로세싱 챔버에 반응성 가스를 전달하기 위한 반응성 가스 포트, 프로세싱 챔버에 퍼지 가스를 전달하기 위한 퍼지 가스 포트, 및 프로세싱 챔버로부터 가스들을 진공배기(evacuate)하기 위한 진공 포트를 포함한다. 서셉터 어셈블리는, 회전 축을 중심으로 실질적으로 원형 경로로 적어도 하나의 기판을 회전시키기 위해 프로세싱 챔버 내에 있다. 서셉터 어셈블리는, 내측 주변 에지(inner peripheral edge) 및 외측 주변 에지(outer peripheral edge)에 의해 정의되는 상단 표면(top surface)을 가지며, 서셉터 어셈블리는, 서셉터 어셈블리의 상단 표면이 가스 분배 어셈블리의 전방 면을 향하도록(face) 가스 분배 어셈블리 아래에 포지셔닝된다. 다이버터는, 기판이 서셉터 어셈블리 상에 있을 때, 반응성 가스가 기판 표면에 대하여 약 90o 미만의 각도로 기판의 표면을 접촉하도록, 반응성 가스의 유동 방향을 변경하도록 포지셔닝된다.
[0007] 본 발명의 부가적인 실시예들은 복수의 기판들을 프로세싱하는 방법들에 관한 것이다. 서셉터 어셈블리는, 가스 분배 어셈블리로부터의 반응성 가스의 유동에 기판들을 노출시키기 위해 가스 분배 어셈블리의 전방 면 근처에서 복수의 기판들 각각을 통과시키도록 프로세싱 방향으로 회전된다. 다이버터는, 반응성 가스의 유동이 기판 표면에 대하여 약 90o 미만으로 각을 이루도록(angle) 제어된다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간단히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 발명의 하나 또는 그 초과의 실시예에 따른 공간적인(spatial) 원자 층 증착 챔버의 측단면도이다.
[0010] 도 2는 본 발명의 하나 또는 그 초과의 실시예들에 따른 서셉터의 투시도를 도시한다.
[0011] 도 3은 본 발명의 하나 또는 그 초과의 실시예들에 따른 파이-형상(pie-shaped) 가스 분배 어셈블리의 개략도를 도시한다.
[0012] 도 4는 본 발명의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션과 4개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0013] 도 5는 3개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0014] 도 6은 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0015] 도 7은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 서셉터 어셈블리 및 가스 분배 어셈블리 유닛들의 투시도를 도시한다.
[0016] 도 8은 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0017] 도 9는 본 발명의 하나 또는 그 초과의 실시예들에 따른 파이-형상 가스 분배 어셈블리의 개략도를 도시한다.
[0018] 도 10은 본 발명의 하나 또는 그 초과의 실시예들에 따른, 가스 다이버터를 갖는 가스 분배 어셈블리의 투시도를 도시한다.
[0019] 도 11은 본 발명의 하나 또는 그 초과의 실시예들에 따른 가스 다이버터의 투시도를 도시한다.
[0020] 도 12는 본 발명의 하나 또는 그 초과의 실시예들에 따른, 가스 다이버터를 갖는 가스 분배 어셈블리의 단면도를 도시한다.
[0021] 본 발명의 실시예들은, 처리량을 최대화하고, 프로세싱 효율 및 균일성을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전 및 증착-후 기판 처리들에 대해 사용될 수 있다. 본 발명의 실시예들은, 배치 프로세서에서 증착 균일성을 증가시키기 위한 장치 및 방법들에 관련된다.
[0022] 현재의 증착 장치는 주입기 어셈블리를 서셉터 어셈블리/웨이퍼 표면에 대하여 레벨링(level)하며, 그에 따라, 내측 주변 에지로부터 외측 주변 에지로 균일한 갭(gap)이 존재한다. 몇몇 프로세싱 조건(condition)들에서, 웨이퍼에 걸쳐서 증착 불균일성이 일어난다. 이는 서셉터 어셈블리의 내측 주변 에지로부터 외측 주변 에지로 방사상으로 연장하는 균일한 간격으로부터 비롯되는 것으로 여겨진다.
[0023] 본 발명의 실시예들은, 배치 프로세서에서 달성되는 필름 품질 및 증착 균일성을 튜닝(tune) 또는 증가시키는 것을 돕는다. 샤워헤드 모듈에 대한 인서트(insert) 또는 플레이트는, 방사상 방향 및 탄젠트(tangential) 방향 모두에서 서셉터 어셈블리/웨이퍼로부터의 간격을 조정하도록 설계되었다. 방사상 방향 및 탄젠트 방향 모두에서의 플레이트의 경사(tilt)의 정도(degree)는 수동으로 또는 자동적으로 조정될 수 있다.
[0024] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥상 명확히 다르게 지시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 예를 들어, 도 1에 대하여 설명되는 공간적으로 분리된 ALD에서, 각각의 전구체가 기판에 전달되지만, 임의의 개별적인 전구체 스트림이, 임의의 주어진 시간에서, 기판의 일부에만 전달된다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 필름들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0025] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물(reactant)" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종(species)을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예를 들어, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0026] 도 1은, 본 발명의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 일부의 개략적인 단면도이다. 프로세싱 챔버(100)는 일반적으로, 진공 또는 적어도 저압 조건들 하에서 동작되는 밀봉가능한 인클로저(sealable enclosure)이다. 시스템은, 기판(60)의 상단 표면(61)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 어셈블리(30)를 포함한다. 가스 분배 어셈블리(30)는 당업자에게 알려져 있는 임의의 적합한 어셈블리일 수 있고, 설명되는 특정 가스 분배 어셈블리들은, 본 발명의 범위를 제한하는 것으로서 취해지지 않아야 한다. 가스 분배 어셈블리(30)의 출력 면(output face)은 기판(60)의 제 1 표면(61)을 향한다.
[0027] 본 발명의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 몇몇 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 대체로 평탄한 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 몇몇 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 질화 갈륨, 게르마늄, 인화 갈륨, 인화 인듐, 사파이어, 및 실리콘 탄화물 중 하나 또는 그 초과이다.
[0028] 가스 분배 어셈블리(30)는, 기판(60)에 하나 또는 그 초과의 가스 스트림들을 전달하기 위한 복수의 가스 포트들, 및 프로세싱 챔버(100) 밖으로 가스 스트림들을 전달하기 위해 각각의 가스 포트 사이에 배치된 복수의 진공 포트들을 포함한다. 도 1의 실시예에서, 가스 분배 어셈블리(30)는 제 1 전구체 주입기(120), 제 2 전구체 주입기(130), 및 퍼지 가스 주입기(140)를 포함한다. 주입기들(120, 130, 140)은, 메인프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그램가능한 논리 제어기와 같은 챔버-특정 제어기에 의해 제어될 수 있다. 전구체 주입기(120)는, 복수의 가스 포트들(125)을 통해, 프로세싱 챔버(100) 내로, 화합물 A의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입(inject)한다. 전구체 주입기(130)는, 복수의 가스 포트들(135)을 통해, 프로세싱 챔버(100) 내로, 화합물 B의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스 주입기(140)는, 복수의 가스 포트들(145)을 통해, 프로세싱 챔버(100) 내로, 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스는 프로세싱 챔버(100)로부터 반응성 재료 및 반응성 부산물들을 제거한다. 퍼지 가스는 전형적으로, 질소, 아르곤, 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)은, 화합물 B의 전구체로부터 화합물 A의 전구체를 분리시키고, 그에 의해 전구체들 사이의 교차-오염(cross-contamination)을 피하기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치된다.
[0029] 다른 양상에서, 프로세싱 챔버(100) 내로 전구체들을 주입하기 전에, 원격 플라즈마 소스(미도시)가 전구체 주입기(120) 및 전구체 주입기(130)에 연결될 수 있다. 반응성 종의 플라즈마는, 원격 플라즈마 소스 내의 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 사용될 수 있다. 예를 들어, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기법들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우, 이는 용량성으로 또는 유도성으로 커플링될 수 있다. 활성화는 또한, 열 기반 기법, 가스 브레이크다운(gas breakdown) 기법, 고 에너지 광 소스(예를 들어, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은, MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 벤더(vendor)들로부터 입수가능하다.
[0030] 시스템은 프로세싱 챔버(100)에 연결된 펌핑 시스템(150)을 더 포함한다. 펌핑 시스템(150)은 일반적으로, 하나 또는 그 초과의 진공 포트들(155)을 통해 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키도록 구성된다. 진공 포트들(155)은, 가스 스트림들이 기판 표면과 반응한 후에, 프로세싱 챔버(100) 밖으로 가스 스트림들을 진공배기시키고, 전구체들 사이의 교차-오염을 추가로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0031] 시스템은, 각각의 포트 사이에서 프로세싱 챔버(100) 상에 배치된 복수의 파티션(partition)들(160)을 포함한다. 각각의 파티션의 하부 부분은, 기판(60)의 제 1 표면(61) 가까이로 연장되고, 예를 들어, 제 1 표면(61)으로부터 약 0.5 mm 또는 그 초과로 연장된다. 이러한 방식으로, 파티션들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에, 가스 스트림들이 진공 포트들(155)을 향하여 하부 부분들 주위에서 유동하게 허용하기에 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 표시한다. 파티션들(160)이 가스 스트림들에 대한 물리적인 배리어로서 동작하기 때문에, 파티션들(160)은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것일 뿐이고, 본 발명의 범위를 제한하는 것으로서 취해지지 않아야 한다. 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템일 뿐이고, 다른 타입들의 샤워헤드들 및 가스 분배 어셈블리들이 채용될 수 있다는 것이 당업자에 의해 이해될 것이다.
[0032] 이러한 종류의 (즉, 다수의 가스들이 동시에 기판을 향하여 별개로 유동되는) 원자 층 증착 시스템들은 공간적인 ALD라고 지칭된다. 동작에 있어서, 기판(60)은, 프로세싱 챔버(100)에 (예를 들어, 로봇에 의해) 전달되고, 프로세싱 챔버 내로의 진입 전에 또는 그 후에, 셔틀(65) 상에 배치될 수 있다. 셔틀(65)은, 가스 분배 어셈블리(30) 아래를(또는 위를) 통과하면서, 프로세싱 챔버(100)를 통해, 트랙(70) 또는 어떤 다른 적합한 이동 메커니즘을 따라 이동된다. 도 1에서 도시된 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로로 이동된다. 하기에서 더 설명되는 바와 같이, 도 3은 웨이퍼들이 캐러셀(carousel) 프로세싱 시스템을 통해 원형 경로로 이동되는 실시예를 도시한다.
[0033] 도 1을 다시 참조하면, 기판(60)이 프로세싱 챔버(100)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은, 가스 포트들(125)로부터 유래하는 반응성 가스 A, 및 가스 포트들(135)로부터 유래하는 반응성 가스 B, 및 그 사이의 가스 포트들(145)로부터 유래하는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 다음의 전구체에 기판 표면(61)을 노출시키기 전에, 이전의 전구체로부터의 반응되지 않은 재료를 제거하도록 설계된다. 다양한 가스 스트림들(예를 들어, 반응성 가스들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은, 펌핑 시스템(150)에 의해 진공 포트들(155)을 통해 진공배기된다. 진공 포트가 각각의 가스 포트의 양 측들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측들 상에서 진공 포트들(155)을 통해 진공배기된다. 따라서, 가스 스트림들은, 각각의 가스 포트들로부터, 기판(60)의 제 1 표면(61)을 향하여 수직으로 하방으로 유동하고, 기판 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위에서 유동하고, 마지막으로, 진공 포트들(155)을 향하여 상방으로 유동한다. 이러한 방식으로, 각각의 가스는 기판 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표들(198)은 가스 유동의 방향을 표시한다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되면서, 회전될 수 있다. 기판의 회전은 형성된 층들에서의 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은, 연속적일 수 있거나 또는 불연속적인 단계들로 이루어질 수 있고, 기판이 가스 분배 어셈블리(30) 아래를 통과하고 있는 동안에, 또는 기판이 가스 분배 어셈블리(30) 전의 및/또는 후의 영역에 있는 경우에 발생할 수 있다.
[0034] 마지막 가스 포트에 대한 완전한 노출을 보장하기 위해, 가스 분배 어셈블리(30) 후에, 충분한 공간이 일반적으로 제공된다. 기판(60)이 가스 분배 어셈블리(30) 아래를 완전히 통과하였다면, 제 1 표면(61)은 프로세싱 챔버(100)에서의 모든 각각의 가스 포트에 완전히 노출된 것이다. 그 후에, 기판은 반대 방향으로 다시 운반되거나, 또는 앞으로(forward) 운반된다. 기판(60)이 반대 방향으로 이동하는 경우에, 기판 표면은, 제 1 노출과 역순으로, 반응성 가스 A, 퍼지 가스, 및 반응성 가스 B에 다시 노출될 수 있다.
[0035] 기판 표면(61)이 각각의 가스에 노출되는 정도는, 예를 들어, 가스 포트로부터 유래하는 각각의 가스의 유량들, 및 기판(60)의 이동의 레이트에 의해 결정될 수 있다. 일 실시예에서, 각각의 가스의 유량들은, 기판 표면(61)으로부터, 흡착된 전구체들을 제거하지 않도록 제어된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(100) 상에 배치된 가스 포트들의 수, 및 기판이 가스 분배 어셈블리를 횡단하여 통과되는 횟수가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 증착된 필름의 양 및 품질은 위에서-참조된 요인들을 변화시킴으로써 최적화될 수 있다.
[0036] 프로세스의 설명이, 가스 분배 어셈블리 아래에 포지셔닝된 기판을 향하여 하방으로 가스의 유동을 지향시키는 가스 분배 어셈블리(30)에 대해 이루어졌지만, 이러한 배향(orientation)은 상이하게 될 수 있음이 이해될 것이다. 몇몇 실시예들에서, 가스 분배 어셈블리(30)는 기판 표면을 향하여 상방으로 가스의 유동을 지향시킨다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "횡단하여 통과된(passed across)"이라는 용어는, 기판의 전체 표면이 가스 분배 플레이트로부터의 각각의 가스 스트림에 노출되도록, 기판이 가스 분배 어셈블리의 하나의 측으로부터 다른 측으로 이동된 것을 의미한다. 부가적인 설명의 부재 시에, "횡단하여 통과된"이라는 용어는, 가스 분배 어셈블리들, 가스 유동들, 또는 기판 위치들의 임의의 특정한 배향을 암시하지 않는다.
[0037] 몇몇 실시예들에서, 셔틀(65)은 기판(60)을 운반하기 위한 서셉터(66)이다. 일반적으로, 서셉터(66)는, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터(66)는, (도 1의 배열에 관하여, 좌측에서 우측으로의 그리고 우측에서 좌측으로의) 양 방향들로 이동가능하거나, 또는 (도 3에 관하여) 원형 방향으로 이동가능하다. 서셉터(66)는 기판(60)을 운반하기 위한 상단 표면(67)을 갖는다. 서셉터(66)는, 기판(60)이 프로세싱을 위해 가열될 수 있도록, 가열형 서셉터일 수 있다. 예로서, 서셉터(66)는, 서셉터(66) 아래에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항성 코일들, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
[0038] 또 다른 실시예에서, 도 2에 도시된 바와 같이, 서셉터(66)의 상단 표면(67)은 기판(60)을 수용하기 위한 오목부(recess)(68)를 포함한다. 일반적으로, 서셉터(66)는 기판의 두께 보다 더 두꺼우며, 그에 따라 기판의 아래에 서셉터 재료가 존재하게 된다. 몇몇 실시예들에서, 기판(60)이 오목부(68) 내에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67)과 같은 높이가 되도록 또는 실질적으로 동일 평면 상에 있도록, 오목부(68)의 크기가 정해진다(sized). 다르게 설명하면, 기판(60)이 내부에 배치될 때, 기판(60)의 제 1 표면(61)이 서셉터(66)의 상단 표면(67) 위로 돌출하지 않도록, 몇몇 실시예들의 오목부(68)의 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0039] 도 1은, 개별적인 가스 포트들이 도시된, 프로세싱 챔버의 단면도를 도시한다. 이러한 실시예는, 개별적인 가스 포트들의 폭이 가스 분배 플레이트의 전체 폭에 걸쳐 실질적으로 동일한 선형 프로세싱 시스템, 또는 개별적인 가스 포트들이, 파이 형상과 일치하기 위해 폭을 변화시키는 파이-형상 세그먼트일 수 있다. 도 3은, 파이-형상 가스 분배 어셈블리(30)의 일부를 도시한다. 기판은 이러한 가스 분배 어셈블리(30)를 가로질러서 호(arc) 형상 경로(32)로 통과될 것이다. 각각의 개별적인 가스 포트들(125, 135, 145, 155)은 가스 분배 어셈블리(30)의 내측 주변 에지(33) 근처에서 더 좁은 폭을 가지며, 가스 분배 어셈블리(30)의 외측 주변 에지(34) 근처에서 더 큰 폭을 갖는다. 개별적인 포트들의 형상 또는 종횡비는, 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비에 비례할 수 있거나, 또는 가스 분배 어셈블리(30) 세그먼트의 형상 또는 종횡비와 상이할 수 있다. 몇몇 실시예들에서, 개별적인 포트들은, 경로(32)를 따라 가스 분배 어셈블리(30)를 횡단하여 통과하는 웨이퍼의 각각의 지점이, 각각의 가스 포트 아래에서 대략 동일한 체류 시간을 갖게 되도록, 형상화된다(shaped). 기판들의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직적인 것을 의미한다. 파이-형상 가스 포트의 경우에, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다. 아래에서 추가로 설명되는 바와 같이, 개별적인 파이-형상 세그먼트들 각각은, 단일 반응성 가스를 전달하거나, 또는 다수의 반응성 가스들을 공간적으로 분리시켜서 또는 조합하여(예를 들어, 전형적인 CVD 프로세스에서와 같이) 전달하도록 구성될 수 있다.
[0040] 다수의 가스 주입기들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예를 들어, 도 4에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 분배 어셈블리들(30)(또한 주입기 어셈블리들이라고 불림) 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 가스 분배 어셈블리들(30)(또한 주입기 어셈블리들이라고 불림) 사이에 포지셔닝될 수 있다. 45°만큼 캐러셀의 서셉터(66)를 회전시키는 것은, 각각의 기판(60)이, 필름 증착을 위해 가스 분배 어셈블리(30)(또한 주입기 어셈블리라고 불림)로 이동되도록 초래할 것이다. 이는 도 4에서 도시된 위치이다. 부가적인 45°회전은 기판들(60)을 가스 분배 어셈블리들(30)(또한 주입기 어셈블리들이라고 불림)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 주입기들의 경우, 주입기 어셈블리에 관한 웨이퍼의 이동 동안에, 웨이퍼 상에 필름이 증착된다. 몇몇 실시예들에서, 서셉터(66)는, 기판들(60)이 가스 분배 어셈블리들(30)(또한 주입기 어셈블리들이라고 불림) 아래에서 정지하지 않도록 회전된다. 기판들(60) 및 가스 분배 어셈블리들(30)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 정수 배수이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기에서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0041] 도 4에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 발명의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 어셈블리들(30)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 발명의 범위를 제한하는 것으로 취해지지 않아야 한다는 것이 당업자에 의해 이해될 것이다. 도시된 가스 분배 어셈블리들(30)은 직사각형이지만, 가스 분배 어셈블리들이 도 3에 도시된 것과 같은 파이-형상 세그먼트들일 수 있다는 것이 당업자에 의해 이해될 것이다. 부가적으로, 각각의 세그먼트는, 동일한 세그먼트로부터 다수의 상이한 반응성 가스들이 유동하면서, 공간적인 타입 배열로 가스들을 전달하도록 구성될 수 있거나, 또는 단일 반응성 가스, 또는 반응성 가스들의 혼합물을 전달하도록 구성될 수 있다.
[0042] 프로세싱 챔버(100)는, 둥근 서셉터(66) 또는 서셉터 어셈블리로서 도시된 기판 지지 장치를 포함한다. 기판 지지 장치 또는 서셉터(66)는, 가스 분배 어셈블리들(30) 각각 아래에서 복수의 기판들(60)을 이동시킬 수 있다. 로드 락(82)은, 기판들(60)이 챔버(100) 내로 로딩되도록/챔버(100)로부터 언로딩되도록 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결될 수 있다.
[0043] 프로세싱 챔버(100)는, 복수의 가스 분배 어셈블리들(30) 각각 또는 복수의 가스 분배 어셈블리들(30) 중 임의의 것 사이에 포지셔닝된, 복수의 제 1 처리 스테이션들(80), 또는 제 1 처리 스테이션들(80)의 세트를 포함할 수 있다. 몇몇 실시예들에서, 제 1 처리 스테이션들(80) 각각은 기판(60)에 동일한 처리를 제공한다.
[0044] 처리 스테이션들의 수, 및 상이한 타입들의 처리 스테이션들의 수는, 프로세스에 따라 변화될 수 있다. 예를 들어, 가스 분배 어셈블리들(30) 사이에 포지셔닝된, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 또는 그 초과의 처리 스테이션들이 존재할 수 있다. 각각의 처리 스테이션들은 독립적으로, 처리 스테이션의 하나 걸러의 세트마다 상이한 처리를 제공할 수 있거나, 또는 동일한 타입 및 상이한 타입들의 처리들의 혼합이 존재할 수 있다. 몇몇 실시예들에서, 개별적인 처리 스테이션들 중 하나 또는 그 초과는, 다른 개별적인 처리 스테이션들 중 하나 또는 그 초과와 상이한 처리를 제공한다.
[0045] 도 5에 도시된 실시예에서, 제 2 처리 스테이션들(85)의 세트가 제 1 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 사이에 포지셔닝되며, 그에 따라, 챔버(100)를 통해 회전되는 기판(60)은, 기판(60)이 어디에서 시작하느냐에 따라서, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85) 중 임의의 것의 두 번째 것을 만나기 전에, 가스 분배 어셈블리(30), 제 1 처리 스테이션(80) 및 제 2 처리 스테이션(85)을 마주치게 될(encounter) 것이다. 예를 들어, 도 5에 도시된 바와 같이, 기판이 제 1 처리 스테이션(80)에서 시작되는 경우, 이는 순서대로, 두번째의 제 1 처리 스테이션(80)을 마주치기 전에, 제 1 처리 스테이션(80), 가스 분배 어셈블리(30) 및 제 2 처리 스테이션(85)을 만날(see) 것이다.
[0046] 처리 스테이션들은, 기판, 기판 상의 필름, 또는 서셉터 어셈블리에 임의의 적합한 타입의 처리를 제공할 수 있다. 예를 들어, 이는, UV 램프들, 플래시 램프들, 플라즈마 소스들, 및 가열기들이다. 그 후에, 웨이퍼들은, 가스 분배 어셈블리들(30)에 대한 위치들과, 예를 들어 웨이퍼에 플라즈마를 전달하는 샤워헤드에 대한 위치 사이에서 이동된다. 플라즈마 스테이션은 처리 스테이션(80)이라고 지칭된다. 하나 또는 그 초과의 예에서, 실리콘 질화물 필름들은, 각각의 증착 층 후에, 플라즈마 처리로 형성될 수 있다. 이론적으로, ALD 반응은, 표면이 포화되는 한, 자기-제한적(self-limiting)이므로, 증착 가스에 대한 부가적인 노출은 필름을 손상시키지 않을 것이다.
[0047] 캐러셀의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 이들이 주입기들 각각에 차례로 노출되도록, 계속 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 주입기 영역으로 이동되고 정지될 수 있고, 그 후에, 주입기들 사이의 영역(84)으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은, 웨이퍼들이 주입기-간(inter-injector) 구역으로부터 주입기를 횡단하고(또는, 주입기 근처에서 정지하고), 기판이 다시 멈출 수 있는 다음 주입기-간 영역으로 이동하도록, 회전할 수 있다. 주입기들 사이에서 멈추는 것은, 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0048] 몇몇 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은, 가스 분배 어셈블리들(30)로부터의 프로세싱 가스들의 이동이 가스 분배 어셈블리 영역들로부터 이동하는 것, 및 처리 스테이션들(80)로부터의 가스들이 처리 스테이션 영역들로부터 이동하는 것을 방지하거나 또는 최소화하기 위한 배리어를 생성한다. 가스 커튼(40)은, 개별적인 프로세싱 섹션들을 인접한 섹션들로부터 격리시킬 수 있는, 가스 및 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼(40)은 퍼지(또는 비활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼(40)은, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재하도록 하는, 퍼지 가스 및 진공 스트림들의 조합이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재하도록 하는, 진공 스트림들 및 퍼지 가스 스트림들의 조합이다. 도 4에서 도시된 가스 커튼들(40)은 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 각각 사이에 포지셔닝되지만, 커튼들은, 프로세싱 경로를 따르는 임의의 지점 또는 지점들에 포지셔닝될 수 있다는 것이 이해될 것이다.
[0049] 도 6은, 주입기들이라고 또한 지칭되는 가스 분배 어셈블리(220), 및 서셉터 어셈블리(230)를 포함하는 프로세싱 챔버(200)의 실시예를 도시한다. 이러한 실시예에서, 서셉터 어셈블리(230)는 강성 바디(body)이다. 몇몇 실시예들의 강성 바디는 0.05 mm 이하의 드룹(droop) 허용오차를 갖는다. 액추에이터들(232)은, 예를 들어, 서셉터 어셈블리(230)의 외측 직경 영역에서의 3개의 위치들에 배치된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 외측 주변 에지 및 내측 에지 각각 근처의 영역들을 지칭한다. 외측 직경은 서셉터 어셈블리(230)의 말단 외측 에지(예를 들어, 샤프트(240) 근처)에서의 특정 위치에 대한 것이 아니고, 서셉터 어셈블리(230)의 외측 에지(231) 근처의 영역에 대한 것이다. 이는, 도 6에서, 액추에이터들(232)의 배치로부터 볼 수 있다. 액추에이터들(232)의 수는, 1개로부터, 이용가능한 물리적인 공간 내에서 적합할 임의의 수까지 변화될 수 있다. 몇몇 실시예들은, 외측 직경 영역(231)에 포지셔닝된 액추에이터들(232)의 2개, 3개, 4개, 또는 5개의 세트들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "액추에이터"라는 용어는, 서셉터 어셈블리(230), 또는 서셉터 어셈블리(230)의 일부를 가스 분배 어셈블리(220)를 향하여, 또는 가스 분배 어셈블리(220)로부터 멀어지게 이동시킬 수 있는 임의의 단일 또는 다중-컴포넌트 메커니즘을 지칭한다. 예를 들어, 액추에이터들(232)은, 서셉터 어셈블리(230)가 주입기 어셈블리(220)에 대해 실질적으로 평행한 것을 보장하기 위해 사용될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 평행한"이라는 용어는, 컴포넌트들의 평행성이 컴포넌트들 사이의 거리에 관하여 5 % 초과만큼 변화되지 않는 것을 의미한다.
[0050] 액추에이터들(232)로부터 서셉터 어셈블리(230)에 압력이 가해지면, 서셉터 어셈블리(230)는 레벨링될(levelled) 수 있다. 액추에이터들(232)에 의해 압력이 가해지면, 갭(210)의 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위에 있도록, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있도록, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있도록, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있도록, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있도록, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있도록, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있도록, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있도록, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있도록, 또는 약 1 mm이도록, 설정될 수 있다.
[0051] 서셉터 어셈블리(230)는 가스 분배 어셈블리(220) 아래에 포지셔닝된다. 서셉터 어셈블리(230)는, 상단 표면(241), 및 선택적으로, 상단 표면(241) 내의 적어도 하나의 오목부(243)를 포함한다. 오목부(243)는, 프로세싱되고 있는 웨이퍼들(260)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 오목부(243)는 외측 주변 에지 주위에 스텝(step) 영역을 갖는다. 스텝들은 웨이퍼(260)의 외측 주변 에지를 지지하도록 크기가 정해진다. 스텝들에 의해 지지되는, 웨이퍼(260)의 외측 주변 에지의 정도(amount)는, 예를 들어, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 변화될 수 있다.
[0052] 몇몇 실시예들에서, 도 6에서 도시된 바와 같이, 서셉터 어셈블리(230)의 상단 표면(241) 내의 오목부(243)는, 오목부(243) 내에 지지되는 웨이퍼(260)가 서셉터 어셈블리(230)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(261)을 갖도록, 크기가 정해진다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있음을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0053] 도 6의 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(240)를 포함한다. 서셉터 어셈블리(230)는, 지지 포스트(240)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(240)는, 서셉터 어셈블리(230)를 대략적인 위치로 이동시켜서, 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 그 후에, 액추에이터들(232)은, 선택된 갭을 생성하기 위해, 서셉터 어셈블리의 위치에 대한 마이크로-조정들을 행할 수 있다.
[0054] 도 6에서 도시된 프로세싱 챔버(200)는, 서셉터 어셈블리(230)가 복수의 웨이퍼들(260)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버이다. 가스 분배 어셈블리(220)는 복수의 별개의 주입기 유닛들(221)을 포함할 수 있고, 각각의 주입기 유닛(221)은, 웨이퍼가 주입기 유닛(221) 아래로 이동됨에 따라, 웨이퍼(260) 상에 필름, 또는 필름의 일부를 증착할 수 있다. 도 7은, 캐러셀-타입 프로세싱 챔버(200)의 투시도를 도시한다. 2개의 파이-형상 주입기 유닛들(221)이, 서셉터 어셈블리(230) 위에 그리고 서셉터 어셈블리(230)의 대략적으로 대향하는 측들 상에 포지셔닝된 것으로 도시된다. 이러한 수의 주입기 유닛들(221)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 또는 더 적은 주입기 유닛들(221)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 어셈블리(230)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 주입기 유닛들(221)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 주입기 유닛들(221) 각각은, 다른 주입기 유닛들(221) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예를 들어, 로봇이 웨이퍼들(260)을 로딩/언로딩하기 위해 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 영역을 액세스하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0055] 도 8은, 서셉터 어셈블리(230)가 강성 바디가 아닌, 본 발명의 다른 실시예를 도시한다. 몇몇 실시예들에서, 서셉터 어셈블리(230)는, 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 드룹 허용오차를 갖는다. 여기서, 서셉터 어셈블리(230)의 내측 직경 영역(239) 및 외측 직경 영역(231)에 배치되는 액추에이터들(232)이 존재한다. 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 및 외측 주변부 주위의 임의의 적합한 수의 장소(place)들에 포지셔닝될 수 있다. 몇몇 실시예들에서, 액추에이터들(232)은, 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 3개의 위치들에 배치된다. 외측 직경 영역(231) 및 내측 직경 영역(239) 양자 모두에서의 액추에이터들(232)은 서셉터 어셈블리(230)에 압력을 가한다.
[0056] 이제, 도 9 내지 도 12를 참조하면, 본 발명의 하나 또는 그 초과의 실시예들은, 다이버터 및 서셉터 어셈블리와 함께 원형 가스 분배 어셈블리를 포함하는 프로세싱 챔버에 관련된다. 원형 가스 분배 어셈블리(220)(그 일부를 도 9에서 볼 수 있음)는 프로세싱 챔버 내에 포지셔닝되며, 그리고 가스 분배 어셈블리(220)의 전방 표면(225)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145)은, 가스 분배 어셈블리(220)의 내측 주변 에지(227)에 인접한 구역으로부터, 외측 주변 에지(228)에 인접한 구역을 향하여 연장된다. 도 9에 도시된 복수의 가스 포트들은, 제 1 반응성 가스 포트(125), 제 2 반응성 가스 포트(135), 제 1 반응성 가스 포트들 및 제 2 반응성 가스 포트들 각각을 둘러싸는 퍼지 가스 포트(145), 및 진공 포트들(155)을 포함한다.
[0057] 서셉터 어셈블리(230)는, 중심 축을 중심으로 실질적으로 원형의 경로로 적어도 하나의 기판을 회전시키기 위해 프로세싱 챔버 내에 포지셔닝된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 원형"이라는 용어는, 기판이 완전한(full) 회전을 완료하는 경우, 경로가 원형이 되도록 의도됨을 의미한다. 서셉터 어셈블리는, 내측 주변 에지(229) 및 외측 주변 에지(231)에 의해 정의되는, (도 8에 도시된 바와 같은) 상단 표면(241)을 갖는다. 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)의 상단 표면(241)이 가스 분배 어셈블리(220)의 전방 표면(225)을 향하도록, 가스 분배 어셈블리(220) 아래에 포지셔닝된다.
[0058] 도 10 내지 도 12에 도시된 다이버터(290)는 반응성 가스의 유동 방향을 변경하도록 포지셔닝되며, 그에 따라, 기판이 서셉터 어셈블리(230) 상에 있을 때, 반응성 가스는, 기판 표면에 대하여 약 90o 미만의 각도로 웨이퍼(260)의 표면(261)을 접촉한다. 각도는, 서셉터 어셈블리(230)에 대하여 회전 또는 방사상 방위로부터 측정된다. 전형적인 프로세싱 챔버에서, 가스 유동은 기판의 표면을 90o로 접촉하도록 의도된다. 여기에서, 다이버터(290)는, 가스 유동이 90o가 아니도록, 가스 유동이 비스듬해지게(skewed) 한다.
[0059] 다이버터(290)가 반응성 가스의 유동을 변경하는 방향은 달라질 수 있다. 몇몇 실시예들에서, 유동은, 회전 방향을 따라서(순방향으로), 회전 방향 반대로(역방향으로), 내측 주변 에지 쪽으로(내측으로) 또는 외측 주변 에지 쪽으로(외측으로) 지향된다(directed). 하나 또는 그 초과의 실시예들에서, 다이버터는 반응성 가스의 유동이 내측 및 역방향으로, 또는 내측 및 순방향으로, 또는 외측 및 역방향으로, 또는 외측 및 순방향으로 각을 이루게(angled) 한다.
[0060] 다이버터(290)는, 각진 애퍼처(angled aperture)들(291)을 포함시킴으로써, 또는 곧은(straight) 애퍼처들(291)(하지만, 각을 이루며 포지셔닝됨)을 사용함으로써, 유동 방향을 변경할 수 있다. 도 10은 가스 분배 어셈블리(220)의 전방 표면(225)에 포지셔닝된 다이버터(290)를 도시한다. 다이버터(290)는 전방 표면과 거의 동일 평면 상에 있도록 전방 표면(225)에 포지셔닝될 수 있거나, 또는 가스 포트(125, 135) 내에 포지셔닝될 수 있다.
[0061] 몇몇 실시예들에서, 다이버터(290)는 서셉터 어셈블리의 회전 방향을 따라서 가스의 유동을 변경한다. 가스가 전환될(diverted) 수 있는 각도는, 기판의 표면에 대하여 약 90o 미만의 임의의 각도일 수 있다. 몇몇 실시예들에서, 각도는 약 45o, 또는 50o, 또는 55o, 또는 60o, 또는 65o, 또는 70o, 또는 75o, 또는 80o 또는 85o 초과이다. 몇몇 실시예들에서, 각도는 약 45o 내지 약 89o의 범위, 또는 약 55o 내지 약 89o의 범위, 또는 약 70o 내지 약 89o의 범위이다.
[0062] 몇몇 실시예들에서, 다이버터(290)는 서셉터 어셈블리의 회전 방향 반대로 지향되도록 가스의 유동을 변경한다. 가스가 전환될 수 있는 각도는, 기판의 표면에 대하여 약 90 미만의 임의의 각도일 수 있다. 몇몇 실시예들에서, 각도는 약 45o, 또는 50o, 또는 55o, 또는 60o, 또는 65o, 또는 70o, 또는 75o, 또는 80o 또는 85o 초과이다. 몇몇 실시예들에서, 각도는 약 45o 내지 약 89o의 범위, 또는 약 55o 내지 약 89o의 범위, 또는 약 70o 내지 약 89o의 범위이다.
[0063] 몇몇 실시예들에서, 다이버터(290)는 서셉터 어셈블리의 내측 주변 에지 쪽으로 지향되도록 가스의 유동을 변경한다. 가스가 전환될 수 있는 각도는, 기판의 표면에 대하여 약 90o 미만의 임의의 각도일 수 있다. 몇몇 실시예들에서, 각도는 약 45o, 또는 50o, 또는 55o, 또는 60o, 또는 65o, 또는 70o, 또는 75o, 또는 80o 또는 85o 초과이다. 몇몇 실시예들에서, 각도는 약 45o 내지 약 89o의 범위, 또는 약 55o 내지 약 89o의 범위, 또는 약 70o 내지 약 89o의 범위이다.
[0064] 몇몇 실시예들에서, 다이버터(290)는 서셉터 어셈블리의 외측 주변 에지쪽으로 지향되도록 가스의 유동을 변경한다. 가스가 전환될 수 있는 각도는, 기판의 표면에 대하여 약 90o 미만의 임의의 각도일 수 있다. 몇몇 실시예들에서, 각도는, 약 45o, 또는 50o, 또는 55o, 또는 60o, 또는 65o, 또는 70o, 또는 75o, 또는 80o 또는 85o 초과이다. 몇몇 실시예들에서, 각도는 약 45o 내지 약 89o의 범위, 또는 약 55o 내지 약 89o의 범위, 또는 약 70o 내지 약 89o의 범위이다.
[0065] 다이버터(290)는 또한, 회전을 따라 또는 회전 반대로 및 내측 주변 에지 쪽으로 또는 외측 주변 에지 쪽으로의 조합들로 이전 방향들 중 임의의 방향을 따라서 가스의 유동을 변경할 수 있다.
[0066] 도 11은 가스 분배 어셈블리의 전방 표면(225)에 부착될 수 있는 다이버터(290)를 도시한다. 다이버터(290)는, 내측 주변 에지(293) 및 외측 주변 에지(294)를 갖는 본체(292)를 포함한다. 이러한 다이버터(290)는, 가스 유동의 각도가 단일 각도로 고정되도록 가스 분배 어셈블리의 전방 표면에 부착될 수 있거나, 또는 유동 방향을 변경하기 위해 다이버터가 경사지게 할 수 있는 제어기에 연결될 수 있다.
[0067] 도 12를 참조하면, 가스 분배 어셈블리(220)의 일부가 단면으로 도시되어 있다. 다이버터(290)는 반응성 가스 포트(125) 내에 포지셔닝된 것으로 도시되어 있다. 액추에이터(298)가 다이버터(290)의 외측 주변 에지(294)에 연결되며, 다이버터 제어기(299)와 전기 통신(electrical communication)을 한다. 단지 하나의 액추에이터(298) 만이 도시되어 있지만, 다이버터 제어기(299)는 다이버터(290)의 경사(tilt)에 대한 완전한(full) 제어를 제공하기 위해 임의의 수의 액추에이터들을 제어할 수 있다는 것이 이해될 것이다. 도 12에 도시된 실시예는, 다이버터(290)의 내측 주변 에지(293) 보다, 어셈블리(220)의 전방 표면(225)으로부터 더 연장하는, 다이버터(290)의 외측 주변 에지(294)를 갖는다. 이는, 다이버터를 통과하는 반응성 가스가 서셉터 어셈블리의 내측 주변 에지쪽으로 각을 이루게 할 것이다. 다이버터가 도면의 방위 내에 그리고 도면의 방위에 대해 수직으로 경사지도록 허용하는 부가적인 액추에이터들(298)이 포함될 수 있다.
[0068] 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안에 형성될 수 있다. 몇몇 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종을 촉진하기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄싱될(pulsed) 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 구역 내에서), 또는 원격으로(즉, 프로세싱 구역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이, 증착되는 필름과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 이를 테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예를 들어, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 튜닝될(tuned) 수 있다. 적합한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함하지만 이에 제한되지는 않는다. 본원에서 개시되는 증착 프로세스들 동안에 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되지 않을 수도 있음을 주목해야 한다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0069] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0070] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러한 하나의 스테이지형(staged)-진공 기판 프로세싱 장치의 상세사항들은, 1993년 2월 16일 발행되었으며 그 명칭이 "Staged-Vacuum Wafer Processing Apparatus and Method"인 Tepman 등의 미국 특허 제 5,186,718호에 개시되어 있다. 하지만, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함하지만 이에 제한되지는 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 필름을 증착하기 전의 산화 없이, 피해질 수 있다.
[0071] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0072] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예를 들어, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지는 않는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 포지셔닝된다.
[0073] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0074] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 챔버로서,
    상기 프로세싱 챔버 내에 포지셔닝된(positioned) 원형 가스 분배 어셈블리 ― 상기 가스 분배 어셈블리는 상기 가스 분배 어셈블리의 전방 면(front face)에 복수의 세장형(elongate) 가스 포트들을 포함하고, 상기 복수의 세장형 가스 포트들은, 상기 가스 분배 어셈블리의 내측 직경 영역(inner diameter region)으로부터 외측 직경 영역(outer diameter region)으로 연장하고, 상기 복수의 가스 포트들은, 상기 프로세싱 챔버에 반응성 가스를 전달하기 위한 반응성 가스 포트, 상기 프로세싱 챔버에 퍼지 가스를 전달하기 위한 퍼지 가스 포트, 및 상기 프로세싱 챔버로부터 가스들을 진공배기(evacuate)하기 위한 진공 포트를 포함함 ― ;
    회전 축을 중심으로 실질적으로 원형 경로로 적어도 하나의 기판을 회전시키기 위한, 상기 프로세싱 챔버 내의 서셉터 어셈블리 ― 상기 서셉터 어셈블리는, 내측 주변 에지(inner peripheral edge) 및 외측 주변 에지(outer peripheral edge)에 의해 정의되는 상단 표면(top surface)을 가지며, 상기 서셉터 어셈블리는, 상기 서셉터 어셈블리의 상단 표면이 상기 가스 분배 어셈블리의 전방 면을 향하도록(face) 상기 가스 분배 어셈블리 아래에 포지셔닝됨 ― ;
    상기 기판이 상기 서셉터 어셈블리 상에 있을 때, 상기 반응성 가스가 기판 표면에 대하여 90o 미만의 각도로 상기 기판의 표면을 접촉하도록 하기 위해, 상기 반응성 가스의 유동 방향을 변경하도록 포지셔닝되는 다이버터(diverter) ― 상기 다이버터의 본체의 애퍼처(aperture)를 갖는 표면은, 단면 방향에서 볼 경우 상기 원형 가스 분배 어셈블리의 상기 복수의 세장형 가스 포트들이 형성되는 표면에 대해 경사짐 ― ;
    상기 다이버터의 외측 주변 에지에 연결되는 복수의 액추에이터들; 및
    상기 다이버터의 경사에 대한 제어를 제공하기 위해 상기 복수의 액추에이터들을 제어하는 다이버터 제어기를 포함하는,
    프로세싱 챔버.
  2. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 회전 방향으로 각을 이루도록(angled) 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  3. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 회전 반대 방향으로 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 내측 주변 에지쪽으로 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 외측 주변 에지쪽으로 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  6. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 내측 주변 에지쪽으로 그리고 상기 서셉터 어셈블리의 회전 방향 반대로 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  7. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 외측 주변 에지쪽으로 그리고 상기 서셉터 어셈블리의 회전 방향을 따라 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  8. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 외측 주변 에지쪽으로 그리고 상기 서셉터 어셈블리의 회전 방향 반대로 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  9. 제 1 항에 있어서,
    상기 다이버터는, 상기 서셉터 어셈블리의 상기 내측 주변 에지쪽으로 그리고 상기 서셉터 어셈블리의 회전 방향을 따라 각을 이루도록 반응성 가스의 유동을 변경하는,
    프로세싱 챔버.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 각도는 70o 내지 89o의 범위인,
    프로세싱 챔버.
  11. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 다이버터는, 상기 반응성 가스 포트 근처에서 상기 가스 분배 어셈블리의 전방 면에 포지셔닝되거나, 상기 반응성 가스 포트 내로 삽입되는,
    프로세싱 챔버.
  12. 복수의 기판들을 프로세싱하는 방법으로서,
    가스 분배 어셈블리로부터의 반응성 가스의 유동에 기판들을 노출시키기 위해 상기 가스 분배 어셈블리의 전방 면 근처에서 복수의 기판들 각각을 통과시키도록 프로세싱 방향으로 서셉터 어셈블리를 회전시키는 단계; 및
    상기 반응성 가스의 유동이 기판 표면에 대하여 90o 미만으로 각을 이루도록(angle) 다이버터를 제어하는 단계를 포함하고,
    상기 다이버터의 본체의 애퍼처를 갖는 표면은, 단면 방향에서 볼 경우 상기 가스 분배 어셈블리의 복수의 세장형 가스 포트들이 형성되는 표면에 대해 경사지고,
    상기 다이버터를 제어하는 단계는, 상기 다이버터의 경사에 대한 제어를 제공하기 위하여, 다이버터 제어기에 의해 상기 다이버터의 외측 주변 에지에 연결되는 복수의 액추에이터들을 제어하는,
    복수의 기판들을 프로세싱하는 방법.
  13. 제 12 항에 있어서,
    상기 다이버터를 제어하는 단계는, 상기 반응성 가스의 유동이 상기 기판 표면에 대하여 70o 내지 89o의 범위로 각을 이루게 하는,
    복수의 기판들을 프로세싱하는 방법.
  14. 제 12 항에 있어서,
    상기 다이버터를 제어하는 단계는, 상기 반응성 가스의 유동이 상기 프로세싱 방향 반대로 각을 이루게 하는,
    복수의 기판들을 프로세싱하는 방법.
  15. 제 12 항에 있어서,
    상기 다이버터를 제어하는 단계는, 상기 반응성 가스의 유동이 상기 서셉터 어셈블리의 내측 주변 에지쪽으로 또는 상기 서셉터 어셈블리의 외측 주변 에지쪽으로 각을 이루게 하는,
    복수의 기판들을 프로세싱하는 방법.
KR1020167017058A 2013-11-26 2014-11-18 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들 KR102271731B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361909291P 2013-11-26 2013-11-26
US61/909,291 2013-11-26
US14/546,078 2014-11-18
US14/546,078 US20150147889A1 (en) 2013-11-26 2014-11-18 Tilted Plate For Batch Processing And Methods Of Use
PCT/US2014/066138 WO2015080900A1 (en) 2013-11-26 2014-11-18 Tilted plate for batch processing and methods of use

Publications (2)

Publication Number Publication Date
KR20160089508A KR20160089508A (ko) 2016-07-27
KR102271731B1 true KR102271731B1 (ko) 2021-06-30

Family

ID=53183024

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167017058A KR102271731B1 (ko) 2013-11-26 2014-11-18 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들

Country Status (6)

Country Link
US (1) US20150147889A1 (ko)
JP (1) JP6529973B2 (ko)
KR (1) KR102271731B1 (ko)
CN (1) CN105765697B (ko)
TW (1) TWI645065B (ko)
WO (1) WO2015080900A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10094023B2 (en) * 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP5938491B1 (ja) * 2015-03-20 2016-06-22 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
TWI723997B (zh) 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
JP6892439B2 (ja) * 2015-09-11 2021-06-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スロット付きグランドプレートを有するプラズマモジュール
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6809304B2 (ja) * 2017-03-10 2021-01-06 東京エレクトロン株式会社 成膜装置
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
FI129571B (en) * 2017-10-18 2022-04-29 Beneq Oy Nozzle head
CN111433887B (zh) * 2017-12-13 2023-09-29 应用材料公司 具有等离子体脉冲以防止电荷损坏的空间原子层沉积腔室
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
WO2019152514A1 (en) * 2018-01-30 2019-08-08 Applied Materials, Inc. Gas injector insert segment for spatial ald
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
CN109881181B (zh) * 2019-01-31 2021-05-18 长江存储科技有限责任公司 半导体处理设备
US11598004B2 (en) * 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
CN114402416A (zh) 2019-07-17 2022-04-26 朗姆研究公司 用于衬底处理的氧化分布调节
JP6987821B2 (ja) * 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN115190820A (zh) * 2019-12-18 2022-10-14 K·P·穆塞尔曼 用于薄膜沉积的设备和方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN113628988B (zh) * 2020-05-08 2024-08-09 台湾积体电路制造股份有限公司 半导体晶圆的制造方法及半导体制造设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US20120225195A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel With Continuous Rotation And Methods Of Use
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
JP2013168437A (ja) 2012-02-14 2013-08-29 Tokyo Electron Ltd 成膜装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340A (en) * 1853-12-20 Hand-loom
JPH02250973A (ja) * 1989-03-25 1990-10-08 Tokyo Electron Ltd 成膜装置
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
KR20060123906A (ko) * 2005-05-30 2006-12-05 삼성전자주식회사 하면이 웨이퍼에 대하여 경사진 샤워헤드를 갖는화학기상증착 장치
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR20100015213A (ko) * 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5093078B2 (ja) * 2008-12-03 2012-12-05 東京エレクトロン株式会社 成膜装置
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
DE112011104446B4 (de) * 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US20120225195A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel With Continuous Rotation And Methods Of Use
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
JP2013168437A (ja) 2012-02-14 2013-08-29 Tokyo Electron Ltd 成膜装置

Also Published As

Publication number Publication date
JP6529973B2 (ja) 2019-06-12
KR20160089508A (ko) 2016-07-27
US20150147889A1 (en) 2015-05-28
WO2015080900A1 (en) 2015-06-04
JP2016539506A (ja) 2016-12-15
CN105765697B (zh) 2020-03-17
TW201520363A (zh) 2015-06-01
CN105765697A (zh) 2016-07-13
TWI645065B (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
KR102563831B1 (ko) 캐러셀 증착 챔버를 위한 상단 램프 모듈
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
US20160215392A1 (en) Injector For Spatially Separated Atomic Layer Deposition Chamber
KR102396802B1 (ko) 낮은 열 버짓 프로세싱을 위한 순환적 스파이크 어닐 화학 노출
KR102412517B1 (ko) 이중층 ald를 사용한 정확한 임계 치수 제어
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant