JP2003529926A - プラズマ処理システム内への調整可能なガス注入のための方法及び装置 - Google Patents

プラズマ処理システム内への調整可能なガス注入のための方法及び装置

Info

Publication number
JP2003529926A
JP2003529926A JP2001573060A JP2001573060A JP2003529926A JP 2003529926 A JP2003529926 A JP 2003529926A JP 2001573060 A JP2001573060 A JP 2001573060A JP 2001573060 A JP2001573060 A JP 2001573060A JP 2003529926 A JP2003529926 A JP 2003529926A
Authority
JP
Japan
Prior art keywords
gas
nozzle
plate
wafer
plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001573060A
Other languages
English (en)
Other versions
JP2003529926A5 (ja
Inventor
ストラング、エリック・ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003529926A publication Critical patent/JP2003529926A/ja
Publication of JP2003529926A5 publication Critical patent/JP2003529926A5/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Abstract

(57)【要約】 【課題】 【解決手段】プラズマ処理システム(10、10’)内の調整可能なガスの注入を提供するための方法及び装置。この装置は、ガス注入マニホルド(50)を有しており、このマニホルドは、加圧可能なプレナムと、調節可能なノズルユニット(250)のアレイ、又は、調節不能なノズルユニット(502、602)のアレイとを有しており、これらのノズルを通って、プレナムからのガスは、プラズマ(41)を収容するプラズマ反応チャンバ(14)の内部領域(41)内に流れ得る。前記調節可能なノズルユニットは、ノズルのボア(166)内に配置されるノズルプラグ(160)を有している。このノズルプラグは、通過するガスの流れを調節するように軸方向に移動可能である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、プラズマ処理システム、特に、このようなシステム内での調整可能
なガス注入を提供するための方法及び装置に関する。
【0002】
【従来の技術】
集積回路(IC)の製造の全体に影響を与える重要なファクターの1つは、エ
ッチング速度、及び/又は、堆積速度である。特に、限界のディメンジョンが、
減少し続け、セルフアラインメントコンタクト(self align con
tact)のような様々な特徴の各々のアスペクト比が、増大し続けているため
、高アスペクト比(HAR)のベア及びコンタクトの底部に、エッチング反応種
、及び/又は、堆積材料を移動する(及び、エッチングの生成物を除去する)技
術は、本質的に困難になりつつある。これは、主に、中性流の方向性(dire
ctionality)の欠如のためである。例えば、エッチングの利用におい
て、エッチング反応物を、高アスペクト比のセルフアラインメントコンタクト(
HAR SAC)の底部に移動するための改良された方法が、技術の持続のため
に不可避である。IC製造処理の質に寄与する第2の重要なファクターは、処理
の選択性である。例えば、特定のエッチングの利用において、1つの特定された
材料を、(フォトレジストのマスク等のような)存在する他の材料よりも、実質
的に速い速度でエッチングすることが、所望である。ICの生産及び全体の品質
を決定する第3の重要なファクターは、基板の表面で生じる半導体製造処理(例
えば、膜のエッチング、及び/又は、堆積)の均一性である。ウェハの処理シス
テムで、材料の堆積又は除去の速度、選択性、並びに、均一性は、反応炉全体の
設計により支配される。首尾良いICの製造について、上述した重要なファクタ
ーに寄与し得る、システム全体の設計における重要な要素は、ガス供給システム
、特に、ガスをプラズマ反応チャンバの内部に供給するように使用されるガスの
ノズルの設計である。
【0003】 半導体基板上のICの処理のためのシステムの1つは、典型的に、真空チャン
バと、このチャンバ内でウェハを支持するためのペデスタルと、RF電力を前記
真空チャンバ内のプラズマに結合するようなRF電力発生装置と、前記チャンバ
にガスを供給するためのガス注入システムとを有している。反応炉が、誘導性結
合反応炉の場合には、前記チャンバの周りに、プラズマRF電力ソースに接続さ
れているコイルアンテナを有し得る。逆に、反応炉が、容量性結合反応炉の場合
、基板に対面し、プラズマRF電力ソースに接続されている追加の平行平板電極
を有し得る。さらに、ウェハのペデスタルは、また、同じ、あるいは、分離され
ているRF電力ソースに接続され得る。他のタイプのプラズマ反応炉で、前記反
対側で対面する平行電極、又は、前記コイルアンテナがなく、前記プラズマRF
電力ソースは、前記ウェハのペデスタルのみに接続している。追加のプラズマソ
ースが、マイクロ波の電力が、プラズマと結合する電子サイクロトロン共鳴(E
CR)ソースであり得る。どの場合でも、反応炉のガス注入システムは、1以上
のガスの分配装置を有している。複数のガス分配装置が、利用される場合、各々
は、典型的に、チャンバ内の異なった領域にガスを供給するように、反応炉の別
個の部分に配置されている。
【0004】 利用される前記ガス供給装置は、行われる処理の特定の要求に応じて決定され
る。通常、ガス状の種は、複数の小さなオリフィス(ボア)を有している「シャ
ワーヘッド状の(showerhead)」ガス注入プレートを通って前記真空
チャンバ内に入る。典型的には、前記ボアは、典型的に0.5ないし1mmの特
恵の一定の面積の円形ダクトである。1つの前記注入プレートは、数100から
数1000のボアを有し得る。ガスの導入における噴出(effusive)の
性質による、これらボアを通る流れの2つの明らかな特徴は、特定の方向への非
常に小さな「体積(bulk)」速度(即ち、ガス分子は、高速で所望の方向に
集団で移動しない)と、方向性(directivity)の全体的な欠如とで
ある。本質的に、ガスは、基板表面上に「注がれる(shower)」。
【0005】 改善された処理の均一性を達成するために、入口での質量流の、及び/又は、
ガス種の空間分布を調節し、結果として生じる中性流の圧力場、及び、他のパラ
メーター(即ち、RF場)に関連している流れの力学を、固有の非均一性を相殺
するように調節することが必用である。
【0006】 従来技術で、質量流の分布を調節する多くの方法は、典型的に、次の2つのカ
テゴリーに属している。a)ボアの面積又はボアの数密度の空間分布の調節、従
って、Aの調節、b)ボアの質量流量又はρVの調節。上述したように、第1の
方法は、ボアの面積又はボアの数密度の空間分布を含んでいる。米国特許No.
4,780,169を含んでいる幾つかの特許、及び、日本国特許出願No.2
−198138、6−204181、60−46029を含んでいる日本国特許
庁に出願された幾つかの特許が、第1の方法を採用している。
【0007】
【発明が解決しようとする課題】
しかしながら、第1の方法には欠点がある。例えば、別々の注入プレートが、
試験される各分布について機械加工されなければならず、真空又は低圧環境を解
除することなしに調節され得ない。第2の方法を考察すると、米国特許No.5
,683,517は、個々のボア又はボア集団への質量流量の分布を調節するよ
うな、プログラムによって制御可能なガス流の仕切り部材(divider)を
使用する方法を開示している。他の米国特許が、米国特許No.5,853,4
84及び5,269,847である。これら発明の各々は、複数のサブボアへの
質量流量の調節を含んでおり、また、これら全ては、質量流量の分布をその場で
調節する性能がある。しかしながら、この設計は、ガス注入の相当に複雑で高価
な配管の配置を生じ得る。
【0008】 第2のタイプのガス注入装置は、様々な処理作動(例えば、プラズマ化学気相
成長)中、典型的にはウェハの高さの付近での、反応炉の側壁からチャンバ内へ
のガスの径方向への注入を行う。この径方向へのガス分配装置は、単独で、又は
、他のガス分配装置、例えば、上述した所謂シャワーヘッドのタイプのガス供給
ノズルと組み合せて使用され得る。上述した2つの装置のいずれにおいても、ガ
ス注入は、方向性、特に基板の表面に垂直な方向への方向性を欠いている。これ
は、ICの製造時、高アスペクト比の深いトレンチ又はベア内での、中性原子/
分子/基(radical)の堆積を阻害する。
【0009】 強い方向性を有するガスジェットを生じる方法の1つは、ガスが、高圧の領域
から低圧の領域へ広げられ、基板に向かって加速されているとき、ガスの広がる
割合を制約するように適切に設計されているガスのノズルを使用することである
。従来技術は、プラズマ反応炉のような半導体装置内で使用されるようなガスの
ノズルを開示している。例えば、米国特許No.5,885,358(’358
特許)は、プラズマ反応炉内にガスを注入するようなガス注入システムを開示し
ている。この反応炉は、側壁を備えている真空チャンバと、処理される半導体ウ
ェハを支持するためのペデスタルと、前記チャンバ内にRF電力を適用するRF
電力適用装置とを有している。前記ガス注入システムは、ガスを収容する少なく
とも1つのガス供給装置と、チャンバの内部領域に面しているすくなくとも1つ
の細長いアパーチャを備えているガス分配装置と、前記ガス供給装置に接続し、
前記ガス分配装置に供給する1以上のガス供給ラインとを有している。径方向へ
ガスを分配する装置の好ましい実施形態は、チャンバの側壁内に配置されており
、また、チャンバの内部に面している細長いアパーチャを各々が備えている複数
のガス分配ノズルを有している。前記ガス供給ラインは、夫々、各ガス分配ノズ
ルをガス供給装置の別の1つに接続しているように使用される。しかしながら、
このシステムの欠点は、基板の表面に垂直な入射角で基板に近づくガスの原子又
は分子の統計的確率を高めるように、ガスが、ウェハの表面に最適に向けられて
いないことである。さらに、このシステムは、ガスが、方向性を有しているガス
流を実現するように、ノズルを通って導入され又は広げられるような手段に注意
を向けず、ガスの注入の方向性を調整するような技術を論じるよう試みることも
ない。
【0010】 米国特許No.5,746,875は、プラズマ反応真空チャンバ内にガスを
注入するためのガス注入装置の発明の実施形態を開示しており、このチャンバは
、チャンバ容器と、処理されるワークピースを支持するペデスタルと、RFエネ
ルギーを前記チャンバ内に適用する装置と、ガス内のエッチング種を収容してい
るガス供給装置を備えているガス注入装置と、前記チャンバ容器の開口と、前記
チャンバの内部に面している少なくとも1つの細長いアパーチャ、及び、これら
1以上の細長いアパーチャからのガスの流速を制御するような装置を備えている
、このチャンバ容器の開口内に配置されているガス分配装置と、前記供給装置か
ら前記ガス分配装置へのガスの供給ラインとを有している。好ましい一実施形態
で、前記ガス分配装置は、少なくとも1つの環状部材で囲まれている中心部材を
有しており、これらの間に隙間が存在しており、この隙間が、前記細長いアパー
チャである。好ましくは、前記ガス分配装置の各々の部材は、エッチング種の衝
突から少なくともあまり影響を受けない材料で作られている。一例において、前
記ガス分配装置の各々の部材は、セラミック材、融解石英材、重合体(poly
meric)、並びに、陽極酸化されているアルミニウム材の1つで作られてお
り、前記ガス供給ラインは、ステンレス鋼で作られている。好ましくは、各々の
部材は、前記ガス分配装置に組み立てられる前にポリシングされた表面を有して
いる。しかしながら、前述した’358特許のように、このシステムの欠点は、
基板の表面に垂直な入射角で基板に近づくガスの原子又は分子の統計的確率を高
めるように、ガスが、ウェハの表面に最適に向けられないことである。さらに、
このシステムは、ガスが、方向性を有しているガス流を実現するようにノズルを
通って導入され、又は、広げられるような手段に注意を向けず、ガス注入の方向
性を調整するような能力を論じることもない。
【0011】 米国特許No.5,286,331(’331特許)は、超音速分子ビームに
よるエッチングにおいて、前置されている分子(precursor mole
cule)との化学反応を介して大きな内部エネルギーを有しているエッチング
ガス分子を生じ、反応チャンバ内にエッチングガス分子のクラスターを形成し、
エッチングガス分子及びエッチングガス分子のクラスターをノズルを通して真空
内に広げ、分子及び分子のクラスターを基板の方向に向けることにより、エッチ
ングガスと基板の表面との反応性が、どのように改善されるか開示している。分
子及び分子のクラスターの移動のエネルギーは、不活性ガス分子をシーディング
することにより改善され得る。この処理は、改善されている制御可能性、表面の
清浄さ(purity)、並びに、エッチングの選択性及び異方性を提供する。
エッチング分子は、また、クラスターを生じるように(チャンバ内で反応せずに
)直接広げられ得、クラスターの移動のエネルギーは、シーディングガスと共に
広げられることを介して増大され得る。しかしながら、このシステムの欠点は、
幾つかある。第1に、この発明は、超高真空(10−8ないし10−14Tor
r以下のチャンバの圧力の範囲)内へガスを広げ、中性ビームによるエッチング
について使用される超音速分子ビームを生じるように、1つのガス注入ノズルを
使用している。第2に、このノズルシステムの設計は、約10mTorrより大
きいチャンバの圧力で、通る流れを著しく妨害するスキマー(skimmer)
を有している。さらに、従来のポンプ技術では、均一な処理を生じるのに必用な
複数のノズルについて、チャンバを上述した圧力まで排気し得ない。
【0012】 米国特許No.5,108,535は、ドライエッチング装置を開示しており
、この装置は、ガスプラズマが、放電によって生成される放電室と、プラズマガ
スを注入するような注入ノズルと、プラズマガスが、この注入ノズルを通って、
プラズマガスの超音速の広がりとして導入される第1の真空ルームと、超音速の
分子流を引き出すようなスキマーを備えている第2の真空ルームとを有しており
、このプラズマガスの超音速分子流は、第2の真空ルーム内に入れられ、エッチ
ングされる材料に吹きつけられる。しかしながら、’331特許で示されている
適用と同様に、多数の同じ欠点が、中性ビームによるエッチングのために設計さ
れているそのようなシステムに備わっている。
【0013】 本発明のガス流のマニホルドの設計の利点をより理解するために、等方性の連
続体であるガス流のチョーキングと、超音速のガスジェットを生成するようなノ
ズルユニットの設計とのコンセプトを理解することが役立つ。図1を参照すると
、従来の収束し発散するラバールノズル800が、示されており、このノズルは
、ガスの入口領域810と、中央に位置している狭い喉部820と、ガスの出口
領域830とを備えている、砂時計の断面形状のボアを有している。また、ガス
の入口の全圧は、Pであり、喉部の圧力は、Pであり、ガスの出口の圧力は
、Pであり、領域840内のプラズマ反応チャンバの圧力は、Pである。
【0014】 前記ノズル800が、チョーキング状態にされているとき、マッハ数M(音速
に対する局所的な速度の比)は、ノズルの前記喉部820で1である。ガスの流
れが、いったん前記喉部820で音速となると、(面積の拡大に対して減速する
亜音速流と異なり)面積の増大に対して超音速(M>1)まで加速される。この
ような状態で、喉部の後方に発散している壁を有しているノズルは、流れを超音
速まで加速する。流れが、いったん超音速になると、(圧力波の伝播の線(ra
y)によって規定されるような)流れの特性線(characteristic
)は、実数(real)となり、マッハ波(膨張)及び衝撃波(圧縮)として認
識できる。このような波の伝播の方向は、影響を受けるドメイン(domain
of influence)に限定されており、完全なドメイン内の点は、そ
の点の下流の、その点で交差している左右に走っている特性線を境界としている
領域にのみ影響を与え得る。従って、M>1のとき、圧力波は、ノズルを通り上
流に伝播し得ず、(ガスの入口の全圧Pが、一定に保たれているとき、)流入
する流れ、即ち、体積流速又は質量流速に影響を与え得ない。
【0015】 一定の断面積を有しているノズル(即ち、まっすぐな円筒形ボア)については
、ガスの出口の圧力Pは、周囲のチャンバの圧力Pよりも大きい(数オーダ
ーの大きさだけ、実質的に大きい)。事実、発散しているノズルのセクションが
、利用されているとき、広げられているガスの(即ち、チャンバの圧力まで完全
に広げられていない)状態、あるいは、絞られているガスの(即ち、チャンバの
圧力を越えて広げられている)状態を生じ得る。後者の状態は、通常、ガスのノ
ズル内に強い垂直衝撃波を生じる。代って、広げられている状態では、ボアを出
たガスは、真空チャンバ内に自由に広がる。しかしながら、ボアの開口部の出口
近くの壁から反射された膨張波は、合体して樽形の衝撃波(barrel sh
ock)を形成し、その後、衝撃波は、ボアの出口の平面から短い距離だけ下流
に(圧力比P/Pに応じ、100から200のオーダーの圧力比について、
ノズルの直径の10倍のオーダーであり得る)、マッハディスク(Mach d
isk)を生成する。面積比及びノズルの外形を注意深く設計することによって
のみ、平行にされている均一なガス流の、圧力がマッチされている状態を達成し
得る。
【0016】 多くのプラズマ反応システムの利用について、ガスが注入される、プラズマ反
応チャンバのチャンバの内部領域840の低圧環境は、典型的に、1<P<1
000mTorrの範囲である。同様に、ガスの入口の全圧Pは、典型的に、
0.1<P<100Torrの範囲である。これら圧力範囲にわたって、ガス
の力学は、局所的な圧力のクヌーセン数(Kn)に応じて、さらに、その結果と
しての連続体の流れから自由分子流(比較的大きなKnの結果)への遷移のため
に、充分に変化し得る。定義から、クヌーセン数は、ガス原子(又は、分子)の
衝突の平均自由行程を、流れの特徴的な長さのスケールに関連させる無次元のパ
ラメーターである。本発明で、適切な長さのスケールは、ノズルの直径、あるい
は、流れのマクロな性質が、充分に変化する軸方向の長さである。
【0017】 上述した圧力の上方の境界(P>〜10ないし100Torr)では、約0
.5mmのオーダーのボアの半径を有しているノズルを通るガス流は、連続体の
流体としてふるまうのに充分な回数の衝突を行う。即ち、原子又は分子の平均自
由行程が、流れの特徴的な長さスケールよりもずっと小さい、又は、Nn<<1
である。さらに、クヌーセン数は、ノズルからの連続体の流れが、等方的である
と考えられ得るほど充分小さい(そして、レイノルズ数は、充分大きい)。この
ような状態で、ガスのノズルは、上述したのと同様にふるまう。
【0018】 しかしながら、前記ガスの出口領域830での圧力がマッチされている状態に
ついて、低圧での適用に関しては、前記ノズル800を通して増大しているKn
のために、遷移流の効果が観察されると考えられる。例えば、ガスが、面積の増
大につれて広がっている場合、圧力は、減少し、また、Knは、増大する。即ち
、ガス原子(又は、分子)の衝突間の平均自由行程は、ノズルの特徴的な長さス
ケールに匹敵する程度まで大きくなる。通常、Knは、遷移領域(即ち、0.0
1<Kn<1)に入るだろうし、また、ガスは、前記ノズル800から、自由分
子流として発せられ得る。前記ノズルの出口領域830での平均自由行程は、衝
撃波が生じ得るスケールより大きくなっているので、この現象は、ガスの加速に
有益であり得る。
【0019】 上述した圧力範囲の中間(0.5<P<5ないし10Torr)で、粘性は
、前記ノズル800を通るガスの流れにおいて、増大する役割(growing
role)を果す。結局、連続体の等方的な流体として扱われ得る流れの場の
領域は、存在しない。おおよそ、この圧力領域にわたって、ノズルからのガスの
流れは、効果的な分子流に遷移する。低圧で、ノズル800内で生じるガス/分
子の衝突は、ガス流が、自由分子流としてのふるまいを示す場合よりも頻繁にな
るだろう(そして、マクロな性質は、連続体という意味で、もはや流れとしての
性質を相応に示し得ない)。
【0020】 従って、比較的速い質量流速、即ち、500から1000sccm以上の質量
流速が、達成されているとき、ソースの比較的大きな全圧が、達成され得る。半
導体処理において、このような状態で作動をする利点は、処理される基板に当た
る前に、合流(coalesce)とされ得る、高い方向性を有しているガスジ
ェットが、生成され得ることである。さらに、ガスジェットは、ガスの出口領域
830で、自由分子流に遷移するように設計され得る。そうすると、広がってい
くガスは、基板の面に名目上は垂直な方向の、(数回の衝突を有している)超音
速ビームとなる。実験的な測定及び理論的予測(直接的なモンテカルロシミュレ
ーション、DSMC(Direct Simulation Monte Ca
rlo))が、連続体の流れから自由分子流のふるまいへの遷移を分析するよう
に利用され得る。
【0021】
【課題を解決するための手段】 本発明は、プラズマ処理システム、特に、このようなシステムで、調整可能な
ガスの注入を与えるための方法及び装置に関する。 本発明は、ノズルのガスの出口の圧力を、その周囲のチャンバの圧力に対して
調節するように、ノズル内部のガス流を調節し得る空間的に設計されている「調
整可能な(tunable)」ノズルのアレイを通る、ガスの超音速の広がりを
可能にしている装置及び方法である。ガスのノズルの構造の一部は、ノズルプラ
グの位置を、ノズルのボアを通して、共通の軸に沿って移動することを可能にし
ており、従って、ノズルを通るガスの広がりを制御する方法を提供している。そ
うすることで、ノズルの出口において、広げられている状態、絞られている状態
、又は、圧力がマッチされている状態が、達成され得る。上述した状態は、前記
ノズルの出口における、発散しているガスジェット、収束しているガスジェット
、平行にされているガスジェットに夫々対応している。
【0022】 本発明は、また、1つのボア又はボア集団を通る質量流速の、別の1つのボア
又はボア集団に対する調節を可能にしている装置及び方法であり、複数のボア又
は複数のボア集団は、ガスの注入のためのボアのアレイを形成している。前記ノ
ズルプラグの位置は、最小の面積(即ち、ノズルの喉部の面積)を変化させるよ
うに、即ち、質量流速を変化させるように調節され得る。
【0023】 本発明は、また、空間的に設計されている「調整可能な」又は「調節可能な(
adjustable)」ノズルのアレイを通る、ガスの広がりと質量流速との
両方の調節を可能にしている装置及び方法である。さらに、ガスの注入システム
は、基板の上方の異なった領域に近接するガスの性質に影響を与え得ることが示
されている。
【0024】 従って、本発明の第1の態様は、プラズマ処理装置内で、ガス流を調節可能に
制御するためのガス注入マニホルド装置である。この装置は、少なくとも1つの
貫通アパーチャが形成されているバックプレートを有しており、このバックプレ
ートは、上面と、下面と、第1及び第2の端部とを有している。上面と、下面と
を有しているプラグプレートが、前記バックプレートの近くで前記バックプレー
トに平行に配置されている。前記プラグプレートは、互いに離隔している複数の
貫通ボアと、前記プラグプレートの下面から延びている対応している複数のノズ
ルプラグとを有している。前記装置は、上面と、下面と、第1及び第2の端部を
備えている注入プレートをさらに有している。この注入プレートは、前記プラグ
プレートの下面の近くに配置されており、変位アクチュエータによって、互いに
離隔して移動可能に配置されている。前記注入プレートは、複数の貫通ボアを有
しており、各ボアは、ボアの中心軸を有している。前記注入プレートは、複数の
接続部材を介して、前記バックプレートに接続されている。前記ノズルプラグが
、夫々の前記貫通ボア内に前記ボアの中心軸に沿って移動可能に延ばされ、従っ
て、ガスの入口領域と、喉部と、ガスの出口領域とを各々が有する複数の調節可
能なノズルユニットを形成するように、前記注入プレートは、配置されている。
適切な前記ノズルユニットの様々な好ましい実施形態は、以下で詳細に示されて
いる。前記背面プレートの下面と、前記注入プレートの上面と、前記接続部材と
は、プロセスガスが、供給される加圧可能なプレナムを形成している。さらに、
前記変位アクチュエータは、前記加圧可能なプレナムから前記ノズルユニットを
通りプロセスチャンバ内に到るガス流を調節するよう、前記貫通ボア内の前記喉
部の位置及び大きさを変化させるように調節可能である。 本発明の第2の態様は、上述した注入マニホルドを有しているプラズマ処理シ
ステムである。
【0025】 本発明の第3の態様は、プラズマを維持し得る内部領域を備えているチャンバ
を有しているプラズマ反応システム内で、ウェハを処理する方法である。この方
法は、ウェハの近くに配置され、ガス流を制御し得る調節可能な複数のノズルユ
ニットを有している、ガス注入マニホルドを与える第1の工程を有している。次
の工程は、前記ガス注入マニホルドにガスを流す工程である。最後の工程は、1
以上の前記調節可能なノズルユニットからのガス流の状態が、圧力がマッチされ
ている状態と、広げられている状態と、絞られている状態との1つであるように
、1以上の前記ノズルユニットを調節することによって、前記注入マニホルドか
ら前記チャンバの内部領域内への、ウェハに向かうガス流を調節する工程である
【0026】
【発明の実施の形態】
本発明は、プラズマ処理システム、特に、このようなシステム内で、調整可能
なガスの注入を与えるための方法及び装置に関する。 半導体デバイスの製造におけるウェハの処理で、ウェハの表面に対する垂直な
入射角からのガス分子の方向性のずれは、ICを製造するときに行われる大きな
アスペクト比の処理の質を減じることが知られている。増大されているガスのス
ピードと、ガス流の強い方向性とは、ICの製造で使用される大きいアスペクト
比の深いトレンチ又はベア内の、材料のエッチングと堆積との両方の質を向上す
る。
【0027】 図2を参照すると、本発明の誘電性結合プラズマ(ICP)反応システム10
が、プラズマ反応チャンバ14を有しており、このチャンバは、上壁20と、内
面28を備えている下壁26と、側壁30及び34と、プラズマ41を収容し得
るチャンバの内部領域40とを有している。前記システム10は、処理される一
面44Sを備えている半導体ウェハ44を支持するための、ペデスタルの表面4
2Sを備えているウェハのペデスタル42を有している。前記システム10は、
ほぼ平らな下面50Lを備えているガス注入マニホルド50をさらに有しており
、このマニホルドは、前記平らな下面50Lが、前記ペデスタルの表面42Sに
ほぼ平行な状態で、前記内部領域40内に配置される。前記ガス注入マニホルド
50の設計及び作動は、以下で詳細に示されている。前記ガス注入マニホルド5
0に作動的に接続されている(operatively connected)
アクチュエータ制御ユニット180が、このマニホルドに関連しており、以下で
詳細に示されている。
【0028】 誘電的に稼動されるシステム10について、このシステムは、プラズマを生成
し維持することを通常目的として、マッチングネットワーク57を通じてRFソ
ース56と電気的に接続し、前記反応チャンバ14に巻き付いているRFコイル
アンテナ52を有している。前記システム10は、ウェハ44に印加することを
通常目的として、マッチングネットワーク61を通じて前記ウェハのペデスタル
42に電気的に接続しているRF電力ソース60を有している。しかしながら、
他の電力ソースの形態が、利用され得る。例えば、前記誘電コイルアンテナ52
は、4分の1波長又は半波長の螺旋状共振器であり得、ここでコイルは、一方の
端部で接地されており、他方の端部で解放され、接地されている端部の近くで、
マッチングネットワークを通じてRF発生装置に接続される。前記システム10
は、容量性結合のシステムでもあり得、ここで前記ガス注入マニホルド50は、
上部電極内に収容されており、また、前記ウェハのペデスタル42は、下部電極
として働き、そして、これら上部及び下部電極は、平行平板放電反応炉に相当し
ている。各電極は、以下で示されている独立なマッチングネットワークを通じて
、分離されているRF発生装置により稼動され得る。
【0029】 前記システム10は、真空ポンプシステム66と、前記チャンバの内部領域4
0内のガスの圧力を制御するようなスロットルバルブ(図示されていない)とを
さらに有している。加えて、前記システム10は、ガス供給ライン74を通じて
、前記ガス注入マニホルド50に気体連通(pneumatic commun
ication)しているガス供給システム70を有している。前記ガス供給シ
ステム70は、ウェハ44の処理で使用されるAr、He、H、O、Cl 、CF、C、SF等(又は、これらの混合物)のようなガスを供給す
る。さらに、前記システム10は、このシステム10の全体の作動を制御するよ
うに、前記ガス供給システム70と、前記RF電力ソース56と、前記RF電力
ソース60と、前記アクチュエータ制御ユニット180と、前記真空ポンプシス
テム66とに電気的に接続している制御ユニット80を有している。
【0030】 図3を参照すると、容量性結合プラズマ(CCP)反応システム10’は、図
2の誘電的に稼動されるシステム10と同じ部材を多く有しているが、前記誘電
コイルアンテナ52を有していない。逆に、前記システム10'は、名目上平ら
な上部電極装置90を有しており、その下面50Lは、前記下部電極即ち前記ウ
ェハのペデスタル42の上面42S(そして、ウェハの表面44S)にほぼ平行
である。前記上部電極装置90は、上部の導電平板部材92と、導電側方部材9
3と、下部の導電平板部材94と、前記導電側方部材93とチャンバの側壁34
との間に配置されている誘電(絶縁)部材96とを有している。前記上部電極装
置90は、前記ガス注入システム50を収容している。RF電力は、前記RF発
生装置56から、RFマッチングネットワーク57を通じ、RF伝達供給部97
を介して前記上部電極90に供給される。システム10のように、前記ガス供給
システム70からのガスが、ガスの導管74を通じて前記上部電極90に導入さ
れる。前記上部電極90と、システム10’において下部電極として働く前記ウ
ェハのペデスタル42とは、容量性放電反応炉についての平行平板電極を構成し
ている。
【0031】 調整可能なガス注入マニホルド 図4を参照し、第1の実施形態に従うガス注入マニホルド50の設計及び作動
が、詳細に示される。前記ガス注入マニホルド50は、中心のアパーチャ104
、上面110、並びに、下面114を備えているバックプレート100と、円筒
内面118及び円筒外面120を備えている円筒側壁116と、まっすぐな壁で
囲まれているあるいは所定の外形を有している複数のボア166、上面134、
下面136、並びに、側面137a及び137bを備えている注入プレート12
4とを有している。前記バックプレート100の前記下面114は、前記注入プ
レート124の前記上面134と名目上平行である一方、前記円筒側壁116の
前記円筒内壁118は、前記下面114及び前記上面134に名目上垂直な中心
軸を規定している。本実施形態は、円筒形のシステムを示しているが、長方形の
システム、又は、他の複数の側面を有するシステムへの拡張が、制限されるわけ
ではないことを記しておく。前記円筒側壁116(前記プラグプレートと前記注
入プレートとを接続する部材として働いている)の前記円筒内面118と、前記
パックプレート100の前記下面114と、前記注入プレート124の前記上面
134とは、全体で、加圧可能な容積(プレナム)150を規定している。好ま
しい一実施形態で、前記バックプレート100、前記壁116、並びに、前記注
入プレート124は、一体の構造を構成している。
【0032】 前記プレナム150内で、前記ガス注入マニホルド50は、前記バックプレー
ト100と、前記注入プレート124とに平行に、夫々から離隔して配置されて
いる自由に移動するプラグプレート154をさらに有している。このプラグプレ
ート154は、上面154Uと、下面154Lとを有しており、この上面154
Uは、前記バックプレート100の前記下面114と対面しており、前記下面1
54Lは、前記注入プレート124の前記上面134と対面している。前記プラ
グプレート154は、ガスが通過し得る複数の大きなアパーチャ156と、複数
のノズルプラグ160とを有している名目上平らなプレートであり、各ノズルプ
ラグ160は、基端部即ち下部160lと、先端部160tを備えている上部1
60uと、これら上部と下部との間のエッジ部160eとを有している。各ノズ
ルプラグ160は、前記注入プレート124に形成されている各ボア166内に
延びる。各ボア166は、内面166iと、ボアの軸166Aとを有している。
【0033】 本実施形態で、前記注入プレート124に対して移動されたとき、全てのノズ
ルプラグを同じ量だけ移動する共通の堅い中間部材に、全てのノズルプラグ16
0を接続するという主要な目的を、前記プラグプレート154は、果たしている
一方で、前記プレナム150内のガスの移動を妨げない(即ち、圧力が、前記プ
レナム150全体で平衡にされている)のに充分な透過性を有している。
【0034】 好ましい一実施形態で、前記プラグプレート154の前記下面154Lは、複
数の変位アクチュエータ170を介して、前記注入プレート124の前記上面1
34に移動可能に接続されている。複数の前記変位アクチュエータ170は、電
気信号を介してその作動を稼動及び制御するアクチュエータ制御ユニット180
に、夫々電気的に接続している。
【0035】 本発明の好ましい一実施形態で、前記変位アクチュエータ170として圧電変
換器を使用している。しかしながら、他の知られているアクチュエータも、使用
され得る。例えば、プレートを通る、ねじ山をつけられている親ねじを駆動する
ステッパーモータのような機械的な装置、又は、気圧若しくは油圧装置が、使用
され得る。しかしながら、圧電アクチュエータは、コンパクトなサイズ、素早い
応答速度、並びに、処理への最小の微粒子汚染のために好ましい。前記注入プレ
ート124は、前記ノズルプラグ160が、前記変位アクチュエータ170の働
きを介して、各々のボア166内に延び得るように配置されている。前記ノズル
プラグ160は、前記ボア166内で、前記ボアの中心軸166Aに沿って、好
ましくは中心にアラインメントされている。
【0036】 全てのノズルプラグが、前記注入プレートの直径のオーダーの直径を有してい
る1つの前記プラグプレートに取着されているとき(典型的には、前記注入プレ
ートの直径は、基板の直径より20%ないし50%だけ大きい)、前記プラグプ
レートの周縁の周りに等しく離隔している3つの前記変位アクチュエータが、所
望である。前記プラグプレートの堅さのために、方位方向に180度だけ移動さ
れている2つのアクチュエータで、充分であり得る。より小さいプラグプレート
について、さらに、個々のノズルプラグについて、プレート又はプラグ毎に1つ
の変位アクチュエータで、充分であり得る。
【0037】 図5を参照すると、第2の実施形態において、前記プラグプレート154の前
記上面154Uは、前記バックプレート100の前記下面114に移動可能に接
続されている。さらに、前記プラグプレート154は、2つのプレート部材19
2A及び192Bを有している。本実施形態において、前記複数の大きなアパー
チャ156の代わりに、唯1つのアパーチャ156が、ガスの供給部104を通
ったガスの前記プレナム150内への通過を可能にするために必用である。前記
プラグプレート154を2つの前記プレート部材192A及び192Bに分割し
ている理由は、プラグプレートが、2つの異なった材料で作られ得るようにする
ためである。加えて、前記プレート部材192Aは、前記プレナム150に接触
し、プラズマに最も近いため、シリコン処理におけるシリコン、シリコンカーバ
イド等のような、プラズマ処理に適合している材料で作られている。さらに、前
記ノズルプラグ160は、強く陽極酸化されている表面を有しているアルミニウ
ムで作られ得る。本発明の本実施形態で、前記プレート部材192Aは、前記変
位アクチュエータ170を分離することなしに容易に取り替えられ得る。
【0038】 さらに図5を参照すると、第2の注入プレート200が、前記(第1の)注入
プレート124の前記下面136に装着されている。前記第2の注入プレート2
00は、上面200Uと、下面200Lと、複数のボア202とを有しており、
これらボアは、前記注入プレート124の前記ボア166と好ましくはアライン
メントされている。前記ボア202は、前記ボア166の形状にマッチするよう
に、まっすぐな、テーパが形成されている、又は、所定の外形を有しているボア
であり得る。前記第2の注入プレート200は、前記第2の注入プレートの前記
上面200Uが、前記第1の注入プレート124の前記下面136に対して押圧
されているように前記第1の注入プレート124に(例えば、ねじ206によっ
て)装着されている。この方法で、プラズマ41に接触する主な表面は、前記ノ
ズルプラグ160の前記プラグの上部160u、前記先端部160t、前記第2
の注入プレート200の前記下面200L、並びに、前記ボア202の前記内面
200iである(図2,3参照)。
【0039】 エッチングへの利用で、前記注入プレート124は、高エネルギーのイオンに
よってエッチングされ得る。従って、前記注入プレート124、又は、少なくと
もプラズマ41と接触する部分(前記ノズルの先端部160tを含む)の材料は
、特定の処理に適合しなければならない。シリコン処理について、消耗可能な前
記プレート部材192A及び前記第2の注入プレートは、シリコンである。加え
て、所定のエッチング(即ち、酸素エッチング)の利用において、フッ素基を除
去又は不活性化する(scavenge)ようにプラズマ内にシリコンを導入す
ることが有利である。この場合、前記プレート部材192A及び前記第2の注入
プレート200の好ましい材料は、特定の処理(即ち、酸素エッチング)に適合
する材料である。このような材料は、シリコン、シリコンカーバイド等を含んで
いる。さらに、前記注入プレート200は、強く陽極酸化されている表面を有し
ているアルミニウムであり得る。
【0040】 図6を参照すると、図4と同様な、本発明のガス注入マニホルド装置の第3の
実施形態が、示されている。図6の装置で、プラグプレート154は、複数のセ
クションに分割されており、例えば、2つのセクション154A及び154Bで
あり、このセクション154Aは、セクション154Bと同心である。前記プラ
グプレートのセクション154A及び154Bは、独立に変位され得、従って、
ウェハ44の異なった領域の上方のガスの注入の性質の調節及び制御を可能にし
ている。図6は、図4で示されているのと同様な設計の実施形態を示しているが
、同じ設計の修正は、図5の第2の実施形態にも拡張され得る。事実、各及び全
ての前記ノズルプラグ160の独立な移動という極限を取った前記プラグプレー
ト154の分割は、前記ノズルプラグ160を前記注入プレート124に接続す
るよりも、前記ノズルプラグ160を前記変位アクチュエータ170を介して前
記バックプレート100に接続することによって、簡単に行われ得るため、本発
明の第2の実施形態への拡張は、好ましいものであり得る。図7は、図5で示さ
れているのと同様な設計の部分断面図であり、各及び全ての前記ノズルプラグ1
60は、変位アクチュエータ170に接続され、独立に移動され得る。
【0041】 図8及び9は、前記プラグプレート154の複数のセクションへの分割の2つ
の例を示している。第1の例(図8)で、前記プラグプレート154は、2つの
同心のセクション154A及び154Bを有している。第2の例(図9)で、前
記同心のセクション154Aは、方位方向のセクション210Aないし210D
にさらに分割されている。このような方法による前記プラグプレート154の分
割は、ウェハ44に対する径方向と方位方向との両方の、ガスの性質の調節を可
能にしている。
【0042】 図8及び9で示されている実施形態で、全てのプラグプレートセクションは、
図6で示されているように1つのガスのプレナム150に関連し得る。代って、
ガスのプレナムは、各プラグプレートセクションへのガスの供給を個々に制御す
ることを可能にするように、複数の個々のセクション又はプレナムに分割され得
る。1つのプレナムの複数のプレナムへの分割は、図9において破線によって示
されており、これは、選択的な仕切りを示している。
【0043】 図10は、このような配置を示しており、プラグプレート154が、複数のセ
クション154'、154''、154'''に分割されている。加えて、前記ガスの
プレナム150は、仕切部150P1、150P2によって分割されており、従
って、前記プラグプレートの個々のセクション154'、154''、154'''に
対応している個々のセクション、即ち、個々のプレナム150'、150''、1
50'''を形成している。例えば、前記セクション154'、154''、154''
'は、図9で示されている配置のセクション210A、210B、210Cに夫
々対応し得る。さらに、前記バックプレート100は、分離されているアパーチ
ャ104'、104''、104'''を有している。前記プラグプレートの各セクシ
ョン154'、154''、154'''は、独立に移動され得、従って、ウェハ44
の異なった領域の上方のガスの注入の性質の調節及び制御を可能にしている。さ
らに、ガスの各プレナム150'、150''、150'''は、各々のガス供給ライ
ン74'、74''、74'''及び各々の入口の前記アパーチャ104'、104''
、104'''を通じて、別個のガス供給装置、及び/又は、ガスの質量流量コン
トローラから供給され得る。
【0044】 図4を参照すると、各ノズルプラグ160と、対応するボア166は、調節可
能なノズルユニット250に形成されている。このノズルユニット250は、環
形状の喉部260、即ち、ノズルの外側の前記エッジ部160eと前記ボア16
6の前記内壁166iとの間で最小の面積をもつ環状領域を有している。各々の
前記ノズルユニット250は、前記注入プレート124の前記上面134におけ
る、前記プラグプレート154に近いガスの入口領域270と、前記注入プレー
ト124の前記下面136における(図4)又は前記第2の注入プレート200
の前記下面200Lにおける(図5)ガスの出口領域280とを有している。
【0045】 図4及び5で示されているガス注入マニホルドは、図2で示されているように
誘導性結合プラズマソース(ICP)内でアノードとして、又は、図3で示され
ているように容量性結合プラズマソース(CCP)内で上部RF電極として働き
得る。後者の場合、ガスは、前記上部電極の内部導電部材281の内部を通り、
前記ガス供給ライン74を介して、前記プレナム150に導入され得る。RFを
供給する前記内部導電部材281、前記バックプレート100、前記側壁116
、並びに、前記注入プレート124は、CCPの上部電極として働き得る。
【0046】 ガス供給マニホルドの作動 本発明のガス供給マニホルドの作動が、示される。図2ないし5を参照すると
、作動において、1以上のガスが、前記ガス供給システム70から、前記ガス供
給ライン74を介して、前記プレナム150内に、1以上の前記アパーチャ10
4(1つのアパーチャのみが、図示されている)を通じて供給される。前記ガス
供給システム70、前記ガス供給ライン74、並びに、前記プレナム150は、
前記プレナム150内の圧力が、安定状態の作動中、相対的に不変に保たれ得る
ように設計されている。従って、前記プレナム150は、全温度(total
temperature)Tで全圧Pの所定の体積のガスを保持する。そし
て、前記プレナム150内のガスは、圧縮された状態かつ制御可能な方法で、プ
ラズマ反応チャンバの前記チャンバの内部領域40に、複数の調節可能な前記ノ
ズルユニット250を通じて導入され、ウェハ44の表面44Sの方向に向けら
れる。
【0047】 前記ノズルユニット250から前記チャンバの内部領域へのガス流は、前記変
位アクチュエータ170に電気的に接続されている前記アクチュエータ制御ユニ
ット180を介して、前記変位アクチュエータ170の働きによって制御され得
る。前記変位アクチュエータ170は、前記ノズルプラグ160が、前記注入プ
レート124に対して前記プラグプレート154を移動することにより前記ボア
166内に延びる量を、変化させる。前記ノズルプラグ160の設計(外形、形
状等)、及び/又は、前記ノズルボア166の設計(外形、形状等)、並びに、
前記ボアの軸160Aに沿う、前記ノズルのボア166中への、ボアに対する前
記ノズルプラグ160の軸方向の移動は、前記ガスの出口領域280の面積を一
定に保持する一方で前記喉部260の面積を変化させ、あるいは、前記喉部26
0の面積を一定に保持する一方で前記ガスの出口領域280の面積を変化させ、
あるいは、記喉部260の面積と前記ガスの出口領域280の面積との両方を変
化させ得る。前記ノズルのボア166内での前記ノズルプラグ160の移動によ
るこれらノズルの性質の調節は、前記ノズルユニット250を通るガスの質量流
量、及び/又は、ガスの広がりを、近くのノズルユニット250又はノズルユニ
ット集団に対して調節することを可能にしている。
【0048】 例えば、図11を参照すると、前記注入プレート124を通っているボア16
6内に挿入されるノズルプラグ160を有しているノズルユニット250が、示
されている。前記ノズルプラグ160が、前記ボア166内に挿入される量を変
化させることは、前記喉部260のサイズ(即ち、面積)自体に影響を与えない
が、ガスの出口領域280の面積を変化させる。より厳密には、前記ガスの出口
領域280の面積は、前記ノズルプラグが、前記ボア166内に延びるにつれて
減少する。
【0049】 図11のノズルユニット250の前記ノズルプラグ160の外向きにテーパが
形成されている形状のために、前記ボア166内のプラグの位置は、前記ガスの
出口領域280の面積と前記喉部260の環状部分の面積との間の面積比Rを規
定する。この比は、出口のマッハ数M(即ち、局所的な音速に対する局所的な速
度の比)を規定する。ガス流が、前記喉部260でチョーキング状態にあるとき
にのみ、出口のマッハ数Mは、1より大きく、即ち超音速のガス流であり得る。
チョーキング状態は、以下で詳細に示されている。しかしながら、前記プレナム
150の全圧Pと前記チャンバの内部領域40内の静圧Pとの両方だけによ
って決定される、圧力がマッチされている超音速のガス流を可能にする面積比R
(従って、出口のマッハ数M)のただ1つの解が存在する。
【0050】 図17(A)ないし(C)は、前記ボア166内の前記ノズルプラグ160の
3つの異なった配置に対応している、3つの異なったガス流の状態を示している
。図17(B)を参照すると、前記ノズルプラグ160の配置は、対応している
面積比Rが、前記プレナム150から前記ノズルユニット250を通って前記チ
ャンバの内部領域40内へ流れるガスの所定の広がりを可能にするようになって
いる。これは、「圧力がマッチされている(pressure−matched
)」状態と言われる。圧力がマッチされている状態で、ガスは、前記ボア166
の前記ボアの中心軸166Aに名目上平行な方向のガスジェット320として、
超音速(M>1)の体積速度で、前記ガスの出口領域280から流出する。前記
ガスジェット320は、前記ガスの出口領域に存在しているとき、「平行にされ
ている(collimated)」と言われ得る。
【0051】 図17(A)を参照すると、前記ノズルプラグ160が、圧力がマッチされて
いる状態に関連している位置から、前記ボア166内にさらに延ばされた場合、
圧力比Rは、減少され、また、前記ノズルユニット250を通るガス流は、「広
げられている(under−expanded)」状態になる。ガスが、前記出
口領域280で広げられているとき、比が、P/P>1のような、出口の圧
力Pと、チャンバの内部領域の圧力Pとの間の圧力の勾配が存在する。この
状態で、ガスジェット340が、前記プラズマチャンバの内部領域40内に広が
り、従って、前記ボアの中心軸166Aに対して発散する。
【0052】 図17(C)を参照すると、前記ノズルプラグ160が、上述した圧力がマッ
チされている状態に関連している位置に対して、前記ボア166から一部引き抜
かれた場合、圧力比Rは、増大され、ガス流は、「絞られている(over−e
xpanded)」状態になる。ガスが、前記出口領域280で絞られていると
き、比が、P/P<1のような、出口の圧力Pと、チャンバの圧力P
の間の圧力の勾配が存在する。この状態で、ガスジェット360が、形成される
。このガスジェットは、圧力と平衡しているような衝撃波を受ける。通常、ガス
ジェット360は、前記ガスの出口領域280に存在するとき、前記ボアの中心
軸166Aに対して名目上収束する。
【0053】 図17(A)ないし(C)に関して上述された、ガスジェット320、340
、360の流れの状態のどれにおいても、ガスは、超音速の方法で、ウェハ44
の方向に向いている。このタイプのガス流は、本発明の前記システム10を使用
するウェハの処理中、ウェハの表面44Sにほぼ垂直な方向に移動し、ウェハと
相互作用するガス原子又は分子の統計的確率を最大化するという利点を有してい
る。
【0054】 さらに図17(A)ないし(C)を参照すると、前記ガスの出口領域の後方の
チャンバの圧力Pに対する前記プレナム150内の(例えば、前記ガスの入口
領域270での)全圧Pの比が、充分大きいとき、前記ノズルユニット250
は、「チョーキングされている(chocked)」状態に至り、この状態で、
体積流速は、後方の圧力のさらなる減少、あるいは、Pのさらなる増大に対し
て不変である。一定のサイズの前記喉部260について、前記ノズルユニット2
50を通る質量流は、前記ガスの入口領域の全圧を増大すること、従って、ガス
の密度に影響を与えること、又は、前記喉部の面積を調節することによってのみ
増大され得る。
【0055】 代って、図10及び12を参照すると、テーパが形成されているボア166内
に挿入されるノズルプラグ160を有しているノズルユニット250が、示され
ている。図11において、ノズルプラグの前記下部160lは、前記エッジ部1
60eまで外向きに線形のテーパが形成されており、また、前記上部160uは
、前記エッジ160eから前記先端部160tまで内向きに非線形のテーパが形
成されている。図12で、下部160lは、エッジ部160eまで外向きに線形
のテーパが形成されており、また、前記上部160uは、先端部160tに頂点
を有している円錐形状である。図12のノズルユニット250についての前記ボ
ア166内への前記プラグ160の変位(即ち、下方への変位)は、喉部260
の面積の減少を生じるのに対して、前記ボア166からの前記プラグ160の変
位(即ち、上方への変位)は、前記喉部260の面積の拡大を生じる。しかし、
これは、図11で示されているノズルユニット250について、真ではない。し
かしながら、図11のノズルユニット250と異なり、ノズルプラグの前記先端
部160tが、前記ノズルのボア166内にある場合、即ち、ノズルプラグの前
記先端部160tが、前記注入プレート124の前記下面136によって規定さ
れている面の上方にある場合、出口280の面積は、一定のままである。全ての
ノズルユニットが1つのガスプレナム150(1つのガス供給装置70及び質量
流コントローラ(図示されていない)から、前記アパーチャ104を通じて供給
される)に気体連通しており、また、ノズルプラグのアレイ中の全ての前記ノズ
ルプラグ160が、(図4及び5で示されているように)夫々の前記ボア166
のアレイ内に同時に移動された場合、前記喉部260の面積の変化は、前記ガス
のプレナム150の全圧Pの変化に影響を与えるだろう。この全圧の変化は、
前記喉部260の面積の変化を相殺するだろう。従って、各ノズルユニット25
0を通るガスの質量流速は、変化されずに保たれる。従って、前記喉部260の
面積の変化を介して、(喉部の面積に対する出口の面積の比に加えて)圧力比は
、真空の前記内部領域40内へのガスの広がりに影響を与えるように、また、所
望の出口のマッハ数を達成するように前記注入プレート124の一方から反対側
へと調節され得る。
【0056】 代って、図12を参照すると、ノズルユニット259の変形例が、示されてお
り、このノズルユニットは、前記注入プレート124を通る、テーパを形成され
ているボア166内に挿入される、ノズルプラグ160を有している。図13に
示されているノズルプラグ160は、図12で示されている設計と、図12にお
ける前記円錐形の上部160uが、前記先端部160tで先端を切られ、外面1
60oを有していることを除いて同様であり、ここで、円錐形の表面のテーパの
程度は、ボア166の表面166iのテーパの程度とほぼ同じである。前記ボア
166内への前記プラグ160の変位は、喉部260の面積の増大又は減少を生
じる。しかしながら、どちらの場合にも、面積比、即ち、前記喉部260の面積
に対する前記出口領域280の面積の比は、一定、即ち、1に保たれる。このプ
ラグの設計は、前記喉部260を、ノズルユニットの前記出口280と同じ位置
に移動している。従って、ガスは、膨張領域282において、低圧の真空の前記
内部領域40内に自由に広がる。
【0057】 所望の圧力比、即ち、前記内部領域40内のチャンバの圧力Pに対する前記
ガスプレナム150の全圧Pの比について、ガスが、図17(B)で示されて
いるのと同様な、平行にされている自由なジェットとして、前記ノズルユニット
250から発せられるような、前記ボア166のテーパ角及び前記ノズルプラグ
160の前記外面160oのテーパ角についての設計が存在している。圧力比が
、所定の設計の場合から変化するような、(質量流速の変化による)チャンバの
圧力P又は全圧Pのずれは、図17(A)に関連して示されている広げられ
ている場合、又は、図17(C)に関連して示されている絞られている場合と同
様な流れの状態に至る。
【0058】 非粘性の等方的な連続体の流体について、テーパ角又は面積比と、圧力比との
間の関係が存在している。この関係は、圧縮性流体の標準的な教科書から確めら
れ得る。上述した仮定からの変化に関して、この関係は、より複雑な理論的なモ
デル、及び、この関係の実験的な検証から決定され得る。これは、ノズルの設計
及び制御を目的として、実験的に確立され得る。
【0059】 例えば、所定の設計の場合からの圧力比の増大は、広げられている場合と同様
な流れの状態に至るだろうし、また、所定の設計からの圧力比の減少は、絞られ
ている場合と同様な流れの状態に至るだろう。上述したように、全てのノズルユ
ニットが、1つのガス供給装置70及び質量流コントローラ(図示されていない
)から前記アパーチャ104を通じて供給される1つのガスプレナム150に気
体連通しており、また、ノズルプラグのアレイ中の全ての前記ノズルプラグ16
0が、(図4及び5で示されているように)夫々の前記ボア166のアレイ内に
同時に移動された場合、前記喉部260の面積の変化は、ガス流がチョーキング
状態にあるとき、前記ガスのプレナム150の全圧Pを変化させる。この全圧
の変化は、前記喉部260の面積の変化を相殺するだろう。従って、各ノズルユ
ニット250を通るガスの質量流速は、変化されずに保たれる。従って、前記喉
部260の面積の変化を介して、圧力比は、真空の前記内部領域40内へのガス
の広がり、及び、達成可能なマッハ数に影響を与えるように、前記注入プレート
124の一方から反対側へと調節され得る。
【0060】 図14を参照すると、図12のノズルユニット250の変形例が示されている
。図14で、ノズルプラグ160は、図13のノズルプラグの設計と、下部16
0lが、まっすぐな外壁を有している円筒であることを除いて同様である。さら
に、ノズルのボア166は、ガスの出口領域280で、テーパが形成されている
ごく短いセクション(即ち、ナイフ状のエッジ)を有している、まっすぐな壁で
囲まれている円筒形のボアである。前の変形例のように、ノズルの喉部260は
、前記ガスの出口領域280と一致する。ノズルユニット250の本変形例の主
な利点は、このノズルユニット250の狭い環状領域が、ガスの出口領域280
での1つの平面に限定され得る(即ち、ノズルの喉部260となる前記環状領域
が、短くされ得る)ことである。通常、図13のノズルユニット250について
示されているのと同じ設計のルールが、図14のノズルユニットにも拡張され得
る。図14のノズルユニット250は、図13のそれに対していくぶん複雑であ
るが、低圧での利用に関して、境界層の発達するノズルの長さが、短くされ得る
という利点を提供する。これは、低圧での利用に関して、特に、前記ノズルユニ
ット250内の内部の流れが、遷移流のふるまいを示すときに重要であり得る。
【0061】 図15を参照すると、図14で示されているノズルユニットのデザインの変形
例が、示されている。図15において、ノズルプラグ160は、図14のノズル
プラグの設計と、図14のノズルプラグ160の外面160oに加えて、ノズル
のボア166の内面166iが、滑らかに変化している(即ち、壁の勾配が、連
続である)ことを除いて同様である。前記表面166i及び166oは、(圧力
比が、上述した臨界圧力比を越え、また、ノズルを通る支配的な非粘性流が、存
在しているとき)高圧の領域から低圧の領域へのノズルを通るガスの広がりにつ
いての特性線の方法(Method of Characteristics)
を使用して、設計され得る。図15のノズルユニット250の設計は、図14の
ノズルユニットの設計よりもいくぶん複雑であるが、流れは、前記ノズルユニッ
ト250を通じて広がっていくとき、急激な変化をしない。
【0062】 図16を参照すると、最後の変形例で、図16のノズルユニット250は、ボ
ア166を有しており、ここで、内面166iは、軸166Aに対し、外向きに
テーパが形成されている(従って、ガスの出口領域280の面積は、入口270
の面積よりも大きい)。ノズルプラグ160は、外向きにテーパが形成されてい
る外面160oを有している純粋な円錐形状の部材であり、そのテーパ角は、前
記ノズルのボア166の内面166iのテーパ角よりも小さい。ノズルプラグの
先端部160tは、平らなノズルの端部160fとなっている平らな部分を有し
ており、この端部の直径は、前記ボア166内に挿入されたとき、前記ノズルの
入口270で、すきまを備える程度に小さい。ノズルユニット250の本変形例
で、喉部260は、前記ノズルの入口270に一致する。前記ノズルプラグ16
0が、前記ボア166から引き抜かれたとき、ノズルの前記喉部260の面積は
、減少するのに対して、前記ノズルプラグ160が、前記ボア166に挿入され
たとき、前記ノズルの喉部260の面積は、増大する。上述したように、同様な
状態で、前記ノズルの喉部260の面積の増大及び減少は、前記ガスのプレナム
150の全圧への対応している同様な効果を与える。さらに、前記ノズルプラグ
160の移動にかかわらず、前記出口領域280の面積は、変化されずに保たれ
る。図12の設計に対する本変形例の設計の利点は、面積比が、通常、ずっと大
きくされ得る(即ち、より大きな圧力比に適用可能である)ことである。しかし
ながら、前記ノズルユニット250が、プラズマ処理の利用中、使用されている
とき、図16の(典型的に)比較的大きな前記ノズルの出口領域280は、プラ
ズマが、前記ボア166内に入ることを可能にし、その結果生じるノズル内の高
エネルギーのエッチング又はスパッタリングは、ノズルユニットの内面の劣化に
至り得る。
【0063】 全ての場合で、図11ないし16に関連して上で示されているノズルユニット
250内の、内部の流れの状態にかかわらす、前記プレナム150から前記内部
領域40へのガスの広がりは、前記ノズルのボア166内の前記ノズルプラグ1
60の移動によって影響を受け得る。これは、前記内部領域40内の基板の最適
な処理のための、ガスの注入状態の調整を可能にしている。
【0064】 図18を参照すると、図4及び5で示されている分割されていない前記プラグ
プレート154を使用しているとき、好ましい幾何学的配置において、前記ノズ
ルユニット250は、基板44からの垂線N(図2参照)によって規定される中
心Cを中心としている同心の一連のリング450内にある。
【0065】 デカルト座標の又は六角形の幾何学的配置のような、前記ノズルユニット25
0の他の幾何学的配置も可能であることが理解されるだろう。事実、前記注入プ
レート124の全体にわたるノズルユニット250の分布は、ウェハ44の上方
の入り口でのガスの質量流速の空間分布を調節するように変化され得る。さらに
、前記注入プレート124の全体にわたるノズルユニット250の数密度、及び
/又は、前記ガスの喉部領域260の面積(即ち、サイズ)の空間分布は、ウェ
ハ44の上方の、1種類(数種類)のガス種の密度の空間分布に影響を与えるよう
に変化され得る。ノズルユニットは、ガスジェットの合流を最適化するように、
又は、ウェハ44の上方の選択された領域内へのフレッシュなガスの流量を増大
又は減少するように、集団にされ得る。例えば、プラズマ反応チャンバの前記内
部領域40内の基板44のエッジ44Eへのガスの質量流速を増大するように、
基板のエッジ44Eの上方の前記注入プレート124の前記ノズルユニット25
0は、他より大きなガスの喉部領域の断面積を与えられ得る。代って、基板のエ
ッジ44Eの方向にガス流を向けているノズルユニット250の数密度は、増大
され得る。
【0066】 ガスの性質の空間分布の調節 上述したように、1つのノズルユニット250又はノズルユニット集団を通る
、前記チャンバの内部領域40へのガスの広がりは、単に、プラグプレート15
4の1つの領域の別の領域に対する(例えば、図8の領域154Bに対する15
4A)移動を調節することによって、ウェハ44の異なった領域の上方で変化さ
れ得る。さらに、図10で示されている設計は、制御の柔軟性(flexibi
lity)を追加している。特に、別個の各ガスプレナム150'、150''等
に供給されるガス種、及び/又は、ガスの質量流速の変化を可能にしている。こ
の追加されている柔軟性は、ウェハ44の上方のプラズマ41の異なった領域に
導入されるガスの質量流速、及び/又は、ガス種の調節を可能にしている。加え
て、単なるガス種の変化(即ち、ガス又はガス混合物についての比熱の比の変化
のため)、又は、ガスのプレナムを供給する質量流コントローラによって制御さ
れる質量流速の変化(即ち、ガスのプレナムの全圧、従って、圧力比の変化のた
め)は、前記ノズルユニット250を通るガスの広がりに影響を与え得る。これ
は、ウェハ44の異なった領域の上方へのガスの流れの速度分布(及び、軌道の
分布)に影響を与える。
【0067】 ウェハ44の上方の異なった領域への質量流量の空間分布を調節することは、
前記ノズルプラグ160が、前記ノズルのボア166(即ち、図12ないし16
)内に挿入されているとき、前記ノズルの喉部260の面積の変化に影響を与え
る、図12ないし16に関連して示されているノズルユニットの設計のいずれか
を有している、図6の分割されている仕切られていないノズルユニット250の
アレイを使用して達成され得る。1つの前記ノズルプラグ160又はノズルプラ
グ集団が、他の全てのノズルプラグ又はノズルプラグ集団に対して移動されたと
き、前記ガスのプレナム150の全圧Pの変化は、小さい。これは、ノズルユ
ニットの喉部の全面積(即ち、前記ガス注入システム50内の全てのノズルユニ
ットの喉部の面積の合計)の変化が、比較的小さいためである。しかしながら、
1つの前記ノズルユニット又はノズルユニット集団の喉部の面積の変化は、所望
のノズルユニット又はノズルユニット集団の喉部の面積に対して、大きくなり得
る。従って、(ノズルの喉部の面積の変化による)ノズルユニットの体積流速の
局所的な変化のため、前記内部領域40の特定のセクション内への局所的な質量
流速は、同領域の他のセクションに対して、変化され得る。もちろん、質量を保
存するように、ノズルユニット(集団)の1つの領域を通る質量流速が、減少さ
れた場合、変化されていないノズルユニット通る質量流は僅かに増大する(逆の
場合も同様である)。物理的なメカニズムが、異なり得るが、これは、ノズル内
の全ての状態(例えば、連続体の/自由分子の流れ、又は、チョーキング状態に
ある/ない)に適用し得ることを記しておく。
【0068】 処理状態のモニタ 図2ないし6、並びに、9を参照すると、特定の半導体処理についての反復可
能な所定のガスジェットの状態を達成するように、前記ガスマニホルド50の前
記プレナム150(又は、前記サブプレナム(セクション)150'、150''
等)の全圧Pと、前記チャンバの内部領域40のチャンバの圧力Pとの、そ
の場でのモニタが、前記プレナム150内及び前記内部領域40内に夫々配置さ
れている圧力センサP1及びP2(例えば、図2参照)の使用して利用される。
これら圧力センサP1及びP2は、前記制御ユニット80に電気的に接続してい
る。前記ボア166内の前記ノズルプラグ160の位置は、上述したように、所
望のガス流の状態(例えば、図17(A)ないし(C)毎のガスジェット320
、340、360)を生成するように、前記ノズルユニット250の圧力比又は
面積比Rを設定するように調節され得る。前記制御ユニット80は、前記圧力セ
ンサP1及びP2を夫々用いて、前記内部領域150及び40内の圧力をモニタ
する。前記圧力センサP1及びP2を用いて探知された圧力に基づいて、前記制
御ユニット80は、前記変位アクチュエータ170を稼動するように、前記アク
チュエータ制御ユニット180へ電気的な信号を送り、前記変位アクチュエータ
170は、前記ノズルユニット250中のノズルプラグ160の位置を調節する
【0069】 前記ノズルの出口領域で圧力がマッチされている状態が、所望である場合、前
記制御ユニット80は、前記圧力センサP1及びP2からの測定値Pt及びPc
を使用して、(上述したように)連続体の理論から適切な圧力比を決定し、そし
て、前記ノズルユニット250についての所望の面積比及び圧力比を生じるよう
に前記ノズルプラグ160を相応に調節する。上述したように、非粘性の等方的
な連続体の流体について、面積比と圧力比との間の関係は、圧縮性流体力学の標
準的な教科書から確定され得、また、この関係のより複雑な理論的モデル及び実
験的な検証から決定され得、又は、ノズルの設計及び制御を目的として、実験的
に確立され得る。
【0070】 前記制御ユニット80から前記アクチュエータ制御ユニット180への電気的
な信号は、適切な制御電圧が、前記変位アクチュエータに印加されるように、校
正される。前記ノズルユニット250内のガスの広がりが、遷移流の領域を介し
て推移するようなものであり得るため、連続体の理論の適合性は、ガスジェット
の分離速さ測定(separate velocity measuremen
t)を使用して確認され得る。例えば、飛行時間型質量分析計(TOF)が、前
記ガスの出口領域280の下流の中性ガスの速さ分布及び方向性を探知するよう
に利用され得る。本発明の好ましい実施形態で、このような測定が、行われて、
前記制御ユニット80に蓄積される測定値のデータベースを構成するように使用
される。そして、前記制御ユニット80は、適切な面積比Rを決定するように、
連続体理論からのずれを取り扱うために使用され得、最終的に、前記ノズルユニ
ット250を適切に調節するように、変換器制御ユニット180への適切な電気
制御信号を生じ得る。
【0071】 さらに、前記制御ユニット80は、また、前記喉部260の面積を、前記ノズ
ルのボア166内の前記ノズルプラグ160の軸方向の位置と関連づけることに
よって、各ノズルユニット又はノズルユニット集団(図6)の喉部の面積をモニ
タし得る。この決定は、前記ガスのプレナム150の全圧Pの測定と共に、1
つのノズルユニット又はノズルユニット集団を通る質量流速を決定する。
【0072】 調整可能なノズルユニット250に関連している実施形態の利点の1つは、特
定の半導体処理についての、広い範囲の可能な作動状態にわたるガス流の調節可
能性の見地において提供される柔軟性にある。しかしながら、他の利用について
、例えば、低コストの解決手段が、所望であり、狭い範囲の作動状態が、適当で
あると知られているとき、前記ガスマニホルド50のノズルユニット250の幾
つかの別の実施形態が、使用され得る。
【0073】 二重の円錐形のノズルのアレイ 図19及び20を参照すると、本発明のガス注入マニホルド50の別の実施形
態が、ガス注入マニホルド500であり、このガス注入マニホルド500は、収
束し発散する二重の円錐の形状のノズル504を複数含んでいるノズルアレイ5
02を備えているノズルアレイプレート501を有している。各々のノズル50
4は、内壁508と、ガスの入口領域510と、ガスの出口領域516と、ノズ
ルの中点の又はその近くの喉部520とを有している。前記ノズル504の前記
喉部520の下方の部分は、発散している部分であり、また、前記ノズルの前記
喉部の上方の部分は、収束している部分である。ガス流の力学は、前記ガスマニ
ホルド50に関して上述したものと同様である。しかしながら、前記ガスマニホ
ルド500は、移動する部品を有していないため、より狭い範囲の作動状態で働
く。上述したように、固定されている幾何学的配置の性質のために、質量流速(
又は、前記プレナム150の全圧P)、及び/又は、チャンバの圧力Pcの変
化は、ノズルの出口の状態、即ち、出口のマッハ数、並びに、ガスの速度の方向
性等に影響を与えるだろう。この問題を避ける1つの方法は、複数の収束し発散
するノズルを有している注入プレートを設計することであり、ここで、トータル
のノズルの分布は、異なったサイズの、又は、変化している面積比のノズルの全
体にわたる(overlying)分布の重ね合わせである。換言すれば、前記
ノズルアレイ502が、前記ノズル504の複数のグループからなる場合、各グ
ループのノズルは、異なったセットの作動状態について最適化されているように
設計されており、そして、可能な範囲で、各グループのノズルは、ノズルプレー
トの表面501の全体にわたって好ましくは均一に分布されている。
【0074】 例えば、第1の流れの状態(例えば、第1の前記ガスの入口領域510の面積
と、第1の前記ガスの出口領域516の面積と、第1の前記喉部520の面積と
を有している)に適するように設計されている(「大きさに作られている」)ノ
ズルのグループは、第2の流れの状態(例えば、第2の前記ガスの入口領域51
0の面積と、第2の前記ガスの出口領域516の面積と、第2の前記喉部520
の面積とを有している)に適するように設計されている(「大きさに作られてい
る」)ノズルのグループと混ぜられ得る。従って、所望の処理及び関連する状態
について、幾つかの前記ノズル504は、最適な設計で作動するのに対して、他
は、最適の設計ほど適していない設計で作動するだろう。この方法で、前記ノズ
ル504のアレイが、最適である範囲は、拡張される。
【0075】 前記円錐形のノズル504の発散している部分で、ガスは、前記内壁508に
沿って外に向かって広がる。従って、ガス流のある部分は、(所望の)垂直な流
れに直交する。即ち、ガス流は、前記ガスの出口領域516で、0でない横方向
の速度を含む。最大の壁の角度θは、円錐形状の設計については、約18°を好
ましくは越えない。角度θが、18°より大きい場合、流れは、分離され得、境
界層が、前記壁508に形成され得る。損失のない(lossless)発散し
ているノズルの設計及び製造は簡単なことであるが、最大の壁の角度は、所望の
面積比についてのノズルの長さに対応している。よって、大きな面積比を得るた
めには、ノズルは、長くなければならず、実用的であり得ない。従って、前記ガ
スの出口領域516及び喉部520の好ましいディメンジョンは、夫々、直径で
約0.001から0.2インチ及び直径で約0.001から0,040インチの
範囲である。前記ガスの入口領域510の好ましいディメンジョンは、前記喉部
520を特徴づけているディメンジョンに等しい、又は、(好ましくは)より大
きいものである。
【0076】 最後に、第6の実施形態が、円錐形の断面に制限されない、図1で示されてい
るラバールノズルのような滑らかに変化する壁(連続な壁の勾配)を有している
、ノズルの設計を可能にするような形態である。この滑らかに変化している壁は
、内部の流れの力学を改良し得、この力学において、膨張波と圧縮波の夫々は、
壁の勾配の不連続な点又は領域から発し得る強い波であるよりはむしろ、(もし
あったとしても)無限小に弱い。しかしながら、滑らかに変化している壁を有し
ているノズルは、製造がより複雑であり得る。
【0077】 凹形のノズルのアレイ 図21及び22を参照すると、本発明のガス注入マニホルドの別の実施形態が
、ガス注入マニホルド600であり、このマニホルドは、複数の凹形のノズル6
04を含んでいるノズルアレイ602を有しており、各ノズル604は、上部の
円筒形セクション610Uと、表面610Sを持つ下部の凹形領域610Lとを
備えているキャビティを規定している内壁608を有している。各ノズル604
は、ガスの入口領域612と、ガスの出口領域616と、喉部620とを有して
いる。前記ノズル604の前記喉部620の下方の前記領域610Lは、発散し
ている部分である。前記ガス注入マニホルド500のように、前記ガス注入マニ
ホルド600は、移動する部品を有しておらず、前記ガス注入マニホルド50よ
りも狭い範囲の作動状態で働く。
【0078】 前記ノズル604の前記内壁608の外形は、流れが内側に向いているときの
斜め衝撃波による全圧の損失を最小化するように、即ち、「最小の長さの(mi
nimum length)」又は「完全な(perfect)」ノズルを設計
するように特性線の方法を使用して設計されている。この「完全な」ノズル60
4は、ノズルの発散している部分で、凸形及び凹形のセクションを備えている(
例えば、図1で示されているラバールノズル)、滑らかに変化している表面61
0Sを有している。ノズルの長さを減少すると、凸形の表面は、「最小の長さの
」ノズルで知られているように、1点に縮小し得る。同様に、所定のノズルが、
設計されたとすると、全圧Pとチャンバの圧力Pとの間の1つの関係に適す
るように設計されていることになる。従って、PあるいはPの変化は、ガス
の出口領域で圧力がマッチされていない状態を生じる。
【0079】 しかしながら、上述したように、本発明の発散しているノズルを複数有してい
る注入プレートが、設計され得、ここで、トータルのノズルの分布は、異なった
サイズの(又は、変化している面積比)ノズルの全体にわたる分布の重ね合わせ
である。例えば、第1の流れの状態(例えば、第1の前記ガスの入口領域612
の面積と、第1の前記ガスの出口領域616の面積と、第1の前記喉部620の
面積とを有している)に適するように設計されているノズルのグループは、第2
の流れの状態(例えば、第2の前記ガスの入口領域612の面積と、第2の前記
ガスの出口領域616の面積と、第2の前記喉部620の面積とを有している)
に適するように設計されているノズルのグループと混ぜられ得る。従って、所望
の処理状態について、幾つかの前記ノズル604は、最適な設計で作動するのに
対して、他は、最適の設計ほど適していない設計で作動するだろう。この方法で
、前記ノズル604のアレイの最適な状態の範囲は、拡張されている。
【0080】 ノズルユニットの製造 本発明のノズル注入システムは、幾つかの方法のいずれかを使用して製造され
得る。ノズルが、(半導体デバイスの製造に適用されるエッチング、堆積等の処
理のような)VLSI技術に適合していない材料で形成されるとき、ノズルプラ
グ及びノズルのボアは、機械加工、研削若しくはEDM、又は、これらの結合の
ような従来の方法を使用して、製造され得る。
【0081】 上述したように、特定の処理に「適合する」真空用囲いの表面をプラズマに与
えることは、好ましく、多くの場合必要である。用語「適合する(compat
ible)」は、特定の処理に実質的に有害でない材料(即ち、故障の点で)を
示すように使用されている。従って、シリコンのような材料で作られている第2
の前記注入プレート200、前記ノズルプラグ160、並びに、前記プラグプレ
ート192Bの製品が、有利であるような利用が存在する。
【0082】
【発明の効果】
本発明の多くの特徴及び利点が、詳細な特定により明らかにされており、そし
て、本発明の真の精神及び範囲に従う、示されている発明のこのような特徴及び
利点の全てを包括することが、特許請求の範囲によって意図されている。さらに
、多数の改良及び変更が、当業者に容易に想定されるであろうから、本発明を、
示されている構成及び作動に厳密に限定することは、所望ではない。さらに、本
質的に複雑な半導体の技術分野で使用される関連する方法及び装置のように、本
発明の装置及び方法は、しばしば、作動パラメーターの適切な値を実験的に決定
することにより、又は、所望の適用に関して最善の設計に到達するようにコンピ
ューターシミュレーションを行うことにより最善に実施される。従って、本発明
の真の精神及び範囲に含まれる全ての適切な改良及び相当物が、考えられるべき
である。
【図面の簡単な説明】
【図1】 図1は、高圧の領域から低圧の領域へのガスの広がりに関連している流れの力
学を説明するための、ラバールノズルの断面図である。
【図2】 図2は、本発明の誘導性結合プラズマ反応システムの概略的な断面図である。
【図3】 図3は、本発明の容量性結合プラズマ反応システムの概略的な断面図である。
【図4】 図4は、アクチュエータ制御ユニットを有している、本発明の第1の実施形態
のガス注入マニホルドの概略的な断面図である。
【図5】 図5は、本発明の第2の実施形態のガス注入マニホルドの概略的な断面図であ
り、マニホルド内の変位アクチュエータに電気的に接続されているアクチュエー
タ制御ユニットを示している。
【図6】 図6は、本発明の第3の実施形態のガス注入マニホルドの概略的な断面図であ
り、ここで、プラグプレートは、複数のセクションに分割されている。
【図7】 図7は、本発明のガス注入マニホルドの概略的な部分断面図であり、ここで、
各ノズルプラグは、変位アクチュエータと接続されており、従って、個々のノズ
ルユニットの制御が可能である。
【図8】 図8は、図6のガス注入マニホルドの概略的な平面図であり、同心的に配置さ
れているプラグプレートのセクションを示している。
【図9】 図9は、図6のガス注入マニホルドの概略的な平面図であり、同心的に配置さ
れ、さらに、方位角方向の4つのセクションに分割されているプラグプレートの
セクションを示している。
【図10】 図10は、本発明のガス注入マニホルドの概略的な断面図であり、プレナムの
複数のセクションに対応している、バックプレートの複数のアパーチャに接続さ
れているガスの複数の導管を示している。
【図11】 図11は、第1の変形例のノズルユニットの概略的な断面図である。
【図12】 図12は、第2の変形例のノズルユニットの概略的な断面図である。
【図13】 図13は、第3の変形例のノズルユニットの概略的な断面図である。
【図14】 図14は、第4の変形例のノズルユニットの概略的な断面図である。
【図15】 図15は、第5の変形例のノズルユニットの概略的な断面図である。
【図16】 図16は、第6の変形例のノズルユニットの概略的な断面図である。
【図17】 図17(A)ないし(C)は、(A)広げられているモード、(B)圧力がマ
ッチされているモード、並びに、(C)絞られているモードで作動しているガス
注入マニホルドのセクションの概略的な断面図である。
【図18】 図18は、放射状に配置されているノズルユニットを有している、ガス注入マ
ニホルドの平面図である。
【図19】 図19は、本発明のガス注入マニホルドの概略的な断面図であり、このマニホ
ルドは、ノズルアレイを形成されているノズルアレイプレートを有しており、ま
た、このノズルアレイ内のノズルは、収束し発散している二重の円錐形の断面を
有している。
【図20】 図20は、図19のガス注入マニホルドのノズルの概略的な拡大断面図である
【図21】 図21は、図19と同様な本発明のガス注入マニホルドの概略的な断面図であ
るが、ノズルは、ガスの入口部の円筒形の上部セクションと、ガスの出口部の凹
形の下部セクションとを有している。
【図22】 図22は、図21のガス注入マニホルドのノズルの概略的な拡大断面図である
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CO,CR,CU,CZ,DE ,DK,DM,DZ,EE,ES,FI,GB,GD, GE,GH,GM,HR,HU,ID,IL,IN,I S,JP,KE,KG,KP,KR,KZ,LC,LK ,LR,LS,LT,LU,LV,MA,MD,MG, MK,MN,MW,MX,MZ,NO,NZ,PL,P T,RO,RU,SD,SE,SG,SI,SK,SL ,TJ,TM,TR,TT,TZ,UA,UG,US, UZ,VN,YU,ZA,ZW Fターム(参考) 4K030 CA04 DA04 EA05 FA03 FA04 KA30 KA39 LA15 5F004 BA04 BA20 BB28 BB29 BC03 BD04 CA02 DA00 DA01 DA04 DA18 DA22 DA23 DA24 DA26 EB04 EB05 5F045 AA08 DP03 EE04 EF05 EF08 EF09 EF11 EH05 EH11 EH13

Claims (70)

    【特許請求の範囲】
  1. 【請求項1】 貫通ボア内で移動されたとき、真空チャンバ内への前記貫通
    ボアを通るガス流を変化させるように、前記貫通ボア内に調節可能に配置されて
    いる移動可能なノズルプラグと、前記貫通ボアとを、各々が有し、前記チャンバ
    内に配置されている複数の調節可能なノズルユニットを具備している、前記チャ
    ンバ内へのガス流を調節可能に制御するガス注入マニホルド装置。
  2. 【請求項2】 a)上面と、下面と、第1及び第2の端部とを有し、少なく
    とも1つの貫通アパーチャが形成されているバックプレートと、 b)上面と、下面とを有し、前記バックプレートの近くで前記バックプレート
    にほぼ平行に配置されており、また、下面から延びている前記ノズルプラグをさ
    らに有しているプラグプレートと、 c)上面と、下面と、第1及び第2の端部とを有し、前記プラグプレートの下
    面の近くに配置されており、また、各々がボア中心軸を備えている、前記貫通ボ
    アを有しており、接続部材を介して、前記バックプレートと接続されており、そ
    して、前記ノズルプラグは、前記ボア中心軸に沿って、夫々の前記貫通ボア内で
    移動可能に延ばされ、従って、前記ノズルユニットの各々に、ガスの入口領域と
    、喉部と、ガスの出口領域とを形成するように配置されている注入プレートとを
    、 さらに具備しており、 d)前記バックプレートの下面と、前記注入プレートの上面と、前記接続部材
    とは、加圧可能なプレナムを規定しており、また、この加圧可能なプレナムから
    前記真空チャンバへの前記ノズルユニットを通るガス流を調節するために、前記
    ノズルプラグは、前記喉部の配置と、前記喉部の面積と、前記出口領域の面積と
    、前記貫通ボア内の前記出口領域の向こうの前記真空チャンバと前記加圧可能な
    プレナムとの間の圧力比との少なくとも1つを変化させるように移動可能である
    、 請求項1の装置。
  3. 【請求項3】 前記ノズルプラグの数は、前記貫通ボアの数と同じである請
    求項1の装置。
  4. 【請求項4】 前記接続部材は、前記バックプレート及び前記注入プレート
    の夫々の、第1及び第2の前記端部に接続されている請求項2の装置。
  5. 【請求項5】 前記バックプレートに接続されている変位アクチュエータを
    さらに具備し、この変位アクチュエータによって、前記バックプレートに対して
    前記プラグプレートを変位させるような請求項2の装置。
  6. 【請求項6】 前記変位アクチュエータは、電気機械的な装置である請求項
    5の装置。
  7. 【請求項7】 前記変位アクチュエータは、圧電変換器である請求項5の装
    置。
  8. 【請求項8】 前記変位アクチュエータの全てと電気的に接続している変位
    アクチュエータ制御ユニットをさらに具備している請求項5の装置。
  9. 【請求項9】 前記プレナムは、複数のセクションに分割されている請求項
    2の装置。
  10. 【請求項10】 前記バックプレートは、前記プレナムの複数のセクション
    の夫々に開通している複数の貫通アパーチャを有している請求項9の装置。
  11. 【請求項11】 前記注入プレートは、陽極酸化されているアルミニウムの
    ような材料で形成されている請求項2の装置。
  12. 【請求項12】 前記注入プレートは、アルミナ又は石英のような誘電材で
    形成されている請求項2の装置。
  13. 【請求項13】 前記ノズルプラグは、陽極酸化されているアルミニウムの
    ような材料で形成されている請求項2の装置。
  14. 【請求項14】 前記ノズルプラグは、アルミナ又は石英のような誘電材で
    形成されている請求項2の装置。
  15. 【請求項15】 前記プラグプレートの下面は、消耗材で作られている請求
    項2の装置。
  16. 【請求項16】 前記消耗材は、シリコン、シリコンカーバイド、並びに、
    石英からなる材料のグループ中の少なくとも1つである請求項15の装置。
  17. 【請求項17】 前記プラグプレートは、第1及び第2のプレート部材を有
    しており、この第2のプレート部材は、前記注入プレートの近くにあり、消耗材
    で作られている請求項2の装置。
  18. 【請求項18】 各々の前記ノズルプラグの少なくとも一部分が、消耗材で
    作られている請求項17の装置。
  19. 【請求項19】 前記消耗材は、シリコン、シリコンカーバイド、並びに、
    石英からなる材料のグループ中の少なくとも1つである請求項17の装置。
  20. 【請求項20】 前記注入プレートの下面は、消耗材で作られている請求項
    2の装置。
  21. 【請求項21】 前記消耗材は、シリコン、シリコンカーバイド、並びに、
    石英からなる材料のグループ中の少なくとも1つである請求項20の装置。
  22. 【請求項22】 前記注入プレートは、第1及び第2のプレート部材を有し
    ており、この第2のプレート部材は、前記プラグプレートの反対側にあり、消耗
    材で作られている請求項2の装置。
  23. 【請求項23】 前記消耗材は、シリコン、シリコンカーバイド、並びに、
    石英からなる材料のグループ中の少なくとも1つである請求項22の装置。
  24. 【請求項24】 前記プラグプレートは、独立して移動可能な複数のセクシ
    ョンを有している請求項2の装置。
  25. 【請求項25】 前記プラグプレートの複数のセクションは、同心的に配置
    されている請求項24の装置。
  26. 【請求項26】 前記プラグプレートの複数のセクションは、方位方向のセ
    クションにさらに分割されている請求項25の装置。
  27. 【請求項27】 前記プラグプレートは、前記プレナムの複数のセクション
    の各々に対応している、独立して移動可能なセクションを有している請求項9の
    装置。
  28. 【請求項28】 各々の前記ノズルプラグは、これらの独立した移動を与え
    るように、変位アクチュエータによって前記バックプレートに接続されている請
    求項2の装置。
  29. 【請求項29】 各前記調節可能なノズルユニットは、環形状の喉部を有し
    ている請求項2の装置。
  30. 【請求項30】 前記ノズルユニットは、圧力がマッチされている状態と、
    広げられている状態と、絞られている状態との間で調節可能であるガス流を与え
    るように調節可能である請求項2の装置。
  31. 【請求項31】 前記ノズルユニットは、関連している喉部の面積を備えて
    いる喉部領域を有しており、この喉部の面積を変化させるように調節可能である
    請求項1の装置。
  32. 【請求項32】 前記ノズルユニットは、関連している出口の面積を備えて
    いる出口領域を有しており、この出口の面積を変化させるように調節可能である
    請求項1の装置。
  33. 【請求項33】 前記ノズルユニットは、喉部の面積を備えている喉部領域
    と、出口の面積を備えている出口領域とを有しており、この喉部の面積及び出口
    の面積を変化させるように調節可能である請求項1の装置。
  34. 【請求項34】 前記ノズルユニットは、前記真空チャンバ内の圧力に対す
    る加圧可能なプレナムの圧力の比を変化させるように調節可能である請求項1の
    装置。
  35. 【請求項35】 前記ノズルユニットは、ノズルの出口領域の圧力に対する
    加圧可能なプレナムの圧力の比を変化させるように調節可能である請求項1の装
    置。
  36. 【請求項36】 前記ノズルプラグの少なくとも1つは、前記プラグプレー
    トに近接している下部と、この下部の反対側にある上部とを有しており、この下
    部は、外向きにテーパが形成されており、前記上部は、内向きにテーパが形成さ
    れている請求項2の装置。
  37. 【請求項37】 前記下部のテーパは、線形、あるいは、非線形である請求
    項36の装置。
  38. 【請求項38】 前記上部のテーパは、線形、あるいは、非線形である請求
    項36の装置。
  39. 【請求項39】 前記ボアは、円筒形であり、前記ガスの出口に向かって、
    内向きにテーパが形成されている請求項36の装置。
  40. 【請求項40】 前記ノズルプラグは、前記注入プレートの下面を越えて延
    び得る先端部をさらに有している請求項36の装置。
  41. 【請求項41】 前記先端部は、平らな部分を有している請求項40の装置
  42. 【請求項42】 前記ガスの出口領域は、関連しているガスの出口の面積を
    有しており、また、前記環形状の喉部は、関連している喉部の面積を有しており
    、そして、前記喉部の面積に対する前記ガスの出口の面積の比は、前記ノズルユ
    ニットの調節とは独立している請求項29の装置。
  43. 【請求項43】 前記ノズルプラグの少なくとも1つは、前記プラグプレー
    トに近接している下部と、この下部の反対側にある上部とを有しており、この下
    部は、円筒形であり、前記上部は、内向きにテーパを形成されており、また、前
    記ボアは、前記注入プレートの下面で内向きに形成されている急なテーパを除い
    て円筒形である請求項2の装置。
  44. 【請求項44】 前記ノズルプラグのテーパ及び前記ボアのテーパは、非線
    形で、滑らかに変化している請求項43の装置。
  45. 【請求項45】 前記ノズルプラグの少なくとも1つは、外向きにテーパを
    形成されており、また、前記ボアは、外向きにテーパを形成されている請求項2
    の装置。
  46. 【請求項46】 前記ボアのテーパは、前記ノズルプラグのテーパよりも大
    きい、又は、等しい請求項45の装置。
  47. 【請求項47】 前記ノズルの喉部は、前記ガスの入口領域と前記ガスの出
    口領域との1つに位置する請求項29の装置。
  48. 【請求項48】 前記ノズルの喉部は、前記ガスの入口領域と前記ガスの出
    口領域との間に位置する請求項29の装置。
  49. 【請求項49】 前記ノズルユニットの空間的な分布が、前記ガス注入マニ
    ホルドの全体にわたって変化している請求項2の装置。
  50. 【請求項50】 a)上面と、下面と、第1及び第2の端部とを有し、1以
    上の貫通ボアが形成されているバックプレートと、 b)第1及び第2の端部を有し、前記バックプレートの近くに配置され、夫々
    の第1及び第2の端部で接続部材を介して前記バックプレートに接続されており
    、また、前記接続部材と前記バックプレートと共に加圧可能なプレナムを規定し
    ているノズルアレイプレートと、 c)内壁と、ガスの入口領域と、ガスの出口領域と、これらガスの入口領域と
    ガスの出口領域との間に実質的に配置されている喉部とを備えているボアを、各
    々が有し、前記ノズルアレイプレートに形成されている複数のノズルとを、 具備している、プラズマ処理装置の真空チャンバ内へのガスの音速流を制御す
    るためのガス注入マニホルド装置。
  51. 【請求項51】 前記複数のノズルは、互いに同じ大きさで作られている請
    求項50の装置。
  52. 【請求項52】 前記内壁は、ガスが、前記ノズルを通っているとき、全圧
    の損失が最小化されるように設計されている請求項50の装置。
  53. 【請求項53】 前記ノズルは、前記ノズルの出口での第1の圧力と、前記
    真空チャンバ内の第2の圧力との間で圧力をマッチされる請求項50の装置。
  54. 【請求項54】 前記ノズルは、二重の円錐の形状と凹形との少なくとも1
    つである請求項50の装置。
  55. 【請求項55】 第1の前記ノズルの各々が、第1の流れの状態に基づいて
    所定の大きさに作られており、また、第2の前記ノズルの各々が、第2の流れの
    状態に基づいて所定の大きさに作られている請求項54の装置。
  56. 【請求項56】 a)プラズマを維持し得る内部領域を有しているチャンバ
    と、 b)このチャンバの前記内部領域内に配置されている請求項1のガス注入マニ
    ホルド装置と、 c)前記チャンバの内部領域内で、前記ガス注入マニホルド装置の近くに配置
    されている、ウェハを支持するためのペデスタルとを、 具備している、ウェハを処理するためのプラズマ処理システム。
  57. 【請求項57】 a)前記ガス注入マニホルド装置と気体連通しているガス
    供給システムと、 b)前記貫通ボア内で、前記複数のノズルプラグを変位させるような複数の変
    位アクチュエータと、 c)これら複数の変位アクチュエータと電気的に接続している変位アクチュエ
    ータ制御ユニットと、 d)前記ガス供給システムと、前記変位アクチュエータ制御ユニットとに電気
    的に接続している制御ユニットとを、 さらに具備している請求項56のシステム。
  58. 【請求項58】 a)前記プレナム内に配置され、前記制御ユニットに電気
    的に接続されている第1の圧力センサと、 b)前記チャンバの内部領域内に配置され、前記制御ユニットに電気的に接続
    されている第2の圧力センサとを、 さらに具備している請求項57の装置。
  59. 【請求項59】 前記チャンバの内部領域と気体連通している真空システム
    をさらに具備している請求項56の装置。
  60. 【請求項60】 a)プラズマを維持し得る内部領域を有しているプラズマ
    反応チャンバと、 b)処理されるウェハを支持するための、前記内部領域内に配置されているペ
    デスタルと、 c)前記チャンバの内部領域内で、前記ペデスタルの近くで前記ペデスタルに
    ほぼ平行に配置されている請求項1のガス注入マニホルドと、 d)このガス注入マニホルドにガスを供給するためのガス供給システムと、 e)プラズマを生成するようなコイル又は電極と電気的に接続している第1の
    RF電力のソースと、 f)前記プラズマチャンバの内部領域内に、RFで駆動される場を生成するよ
    うな、前記ウェハのペデスタルに電気的に接続している第2のRF電力のソース
    とを、 具備している、ウェハを処理するためのプラズマ処理システム。
  61. 【請求項61】 a)プラズマ反応チャンバ内にウェハを配置する工程と、 b)前記チャンバを排気する工程と、 c)前記プラズマチャンバ内にプラズマを生成する工程と、 d)ガスが、ウェハ全体にわたって、ウェハに対してほぼ垂直な角度の平行に
    されているビームの形態でウェハに当てられるように、ウェハの上方に直接配置
    されている複数のノズルユニットを通して音速又は音速以上の速さでガスを流す
    工程とを、 具備している、プラズマ反応システム内でウェハを処理する方法。
  62. 【請求項62】 a)真空チャンバ内にウェハを配置する工程と、 b)前記チャンバを排気する工程と、 c)ガスが、ウェハ全体にわたって、ウェハに対してほぼ垂直な角度の平行な
    ビームの形態で、ウェハに当たるように、ウェハの上方に直接配置されている複
    数のノズルユニットを通して音速又は音速以上の速さでガスを流す工程とを、 具備している、真空システム内でウェハを処理する方法。
  63. 【請求項63】 a)ウェハの近くに配置され、ガス流を制御し得る複数の
    調節可能なノズルユニットを有しているガス注入マニホルドを与える工程と、 b)このガス注入マニホルドにガスを流す工程と、 c)調節されている前記1以上のノズルユニットからのガス流の状態が、圧力
    がマッチされている状態と、広げられている状態と、絞られている状態との1つ
    であるように、前記1以上のノズルユニットを制御することによって、前記注入
    マニホルドからチャンバの内部領域内への、ウェハに向かうガス流を調節する工
    程とを、 具備している、プラズマを維持し得る前記内部領域を備えている前記チャンバ
    を有しているプラズマ反応システム内でウェハを処理する方法。
  64. 【請求項64】 d)前記チャンバの内部領域内及び前記ガス注入マニホル
    ド内の圧力をモニタする工程と、 e)工程d)での圧力をモニタする工程に基づいて、前記チャンバ内へのガス
    流を調節する工程とを、 さらに具備している請求項63の方法。
  65. 【請求項65】 前記工程c)は、ウェハの異なった領域へのガス流を、変
    化させることを含んでいる請求項64の方法。
  66. 【請求項66】 前記ガス注入マニホルドは、複数のプレナムセクションに
    分割されている加圧可能なプレナムを有しており、また、複数の前記プレナムセ
    クションの各々へのガス流は、ウェハの異なった領域へのガス流を変化させるよ
    うに独立に制御され得る請求項64の方法。
  67. 【請求項67】 前記ガス注入マニホルドを与える工程a)は、プラズマに
    よって消耗可能な材料で形成されている、プラズマに露出される表面を有してい
    る前記マニホルドを与える工程である請求項64の方法。
  68. 【請求項68】 前記チャンバの内部領域へのガス流は、データベースに蓄
    積されている、様々な処理状態の1つに関連している情報に基づいて制御される
    請求項64の方法。
  69. 【請求項69】 a)ガスが、通ってウェハに流れ得る、所望のセットの処
    理状態についてガスを最適に流すような大きさで作られている複数のノズルを有
    しているガス注入マニホルドを、ウェハの近くに与える工程と、 b)ウェハにほぼ垂直に当てるように、前記ガス注入マニホルドを通してガス
    を流す工程とを、 具備している、プラズマを維持し得る内部領域を備えているチャンバを有して
    いるプラズマ反応システム内でウェハを処理する方法。
  70. 【請求項70】 前記工程a)は、第1の流れの状態に基づいて所定の大き
    さで作られている第1のセットのノズルと、第2の流れの状態に基づいて所定の
    大きさで作られている第2のセットのノズルとを与える工程を含んでいる請求項
    69の方法。
JP2001573060A 2000-03-30 2001-03-23 プラズマ処理システム内への調整可能なガス注入のための方法及び装置 Withdrawn JP2003529926A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19323100P 2000-03-30 2000-03-30
US60/193,231 2000-03-30
PCT/US2001/009196 WO2001075188A2 (en) 2000-03-30 2001-03-23 Method of and apparatus for gas injection

Publications (2)

Publication Number Publication Date
JP2003529926A true JP2003529926A (ja) 2003-10-07
JP2003529926A5 JP2003529926A5 (ja) 2008-03-06

Family

ID=22712753

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001573060A Withdrawn JP2003529926A (ja) 2000-03-30 2001-03-23 プラズマ処理システム内への調整可能なガス注入のための方法及び装置

Country Status (5)

Country Link
US (1) US6872259B2 (ja)
JP (1) JP2003529926A (ja)
AU (1) AU2001247685A1 (ja)
TW (1) TW501168B (ja)
WO (1) WO2001075188A2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
JP2006515039A (ja) * 2003-04-16 2006-05-18 アプライド マテリアルズ インコーポレイテッド 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
JP2008506273A (ja) * 2004-07-12 2008-02-28 アプライド マテリアルズ インコーポレイテッド ガス拡散器湾曲によるプラズマ均一性の制御
JP2010062382A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構
KR20160041799A (ko) * 2014-10-07 2016-04-18 에이에스엠 아이피 홀딩 비.브이. 가변 컨덕턴스 가스 분배 장치 및 방법
KR20160049630A (ko) * 2014-10-28 2016-05-10 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
JP2016538427A (ja) * 2013-09-24 2016-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス供給を制御するための方法及びコントローラ
WO2017119074A1 (ja) * 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
JP2017143248A (ja) * 2015-12-31 2017-08-17 ラム リサーチ コーポレーションLam Research Corporation 半導体処理装置においてシャワーヘッド傾斜を動的に調整するためのアクチュエータ
JP2019009271A (ja) * 2017-06-23 2019-01-17 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の製造方法
JP2019050281A (ja) * 2017-09-08 2019-03-28 東芝メモリ株式会社 プラズマ処理装置
JP2019536268A (ja) * 2016-11-09 2019-12-12 ラム リサーチ コーポレーションLam Research Corporation アクティブシャワーヘッド
JP2021522687A (ja) * 2018-05-03 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流量分布調節のための万能調整可能遮蔽板
WO2023043453A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. One side anodization of diffuser

Families Citing this family (599)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US7147793B2 (en) * 2001-06-07 2006-12-12 Tokyo Electron Limited Method of and apparatus for tailoring an etch profile
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
JP2004143521A (ja) * 2002-10-24 2004-05-20 Sony Corp 薄膜形成装置
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6864174B2 (en) * 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
KR100500470B1 (ko) * 2003-03-31 2005-07-12 삼성전자주식회사 고주파 파워를 이용하는 반도체소자 제조설비의 공정가스공급장치와 공정가스 공급시스템 및 그 방법
DE10317027A1 (de) * 2003-04-11 2004-11-11 Leybold Optics Gmbh Hochfrequenz-Plasmastrahlquelle und Verfahren zum Bestrahlen einer Oberfläche
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
JP4074593B2 (ja) * 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
US20050202291A1 (en) * 2004-03-09 2005-09-15 Schweizer Patrick M. Shutter mechanism for fuel cell
JP4202292B2 (ja) * 2004-03-22 2008-12-24 シャープ株式会社 プラズマ処理装置
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4572127B2 (ja) * 2005-03-02 2010-10-27 東京エレクトロン株式会社 ガス供給部材及びプラズマ処理装置
TWI552797B (zh) * 2005-06-22 2016-10-11 恩特葛瑞斯股份有限公司 整合式氣體混合用之裝置及方法
EP2813294A1 (en) 2005-08-30 2014-12-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US7703479B2 (en) * 2005-10-17 2010-04-27 The University Of Kentucky Research Foundation Plasma actuator
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP2007317988A (ja) * 2006-05-29 2007-12-06 Shin Etsu Handotai Co Ltd 貼り合わせウエーハの製造方法
DE502006001508D1 (de) * 2006-06-13 2008-10-16 Softal Elektronik Gmbh Elektrodenanordnung
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7988103B2 (en) * 2007-01-19 2011-08-02 John Hopkins University Solid state supersonic flow actuator and method of use
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
CN101647103B (zh) * 2007-03-27 2012-05-23 佳能安内华股份有限公司 真空处理装置
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
CN101981661A (zh) 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理系统中离子源的清洗
WO2009104732A1 (ja) * 2008-02-20 2009-08-27 東京エレクトロン株式会社 ガス供給装置
GB2457708B (en) * 2008-02-22 2010-04-14 Microsaic Systems Ltd Mass spectrometer system
KR101404010B1 (ko) * 2008-03-06 2014-06-12 주성엔지니어링(주) 기판 가장자리 식각장치 및 이를 이용한 기판 가장자리식각방법
US8291856B2 (en) * 2008-03-07 2012-10-23 Tokyo Electron Limited Gas heating device for a vapor deposition system
KR20100139092A (ko) * 2008-03-26 2010-12-31 지티 솔라 인코퍼레이티드 금-코팅된 폴리실리콘 반응기 시스템 및 방법
CN102027156A (zh) 2008-03-26 2011-04-20 Gt太阳能公司 在化学气相沉积反应器中用于配气的系统和方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US20100233353A1 (en) * 2009-03-16 2010-09-16 Applied Materials, Inc. Evaporator, coating installation, and method for use thereof
US8931431B2 (en) 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
JP5336968B2 (ja) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 プラズマ処理装置用電極及びプラズマ処理装置
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR102102787B1 (ko) * 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10378108B2 (en) * 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10354842B2 (en) * 2015-11-13 2019-07-16 Lam Research Corporation Pneumatic exhaust system
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10418226B2 (en) * 2016-05-27 2019-09-17 Toshiba Mitsubishi-Electric Industrial Systems Corporation Activated gas generation apparatus
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018020626A1 (ja) * 2016-07-28 2018-02-01 株式会社 日立ハイテクノロジーズ 荷電粒子線装置
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP6796450B2 (ja) * 2016-10-25 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102515110B1 (ko) * 2018-01-29 2023-03-28 주성엔지니어링(주) 기판처리장치
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102329735B1 (ko) * 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111312411B (zh) * 2018-12-11 2022-10-21 核工业西南物理研究院 液化惰性气体射流注入防护等离子体破裂的方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112951696B (zh) * 2019-12-10 2024-04-09 中微半导体设备(上海)股份有限公司 等离子体处理设备及其气体挡板结构、等离子体处理方法
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11692267B2 (en) 2020-12-31 2023-07-04 Applied Materials, Inc. Plasma induced modification of silicon carbide surface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113801360B (zh) * 2021-11-18 2022-03-04 赛宁(苏州)生物科技有限公司 一种用于实现低吸附塑料移液吸头的表面处理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3589394A (en) * 1969-03-11 1971-06-29 Deggendorfer Werft Eisenbau Device for distributing flow media over several passage openings
DE1913013A1 (de) * 1969-03-14 1971-04-01 Aga Ab Vorrichtung zur Erzeugung eines gleichmaessigen Gasdruckes
JPS58176196A (ja) * 1982-04-06 1983-10-15 Matsushita Electric Ind Co Ltd 化合物結晶成長装置
JPS62183111A (ja) * 1986-02-06 1987-08-11 Fuji Electric Co Ltd レ−ザcvd装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH02198138A (ja) 1989-01-27 1990-08-06 Nec Corp 平行平板型ドライエッチング装置の電極板
DE4018954A1 (de) 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
US5174825A (en) * 1990-08-23 1992-12-29 Texas Instruments Incorporated Uniform gas distributor to a wafer
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5286331A (en) 1991-11-01 1994-02-15 International Business Machines Corporation Supersonic molecular beam etching of surfaces
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
JPH06204181A (ja) 1992-12-29 1994-07-22 Ibiden Co Ltd プラズマエッチング用電極板
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
KR100201386B1 (ko) 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USRE40046E1 (en) * 1997-04-11 2008-02-12 Tokyo Electron Limited Processing system
US6315858B1 (en) * 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515039A (ja) * 2003-04-16 2006-05-18 アプライド マテリアルズ インコーポレイテッド 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
JP2008506273A (ja) * 2004-07-12 2008-02-28 アプライド マテリアルズ インコーポレイテッド ガス拡散器湾曲によるプラズマ均一性の制御
JP2010062382A (ja) * 2008-09-04 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
JP2016538427A (ja) * 2013-09-24 2016-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス供給を制御するための方法及びコントローラ
JP2016539506A (ja) * 2013-11-26 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
KR20160089508A (ko) * 2013-11-26 2016-07-27 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR102271731B1 (ko) 2013-11-26 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR20160041799A (ko) * 2014-10-07 2016-04-18 에이에스엠 아이피 홀딩 비.브이. 가변 컨덕턴스 가스 분배 장치 및 방법
KR102455372B1 (ko) 2014-10-07 2022-10-14 에이에스엠 아이피 홀딩 비.브이. 가변 컨덕턴스 가스 분배 장치 및 방법
KR20160049630A (ko) * 2014-10-28 2016-05-10 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
KR101670296B1 (ko) * 2014-10-28 2016-10-28 최도현 파티클 저감 구조를 갖는 플라즈마 챔버
JP2017143248A (ja) * 2015-12-31 2017-08-17 ラム リサーチ コーポレーションLam Research Corporation 半導体処理装置においてシャワーヘッド傾斜を動的に調整するためのアクチュエータ
JP7258962B2 (ja) 2015-12-31 2023-04-17 ラム リサーチ コーポレーション 半導体処理装置においてシャワーヘッド傾斜を動的に調整するためのアクチュエータ
US11230765B2 (en) 2015-12-31 2022-01-25 Lam Research Corporation Actuator to adjust dynamically showerhead tilt in a semiconductor-processing apparatus
JP2021170671A (ja) * 2015-12-31 2021-10-28 ラム リサーチ コーポレーションLam Research Corporation 半導体処理装置においてシャワーヘッド傾斜を動的に調整するためのアクチュエータ
KR102112432B1 (ko) * 2016-01-06 2020-05-18 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 공급 장치
JPWO2017119074A1 (ja) * 2016-01-06 2018-04-26 東芝三菱電機産業システム株式会社 ガス供給装置
WO2017119074A1 (ja) * 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US11453945B2 (en) 2016-01-06 2022-09-27 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas supply apparatus
KR20180077274A (ko) * 2016-01-06 2018-07-06 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 공급 장치
JP2019536268A (ja) * 2016-11-09 2019-12-12 ラム リサーチ コーポレーションLam Research Corporation アクティブシャワーヘッド
JP2019009271A (ja) * 2017-06-23 2019-01-17 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の製造方法
JP2019050281A (ja) * 2017-09-08 2019-03-28 東芝メモリ株式会社 プラズマ処理装置
JP2021522687A (ja) * 2018-05-03 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流量分布調節のための万能調整可能遮蔽板
WO2023043453A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. One side anodization of diffuser

Also Published As

Publication number Publication date
WO2001075188A2 (en) 2001-10-11
WO2001075188A3 (en) 2002-05-23
US20030019580A1 (en) 2003-01-30
AU2001247685A1 (en) 2001-10-15
TW501168B (en) 2002-09-01
US6872259B2 (en) 2005-03-29

Similar Documents

Publication Publication Date Title
JP2003529926A (ja) プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4550507B2 (ja) プラズマ処理装置
KR101184070B1 (ko) 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치
KR101432850B1 (ko) 고속 가스 스위칭 플라즈마 프로세싱 장치
KR100865054B1 (ko) 스위칭 방식 균일성 제어
TWI593317B (zh) 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法
US6417111B2 (en) Plasma processing apparatus
US20040112540A1 (en) Uniform etch system
JP2001023955A (ja) プラズマ処理装置
JP2003529926A5 (ja) プラズマ処理システム内への調整可能なガス注入のための装置
KR20050079860A (ko) 마이크로 웨이브 공급장치, 이를 이용한 플라즈마공정장치 및 플라즈마 공정방법
JP2000294538A (ja) 真空処理装置
JP2002217171A (ja) エッチング装置
US11837443B2 (en) Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
KR100370440B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5005268B2 (ja) プラズマ処理装置
JP2006344701A (ja) エッチング装置およびエッチング方法
JP4180896B2 (ja) プラズマ処理装置
CN112908886B (zh) 半导体处理设备
JPH10219442A (ja) スパッタ装置
JP4963694B2 (ja) プラズマ処理装置
CN101623680A (zh) 一种进气装置及应用该进气装置的半导体处理设备
JP7102252B2 (ja) プラズマ処理装置
JP2001085413A (ja) 処理装置
JP2018157047A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080118

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090330