JP2001023955A - プラズマ処理装置 - Google Patents

プラズマ処理装置

Info

Publication number
JP2001023955A
JP2001023955A JP11192932A JP19293299A JP2001023955A JP 2001023955 A JP2001023955 A JP 2001023955A JP 11192932 A JP11192932 A JP 11192932A JP 19293299 A JP19293299 A JP 19293299A JP 2001023955 A JP2001023955 A JP 2001023955A
Authority
JP
Japan
Prior art keywords
gas
plasma
reaction chamber
gas supply
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11192932A
Other languages
English (en)
Inventor
Kazuyasu Nishikawa
和康 西川
Hiroki Odera
廣樹 大寺
Masakazu Taki
正和 滝
Kenji Shintani
賢治 新谷
Shingo Tomohisa
伸吾 友久
Tatsuo Omori
達夫 大森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP11192932A priority Critical patent/JP2001023955A/ja
Priority to US09/496,254 priority patent/US20020088542A1/en
Publication of JP2001023955A publication Critical patent/JP2001023955A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】 反応室にパルス的にガスを供給する手段を備
えたプラズマ処理装置において、超音速自由流が成立し
ない場合においても試料の処理速度および形状の試料面
内での均一化を図る。 【解決手段】 ガスを用いてプラズマを生成して試料を
プラズマ処理する反応室1と、前記反応室にパルス的に
前記ガスを供給するガス供給手段9と、前記反応室を排
気する排気手段6とを備えたプラズマ処理装置におい
て、前記ガス供給手段9によるガス供給方向と前記排気
手段6による排気方向を同一方向にした。また、反応室
1は中心軸に対して軸対称な形状を有し、ガス供給手段
9が前記中心軸上または前記中心軸に対して対称に配置
され、排気手段6が前記中心軸に対して対称に配置され
た。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、プラズマを利用
して試料の表面への薄膜の形成や試料の表面のエッチン
グなどを行なうプラズマ処理装置に関する。
【0002】
【従来の技術】従来、試料、例えば基板や半導体ウエハ
(以下、「ウエハ」という)などを処理室内においてプ
ラズマで処理する装置として、高周波(RF)を用いた
平行平板型のプラズマ処理装置が広く用いられており、
いずれか一方の電極または双方の電極に高周波を印加す
ることにより、電極間にプラズマを発生させ、このプラ
ズマと試料との間の自己バイアス電位によりイオンを試
料の表面に入射させ、たとえばエッチング処理を行なう
ように構成されている。
【0003】しかし、上述した平行平板型プラズマ処理
装置では、半導体デバイスの高集積化および高性能化に
伴って要求される微細加工・低ダメージを実現すること
は困難である。すなわち、かかるプロセスを実現するた
めには、低圧領域で高密度プラズマを生成・制御するこ
とが重要であり、さらに大口径ウエハにも対応できるよ
うに、そのプラズマは大面積で均一であることが必要で
ある。
【0004】このような要求に対して、さまざまなプラ
ズマ源や処理方法が提案され、半導体プロセスに応用さ
れてきている。これらの中で、図14に示す特開平7−
263353号公報に記載の、パルスガスバルブを用い
て処理ガスをパルス的に反応容器内に供給するプラスマ
処理装置は、プラズマ生成室と処理室の圧力差によりプ
ラズマ温度の低下を図ることが可能であることから、そ
の半導体プロセスへの適用が期待されている。図におい
て、2はプラズマにより試料例えばウエハ8をプラズマ
処理する処理室、3はプラズマを生成するプラズマ生成
室であり、反応室1はこれら2つの部屋からなる。4は
処理室2とプラズマ生成室3とを隔離する隔壁板、5は
隔壁板4に設けられ処理室2とプラズマ生成室3とを連
通する孔、6は排気口、7はステージ、9はプラズマ生
成室3にパルス的にガスを供給するパルスガスバルブ、
10はパルスガスバルブ9の駆動装置、11はガス導入
管、12は導波管、13はマイクロ波導入窓、14は磁
場コイルである。このプラズマ処理装置では、プラズマ
生成室3で生成されたプラズマは隔壁板4の孔5を通し
て処理室2に導入される。このとき、プラズマ生成室3
と処理室2の圧力差により、プラズマは超音速自由噴流
として処理室2に導かれると同時にプラズマ温度が低下
し、異方性で低ダメージなエッチング処理を行うことが
できる。さらに、隔壁板4の孔5の大きさおよび配置に
より大口径ウエハを均一に処理することが可能である。
【0005】
【発明が解決しようとする課題】上述のようなパルスガ
スバルブを用いるプラズマ処理装置においては、パルス
ガスバルブ9の開閉による圧力差で処理ガスは超音速自
由流として瞬時に反応室1全体に拡散し、ウエハ8表面
に入射する。したがって、反応室1内のガス流れおよび
圧力の偏りの影響無く、ウエハ8の処理速度およびウエ
ハ8面内での形状の均一化を図ることができると考えら
れていた。しかしながら、本発明者らがガスの流れにつ
いてさらに詳細に検討を行った結果、例えばパルスガス
バルブ9を開閉して開ける直前や、パルスガスバルブ9
の開閉の繰り返し周期が短い場合や、パルスガスバルブ
9の閉時間が短い場合のように、圧力差が小さく超音速
自由流が成り立たない場合、処理ガスおよび反応生成物
の流れに偏りが生じ、ウエハ8の処理速度および処理形
状の均一性に問題を生じる可能性があることが分った。
つまり、超音速自由流が成立する条件下においては、パ
ルスガスバルブ9や排気孔6の設置箇所はウエハ8処理
に無関係であるが、超音速自由流が成立しない条件下に
おいては、パルスガスバルブ9と排気孔6の設置箇所に
よっては反応室1内のガス流れおよび圧力の偏りが生
じ、ウエハ8処理に影響を及ぼすという問題点があっ
た。
【0006】本発明は上記の問題点を解決するためにな
されたもので、反応室にパルス的にガスを供給する手段
を備えたプラズマ処理装置において、超音速自由流が成
立しない条件下においても試料の処理速度および形状の
試料面内での均一化を図ることを目的とするものであ
る。
【0007】
【課題を解決するための手段】本発明の第1の構成に係
るプラズマ処理装置は、ガスを用いてプラズマを生成し
て試料をプラズマ処理する反応室と、前記反応室にパル
ス的に前記ガスを供給するガス供給手段と、前記反応室
を排気する排気手段とを備えたプラズマ処理装置におい
て、前記ガス供給手段によるガス供給方向と前記排気手
段による排気方向を同一方向にしたものである。
【0008】本発明の第2の構成に係るプラズマ処理装
置は、上記第1の構成に加えて、前記試料の処理面に直
交する方向から見た時に、前記ガス供給手段によるガス
供給方向は反応室の中心に向かっており、前記排気手段
の配置および排気方向は前記ガス供給方向と同一直線上
から前記中心を通り前記直線と±45度をなす位置まで
の間にあるものである。
【0009】本発明の第3の構成に係るプラズマ処理装
置は、ガスを用いてプラズマを生成して試料をプラズマ
処理する反応室と、前記反応室にパルス的に前記ガスを
供給するガス供給手段と、前記反応室を排気する排気手
段とを備えたプラズマ処理装置において、前記反応室は
中心軸に対して軸対称な形状を有し、前記ガス供給手段
が前記中心軸上または前記中心軸に対して対称に配置さ
れ、前記排気手段が前記中心軸に対して対称に配置され
たものである。
【0010】本発明の第4の構成に係るプラズマ処理装
置は、ガスを用いてプラズマを生成して試料をプラズマ
処理する反応室と、前記反応室にパルス的に前記ガスを
供給するガス供給手段と、前記反応室を排気する排気手
段とを備えたプラズマ処理装置において、前記ガス供給
手段を複数有し、前記ガス供給手段によるガス供給量
を、前記排気手段と距離的に近い位置では少なくし、離
れるに従い多くするように構成したものである。
【0011】本発明の第5の構成に係るプラズマ処理装
置は、上記第4の構成に加えて、排気手段と距離的に近
い位置には少数のガス供給手段を配置し、前記排気手段
から離れるに従い多数のガス供給手段を配置したもので
ある。
【0012】本発明の第6の構成に係るプラズマ処理装
置は、上記第4の構成に加えて、排気手段の近くに配置
されたガス供給手段のガス流量を少なくし、離れるに従
い多くしたものである。
【0013】本発明の第7の構成に係るプラズマ処理装
置は、ガスを用いてプラズマを生成して試料をプラズマ
処理する反応室と、前記反応室にパルス的に前記ガスを
供給するガス供給手段と、前記反応室を排気する排気手
段と、前記反応室をプラズマを生成するプラズマ生成室
とプラズマにより試料を処理する処理室とに分割する隔
壁板と、前記隔壁板に設けられ前記プラズマ生成室と前
記処理室とを連通する孔とを備えたプラズマ処理装置に
おいて、前記孔の数および大きさの少なくとも一方を前
記排気手段からの距離に応じて変化させることにより、
前記孔の開口率を前記排気手段に近い位置で小さく、離
れるに従い大きくしたものである。
【0014】本発明の第8の構成に係るプラズマ処理装
置は、上記第7の構成に加えて、孔の数を排気手段に近
い位置で少なく、離れるに従い多くしたものである。
【0015】本発明の第9の構成に係るプラズマ処理装
置は、上記第7の構成に加えて、孔の大きさを排気手段
に近い位置で小さく、離れるに従い大きくしたものであ
る。
【0016】
【発明の実施の形態】以下に、図面を参照しながら、本
発明によるプラズマ処理装置の各実施の形態を、エッチ
ング装置について説明する。
【0017】実施の形態1.図1は本発明の実施の形態
1によるプラズマ処理装置の構成を示す断面図である。
図において、2はプラズマにより試料例えばウエハ8を
プラズマ処理する処理室、3はプラズマを生成するプラ
ズマ生成室であり、本実施の形態では、反応室1はこれ
ら2つの部屋からなる。4は処理室2とプラズマ生成室
3とを隔離する隔壁板、5は隔壁板4に設けられ処理室
2とプラズマ生成室3とを連通する孔、6は排気口、7
はステージ、9はプラズマ生成室3にパルス的にガスを
供給するガス供給手段であるパルスガスバルブ、10は
パルスガスバルブ9の駆動装置、11はガス導入管、1
5は高周波アンテナ、16は高周波電源、17は石英
窓、18は高周波電源である。本実施の形態では、反応
室1は例えば内径が40〜50cm、高さが20〜30
cmの円筒形状を有しており、ステージ7は円柱形状ま
たは円板形状で反応室1と同軸上に配置されている。な
お、反応室1やステージ7などは円筒や円柱や円板形状
に限らないが、処理の均一性の面から軸対称であること
が望ましい。また、本実施の形態ではパルスガスバルブ
9を反応室1の中心軸と同軸上に配置するとともに、排
気孔6をリング状として反応室1の中心軸に対して軸対
称に配置した。なお、排気孔6は連続したリング状でな
くても複数の排気孔が同心円上にリング状に配置された
ものであってもよい。
【0018】このように構成されたプラズマ処理装置に
おいて、駆動装置10によりパルスガスバルブ9を動作
させ、パルス的にプラズマ生成室3内へ処理ガスが導入
される。高周波電源16により高周波アンテナ15に高
周波を印加することにより石英窓17を介してプラズマ
生成室3内に誘導電場が形成され、プラズマ生成室3内
において誘導結合型プラズマが生成される。プラズマお
よび中性ラジカルは隔壁板4の孔5を通して、処理室2
内へ輸送される。プラズマ生成室3と処理室2の圧力差
によってプラズマおよび中性ラジカルは超音速自由流と
してウエハ8の表面に入射し、処理が行なわれる。そし
て、中性ラジカルやウエハ処理により生じた反応生成物
は排気孔6を通して排気される。
【0019】本発明によるプラズマ処理装置は、パルス
ガスバルブ9を用いて処理ガスを供給し、プラズマ生成
室3と処理室2との圧力差を利用して、処理室2内にプ
ラズマおよび中性ラジカル種を超音速自由流として噴出
させることを特徴としている。一般に、下記式(1)の
条件下でガスの流れは超音速自由噴流となる。
【0020】
【数1】
【0021】また、超音速自由噴流の先端は下記式
(2)の位置にある。
【0022】
【数2】
【0023】上記の式(1)および(2)における、P
1は貯気容器の圧力、P2はガスを噴出させる容器の圧
力、γはガスの比熱比、xMは超音速自由噴流の位置、
dはノズルの孔径を表す。ノズルの孔は円であることか
ら、超音速自由噴流は軸対称流となる。本実施の形態で
は、P1はプラズマ生成室3の圧力、P2は処理室2の圧
力、dは孔4の直径となる。式(2)より、超音速自由
噴流はパルスガスバルブ9によりガスを供給した瞬間、
圧力差(P1/P2)によりxMはノズル径の数千倍以上
の距離に到達するため、瞬時に試料9の表面に中性ラジ
カルは到達する。しかし、パルスガスバルブ9を閉じ、
圧力差が減少するとともにxMは小さくなり、数式
(1)の条件が満たされない圧力差となったときに通常
のガス流れになる。本実施の形態においては、パルスガ
スバルブ9を反応室1の中心軸と同軸上に配置するとと
もに、排気孔6を反応室1の中心軸に対して対称に配置
したので、超音速自由流が成立しない場合においても、
パルスガスバルブ9から排気孔6に至るガスの流れや圧
力を均一化することができ、ウエハ8面内の処理の均一
化を図ることができる。
【0024】なお、図1ではパルスガスバルブ9による
ガス供給方向と排気孔6による排気方向を同一にした場
合について示したが、これに限るものではなく、図2に
示すようにガスを反応室1の上部から軸方向に導入し、
反応室1の側壁から軸に直交する方向に排出するように
したり、図3に示すように反応室1の側壁から軸に直交
する方向に導入し、反応室1の下部から軸方向に排出す
るようにしたりしてもよく、また、図示していないが、
反応室1の側壁から軸に直交する方向に導入し、反応室
1の側壁から軸に直交する方向に排出するようにしても
よい。なお、図3において、91,92はそれぞれパル
スガスバルブ、111,112はガス導入管であり、こ
の図では反応室1の中心軸に対して対称に2個のパルス
ガスバルブ91,92が設けられている。なお、図では
各パルスガスバルブ91,92の駆動装置は図示を省略
した。
【0025】また、本実施の形態では隔壁板4がある場
合について示したが、隔壁板4が無く、反応室1内でプ
ラズマ生成と処理を行なう装置の場合でも、同様のこと
が言える。
【0026】実施の形態2.次に、パルスガスバルブ9
と排気孔6を反応室1の中心軸と同軸上または中心軸に
対して対称に配置しない場合について説明する。図4お
よび図5は本発明の実施の形態2によるプラズマ処理装
置の構成を示し、図4は断面図、図5は上面図である。
また、図6は比較のために、パルスガスバルブの接続位
置を変更したプラズマ処理装置の構成を示す断面図であ
る。本実施の形態ではパルスガスバルブ9と排気孔6は
反応室1の側壁に設けられており、パルスガスバルブ9
によるガス供給方向と排気孔6による排気方向が同一方
向になっており、しかも図5のようにウエハ8の処理面
に直交する方向から見た時に、パルスガスバルブ9によ
るガス供給方向は反応室1の中心に向かっており、排気
孔6の配置および排気方向はガス供給方向と同一直線上
にあるようになっている。これに対して、図6の比較例
では、パルスガスバルブ9によるガス供給方向と排気孔
6による排気方向が逆方向となっている。
【0027】ここで、図4および図5に示す本実施の形
態による装置と図6に示す比較例による装置において、
シリコン基板上に酸化膜を形成した基板と酸化膜上に更
にポリシリコンを設けた基板について、下記のエッチン
グ条件でエッチング処理を行なった例を示す。
【0028】エッチング条件 パルスガスバルブの開時間: 20msec、 パルスガスバルブの閉時間: 280msec、 塩素ガス流量の平均値: 150sccm、 処理室内の平均圧力: 3mTorr、 RF高周波アンテナの印加電力: 1800W、 基板印加電力: 30W
【0029】ポリシリコンが設けられた基板について
は、本実施の形態による装置構成では、エッチング速度
の均一性は±7%であったのに対して、比較例による装
置構成では±18%となった。なお、酸化膜が設けられ
た基板については、エッチング速度の均一性は、本実施
の形態の場合および比較例の場合ともに±5%であっ
た。この結果から、酸化膜のようにイオン衝撃によるエ
ッチングが主である材料に関しては、ガス流れや圧力分
布の影響は殆どないが、ポリシリコンのように中性ラジ
カル種がエッチングに与える影響の大きい材料に関して
はガス流れや圧力分布の影響があり、本実施の形態によ
る装置の方が均一に処理できることが分る。なお、エッ
チング速度の均一性は、エッチング処理前後の膜厚の差
を処理時間で割って求めた処理速度を49個所で測定
し、これらの統計的バラツキを計算することにより求め
た。
【0030】このように、プラズマ生成室3の側壁にパ
ルスガスバルブ9を設置した装置構成において、パルス
ガスバルブ9の開閉を行なうと、パルスガスバルブ9を
開いた瞬間は上記式(2)よりガスは超音速自由流とし
てプラズマ生成室3の全体に拡散する。しかし、パルス
ガスバルブ9を閉じ、上記式(1)の条件が不成立にな
ると、ガスは通常の希薄気体となるので、プラズマ生成
室3内の圧力分布は不均一になり、結果的に処理室2内
の圧力分布も不均一になる。したがって、処理室2内に
おける中性ラジカルや反応生成物の分布が不均一になる
ので、エッチング処理の不均一性が生じる。しかし、実
施の形態1のようにパルスガスバルブ9と排気孔6が反
応室1と同一軸上に存在しなくても、本実施の形態のよ
うに、パルスガスバルブ9によるガス供給方向と排気孔
6による排気方向が同一方向になっており、しかもウエ
ハ8の処理面に直交する方向から見た時に、パルスガス
バルブ9によるガス供給方向は反応室1の中心に向かっ
ており、排気孔6の配置および排気方向はガス供給方向
と同一直線上にあるように配置されていれば、超音速自
由流が成立しない場合においても、ガス流れおよび圧力
の偏りを低減することができる。
【0031】なお、図5では、パルスガスバルブ9によ
るガス供給方向は反応室1の中心に向かっており、排気
孔6の配置および排気方向はガス供給方向と同一直線上
にあるように配置されている場合について示したが、図
7に示すように、排気孔6の配置および排気方向はガス
供給方向と同一直線上から反応室1の中心を通りガス供
給方向の直線と±45度をなす位置までの間にある場合
にも同様の効果が得られる。
【0032】また、本実施の形態では隔壁板4がある場
合について示したが、隔壁板4が無く、反応室1内でプ
ラズマ生成と処理を行なう装置の場合でも、同様のこと
が言える。
【0033】実施の形態3.図8は本発明の実施の形態
3によるプラズマ処理装置の構成を示す断面図である。
図において、93はパルスガスバルブ、113はガス導
入管である。なお、図では各パルスガスバルブ91,9
2,93の駆動装置は図示を省略した。本実施の形態で
は、排気孔6付近に1個のパルスガスバルブ91が接続
されており、排気孔6と対角線側に2個のパルスガスバ
ルブ92、93が接続されている。このように構成され
たものにおいては、排気孔6から離れた位置に接続した
パルスガスバルブ92、93によって、この領域でのガ
ス流れが多くなるため、排気とのバランスにより、反応
室1内のガス流れや圧力の偏りを防ぐことができ、超音
速自由流が成立しない場合においても、試料面内の処理
の均一化を図ることができる。また、多数のパルスガス
バルブ91,92,93を接続するため、複数のガスを
使用する場合に特に有効である。
【0034】なお、反応室1に接続するパルスガスバル
ブの数は、3個に限るものでなく、要は、排気孔6と距
離的に近い位置には少数のパルスガスバルブを配置し、
排気孔6から離れるに従い多数のパルスガスバルブを配
置すればよく、パルスガスバルブによるガス供給量を排
気孔6と距離的に近い位置では少なくし、離れるに従い
多くするように構成することにより、同様の効果が得ら
れる。また、図では隔壁板が無い場合を示したが、隔壁
板がある場合にも同様の効果が得られる。
【0035】実施の形態4.図9は本発明の実施の形態
4によるプラズマ処理装置の構成を示す断面図である。
図において、94はパルスガスバルブ、114はガス導
入管である。なお、図では各パルスガスバルブ91,9
2,93,94の駆動装置は図示を省略した。本実施の
形態では、反応室1の側壁に複数のパルスガスバルブ9
1、92、93、94が任意の配置(例えば排気孔6付
近に2個、排気孔6と対角線側に2個)で接続されてお
り、排気孔6から離れた位置に接続されているパルスガ
スバルブ93、94の流量を多く、排気孔6付近に接続
されているパルスガスバルブ91、92の流量を少なく
して、パルスガスバルブによるガス供給量を排気孔6と
距離的に近い位置では少なくし、離れるに従い多くする
ように構成することにより、超音速自由流が成立しない
場合においても、反応室1内のガス流れや圧力の偏りを
防ぐことができ、試料面内の処理の均一化を図ることが
できる。さらに、実施の形態3と同様に、複数種のガス
を供給する場合に特に有効である。また、図では隔壁板
が無い場合を示したが、隔壁板がある場合にも同様の効
果が得られる。
【0036】実施の形態5.図10は本発明の実施の形
態5によるプラズマ処理装置の構成を示す断面図であ
り、図11は図10の隔壁板を拡大して示す平面図であ
る。本実施の形態では、排気孔6付近の孔5の数を少な
く、排気孔6から離れた位置の孔5の数を多くすること
により、孔5の開口率を排気口6に近い位置で小さく、
離れるに従い大きくし、超音速自由流が成立しない場合
においても、プラズマ生成室3から処理室2への排気速
度を均一化して反応室1全体のガス流れや圧力の偏りを
防ぐことができ、試料面内の処理の均一化を図ることが
できる。
【0037】実施の形態6.図12は本発明の実施の形
態6によるプラズマ処理装置の構成を示す断面図であ
り、図13は図12の隔壁板を拡大して示す平面図であ
る。本実施の形態では、排気孔6付近の孔5の径を小さ
く、排気孔6から離れた位置の孔5の径を大きくするこ
とにより、孔5の開口率を排気口6に近い位置で小さ
く、離れるに従い大きくし、超音速自由流が成立しない
場合においても、プラズマ生成室3から処理室2への排
気速度を均一化して反応室1全体のガス流れや圧力の偏
りを防ぐことができ、試料面内の処理の均一化を図るこ
とができる。
【0038】なお、上記実施の形態5および6におい
て、ステージ7の周辺に排気用の整流板を配置してもよ
い。
【0039】なお、上記の各実施の形態において示した
配置および数値は説明のために提示したものであり、こ
れに限定されるものではなく、必要に応じて適宜変更し
てもよい。
【0040】
【発明の効果】以上のように、本発明の第1の構成によ
れば、ガスを用いてプラズマを生成して試料をプラズマ
処理する反応室と、前記反応室にパルス的に前記ガスを
供給するガス供給手段と、前記反応室を排気する排気手
段とを備えたプラズマ処理装置において、前記ガス供給
手段によるガス供給方向と前記排気手段による排気方向
を同一方向にしたので、超音速自由流が成立しない場合
においても、ガス供給手段から排気孔に至るガスの流れ
や圧力を均一化することができ、試料面内の処理の均一
化を図ることができる。
【0041】本発明の第2の構成によれば、上記第1の
構成に加えて、前記試料の処理面に直交する方向から見
た時に、前記ガス供給手段によるガス供給方向は反応室
の中心に向かっており、前記排気手段の配置および排気
方向は前記ガス供給方向と同一直線上から前記中心を通
り前記直線と±45度をなす位置までの間にあるので、
装置の構成上、ガス供給手段や排気手段の取り付け位置
に制限があっても、排気手段の配置および排気方向は上
述のように±45度の範囲内にあれば、試料面内の処理
の均一化を図ることができる。
【0042】本発明の第3の構成によれば、ガスを用い
てプラズマを生成して試料をプラズマ処理する反応室
と、前記反応室にパルス的に前記ガスを供給するガス供
給手段と、前記反応室を排気する排気手段とを備えたプ
ラズマ処理装置において、前記反応室は中心軸に対して
軸対称な形状を有し、前記ガス供給手段が前記中心軸上
または前記中心軸に対して対称に配置され、前記排気手
段が前記中心軸に対して対称に配置されたので、超音速
自由流が成立しない場合においても、ガス供給手段から
排気孔に至るガスの流れや圧力を均一化することがで
き、試料面内の処理の均一化を図ることができる。
【0043】本発明の第4の構成によれば、ガスを用い
てプラズマを生成して試料をプラズマ処理する反応室
と、前記反応室にパルス的に前記ガスを供給するガス供
給手段と、前記反応室を排気する排気手段とを備えたプ
ラズマ処理装置において、前記ガス供給手段を複数有
し、前記ガス供給手段によるガス供給量を、前記排気手
段と距離的に近い位置では少なくし、離れるに従い多く
するように構成したので、超音速自由流が成立しない場
合においても、反応室全体のガス流れや圧力の偏りを防
ぐことができ、試料面内の処理の均一化を図ることがで
きる。
【0044】本発明の第5の構成によれば、上記第4の
構成に加えて、排気手段と距離的に近い位置には少数の
ガス供給手段を配置し、前記排気手段から離れるに従い
多数のガス供給手段を配置したので、簡単な構成で上記
第4の構成を実現でき、試料面内の処理の均一化を図る
ことができる。
【0045】本発明の第6の構成によれば、上記第4の
構成に加えて、排気手段の近くに配置されたガス供給手
段のガス流量を少なくし、離れるに従い多くしたので、
複数のガス供給手段を任意に配置した場合であっても、
上記第4の構成を実現して試料面内の処理の均一化を図
ることができる。
【0046】本発明の第7の構成によれば、ガスを用い
てプラズマを生成して試料をプラズマ処理する反応室
と、前記反応室にパルス的に前記ガスを供給するガス供
給手段と、前記反応室を排気する排気手段と、前記反応
室をプラズマを生成するプラズマ生成室とプラズマによ
り試料を処理する処理室とに分割する隔壁板と、前記隔
壁板に設けられ前記プラズマ生成室と前記処理室とを連
通する孔とを備えたプラズマ処理装置において、前記孔
の数および大きさの少なくとも一方を前記排気手段から
の距離に応じて変化させることにより、前記孔の開口率
を前記排気手段に近い位置で小さく、離れるに従い大き
くしたので、超音速自由流が成立しない場合において
も、プラズマ生成室から処理室への排気速度を均一化し
て反応室全体のガス流れや圧力の偏りを防ぐことがで
き、試料面内の処理の均一化を図ることができる。
【0047】本発明の第8の構成によれば、上記第7の
構成に加えて、孔の数を排気手段に近い位置で少なく、
離れるに従い多くしたので、簡単な構成で上記第7の構
成を実現でき、試料面内の処理の均一化を図ることがで
きる。
【0048】本発明の第9の構成によれば、上記第7の
構成に加えて、孔の大きさを排気手段に近い位置で小さ
く、離れるに従い大きくしたので、簡単な構成で上記第
7の構成を実現でき、試料面内の処理の均一化を図るこ
とができる。
【図面の簡単な説明】
【図1】 本発明の実施の形態1によるプラズマ処理装
置の構成の一例を示す断面図である。
【図2】 本発明の実施の形態1によるプラズマ処理装
置の構成の別の例を示す断面図である。
【図3】 本発明の実施の形態1によるプラズマ処理装
置の構成のさらに別の例を示す断面図である。
【図4】 本発明の実施の形態2によるプラズマ処理装
置の構成を示す断面図である。
【図5】 本発明の実施の形態2によるプラズマ処理装
置の構成の一例を示す上面図である。
【図6】 本発明の実施の形態2と比較するためにパル
スガスバルブの接続位置を変更した装置の構成を示す断
面図である。
【図7】 本発明の実施の形態2によるプラズマ処理装
置の構成の別の例を示す上面図である。
【図8】 本発明の実施の形態3によるプラズマ処理装
置の構成を示す断面図である。
【図9】 本発明の実施の形態4によるプラズマ処理装
置の構成を示す断面図である。
【図10】 本発明の実施の形態5によるプラズマ処理
装置の構成を示す断面図である。
【図11】 本発明の実施の形態5に係わる隔壁板を拡
大して示す平面図である。
【図12】 本発明の実施の形態6によるプラズマ処理
装置の構成を示す断面図である。
【図13】 本発明の実施の形態6に係わる隔壁板を拡
大して示す平面図である。
【図14】 従来のプラズマ処理装置の構成を示す断面
図である。
【符号の説明】
1 反応室、2 処理室、3 プラズマ生成室、4 隔
壁板、5 孔、6 排気孔、7 ステージ、8 試料、
9 パルスガスバルブ、10 駆動装置、11ガス導入
管、12 導波管、13 マイクロ波導入窓、14 磁
場コイル、15 高周波アンテナ、16,18 高周波
電源、17 石英窓、91〜94 パルスガスバルブ、
111〜114 ガス導入管。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 滝 正和 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内 (72)発明者 新谷 賢治 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内 (72)発明者 友久 伸吾 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内 (72)発明者 大森 達夫 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内 Fターム(参考) 5F004 AA01 BA20 BB13 BC03 BC08 CA09 DA04 DB02 DB03

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 ガスを用いてプラズマを生成して試料を
    プラズマ処理する反応室と、前記反応室にパルス的に前
    記ガスを供給するガス供給手段と、前記反応室を排気す
    る排気手段とを備えたプラズマ処理装置において、前記
    ガス供給手段によるガス供給方向と前記排気手段による
    排気方向を同一方向にしたことを特徴とするプラズマ処
    理装置。
  2. 【請求項2】 前記試料の処理面に直交する方向から見
    た時に、前記ガス供給手段によるガス供給方向は反応室
    の中心に向かっており、前記排気手段の配置および排気
    方向は前記ガス供給方向と同一直線上から前記中心を通
    り前記直線と±45度をなす位置までの間にあることを
    特徴とする請求項1記載のプラズマ処理装置。
  3. 【請求項3】 ガスを用いてプラズマを生成して試料を
    プラズマ処理する反応室と、前記反応室にパルス的に前
    記ガスを供給するガス供給手段と、前記反応室を排気す
    る排気手段とを備えたプラズマ処理装置において、前記
    反応室は中心軸に対して軸対称な形状を有し、前記ガス
    供給手段が前記中心軸上または前記中心軸に対して対称
    に配置され、前記排気手段が前記中心軸に対して対称に
    配置されたことを特徴とするプラズマ処理装置。
  4. 【請求項4】 ガスを用いてプラズマを生成して試料を
    プラズマ処理する反応室と、前記反応室にパルス的に前
    記ガスを供給するガス供給手段と、前記反応室を排気す
    る排気手段とを備えたプラズマ処理装置において、前記
    ガス供給手段を複数有し、前記ガス供給手段によるガス
    供給量を、前記排気手段と距離的に近い位置では少なく
    し、離れるに従い多くするように構成したことを特徴と
    するプラズマ処理装置。
  5. 【請求項5】 排気手段と距離的に近い位置には少数の
    ガス供給手段を配置し、前記排気手段から離れるに従い
    多数のガス供給手段を配置したことを特徴とする請求項
    4記載のプラズマ処理装置。
  6. 【請求項6】 排気手段の近くに配置されたガス供給手
    段のガス流量を少なくし、離れるに従い多くしたことを
    特徴とする請求項4記載のプラズマ処理装置。
  7. 【請求項7】 ガスを用いてプラズマを生成して試料を
    プラズマ処理する反応室と、前記反応室にパルス的に前
    記ガスを供給するガス供給手段と、前記反応室を排気す
    る排気手段と、前記反応室をプラズマを生成するプラズ
    マ生成室とプラズマにより試料を処理する処理室とに分
    割する隔壁板と、前記隔壁板に設けられ前記プラズマ生
    成室と前記処理室とを連通する孔とを備えたプラズマ処
    理装置において、前記孔の数および大きさの少なくとも
    一方を前記排気手段からの距離に応じて変化させること
    により、前記孔の開口率を前記排気手段に近い位置で小
    さく、離れるに従い大きくしたことを特徴とするプラズ
    マ処理装置。
  8. 【請求項8】 孔の数を排気手段に近い位置で少なく、
    離れるに従い多くしたことを特徴とする請求項7記載の
    プラズマ処理装置。
  9. 【請求項9】 孔の大きさを排気手段に近い位置で小さ
    く、離れるに従い大きくしたことを特徴とする請求項7
    記載のプラズマ処理装置。
JP11192932A 1999-07-07 1999-07-07 プラズマ処理装置 Pending JP2001023955A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11192932A JP2001023955A (ja) 1999-07-07 1999-07-07 プラズマ処理装置
US09/496,254 US20020088542A1 (en) 1999-07-07 2000-02-01 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11192932A JP2001023955A (ja) 1999-07-07 1999-07-07 プラズマ処理装置

Publications (1)

Publication Number Publication Date
JP2001023955A true JP2001023955A (ja) 2001-01-26

Family

ID=16299406

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11192932A Pending JP2001023955A (ja) 1999-07-07 1999-07-07 プラズマ処理装置

Country Status (2)

Country Link
US (1) US20020088542A1 (ja)
JP (1) JP2001023955A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010034574A (ja) * 2009-10-27 2010-02-12 Oki Semiconductor Co Ltd 被処理体の加熱処理装置及びその排気方法

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US6846747B2 (en) * 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
JP2004200307A (ja) * 2002-12-17 2004-07-15 Tokyo Electron Ltd プラズマ処理装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JP2005251803A (ja) * 2004-03-01 2005-09-15 Canon Inc プラズマ処理装置およびその設計方法
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
US8187386B2 (en) * 2010-12-22 2012-05-29 Primestar Solar, Inc. Temporally variable deposition rate of CdTe in apparatus and process for continuous deposition
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9418880B2 (en) * 2011-06-30 2016-08-16 Semes Co., Ltd. Apparatuses and methods for treating substrate
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010034574A (ja) * 2009-10-27 2010-02-12 Oki Semiconductor Co Ltd 被処理体の加熱処理装置及びその排気方法

Also Published As

Publication number Publication date
US20020088542A1 (en) 2002-07-11

Similar Documents

Publication Publication Date Title
JP2001023955A (ja) プラズマ処理装置
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
US6417111B2 (en) Plasma processing apparatus
US8771537B2 (en) Plasma treatment device and plasma treatment method
KR101056219B1 (ko) 샤워헤드 및 기판 처리 장치
JP2006041088A (ja) プラズマ処理装置
JP5055114B2 (ja) プラズマドーピング方法
CN102057465A (zh) 等离子体处理装置及等离子体处理方法
JP2005142448A (ja) 表面波励起プラズマcvd装置
JP4433614B2 (ja) エッチング装置
WO2008041702A1 (fr) Procédé et appareil de dopage de plasma
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
US5607510A (en) Vacuum processing apparatus
US20090064933A1 (en) Film coating system and isolating device thereof
JPH10223607A (ja) プラズマ処理装置
KR20010041608A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
EP1079423B1 (en) Apparatus for gas processing
WO2010003321A1 (zh) 一种进气装置及应用该进气装置的半导体处理设备
JP4963694B2 (ja) プラズマ処理装置
TWI804816B (zh) 電漿處理裝置及電漿處理方法
JP2007266522A (ja) プラズマ処理装置およびそれを用いた加工方法
JPH10270429A (ja) プラズマ処理装置
JP2010267670A (ja) プラズマ処理方法
US11004703B1 (en) Gas flow guiding device for semiconductor processing apparatus and method of using the same
JP2001326216A (ja) プラズマ処理装置