JP2005142448A - 表面波励起プラズマcvd装置 - Google Patents

表面波励起プラズマcvd装置 Download PDF

Info

Publication number
JP2005142448A
JP2005142448A JP2003379035A JP2003379035A JP2005142448A JP 2005142448 A JP2005142448 A JP 2005142448A JP 2003379035 A JP2003379035 A JP 2003379035A JP 2003379035 A JP2003379035 A JP 2003379035A JP 2005142448 A JP2005142448 A JP 2005142448A
Authority
JP
Japan
Prior art keywords
gas
plasma cvd
surface wave
cvd apparatus
wave excitation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003379035A
Other languages
English (en)
Other versions
JP4273932B2 (ja
Inventor
Masayasu Suzuki
正康 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Priority to JP2003379035A priority Critical patent/JP4273932B2/ja
Priority to US10/976,856 priority patent/US8307781B2/en
Priority to TW093133332A priority patent/TWI249975B/zh
Priority to KR1020040088315A priority patent/KR100610469B1/ko
Priority to CNB2004100922886A priority patent/CN100339505C/zh
Publication of JP2005142448A publication Critical patent/JP2005142448A/ja
Application granted granted Critical
Publication of JP4273932B2 publication Critical patent/JP4273932B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】被処理基板周辺を適正な成膜可能領域とする表面波励起プラズマCVD装置を提供すること。
【解決手段】表面波励起プラズマCVD装置100は、シリコン元素を含む材料ガスを上面側導入管および側面側導入管7の少なくとも一方によりチャンバー1内に導入し、表面波励起プラズマPにより活性化して材料ガスに化学反応を起こさせるプロセスガスをプロセスガス導入管5によりチャンバー1内に導入する。上面側導入管および/または側面側導入管7のガス噴出口63,73は、プロセスガス導入管5のガス噴出口53よりも基板10に近く設けることができる。
【選択図】図1

Description

本発明は、表面波励起プラズマを利用して成膜するCVD装置に関する。
半導体製造プロセスでは、プラズマを利用して成膜を行うプラズマCVD装置が用いられている。そのようなプラズマCVD装置としては、従来から平行平板型プラズマ処理装置や電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)プラズマ処理装置などが使用されている。さらに、近年では、より大面積で高密度のプラズマを容易に発生させることができる表面波励起(SWP:Surface Wave Plasma)プラズマ処理装置が利用されるようになってきている。
SWPプラズマCVD装置では、薄膜の成分元素を含む材料ガスと反応性活性種の原料となるプロセスガスとをプラズマ生成室内に導入し、表面波励起プラズマにより、材料ガスを分解したり化学反応を起こさせて基板上に薄膜を堆積させる。従来の装置では、ガス導入部をプラズマ生成室の側面に設け、プラズマ生成室の側面から誘電体板近傍にガスを導入している。(例えば、特許文献1参照)。
特開2000−348898号公報(第2頁、図1)
プラズマ密度は、マイクロ波をプラズマ生成室内に導入する誘電体板の近傍で大きい。特許文献1の技術では、誘電体板の近傍にガスを導入するので、この領域で化学反応が急激に行われる。そのため、誘電体板近傍では成膜速度が大きいが、誘電体板から離れたプラズマ領域では、誘電体板近傍で材料ガスが消費されてしまうので、成膜速度が小さくなる。すなわち、プラズマ生成領域であっても、膜厚、膜質ともに適正な成膜可能領域が狭い領域に限定されてしまうという問題がある。
(1)請求項1の表面波励起プラズマCVD装置は、マイクロ波を誘電体部材を介してプラズマ処理室内に導入し、マイクロ波から表面波を形成し、表面波によりプラズマ処理室内のガスを励起して表面波励起プラズマを生成し、表面波励起プラズマにより被処理基板上にシリコン化合物を形成する表面波励起プラズマCVD装置であって、シリコン元素を含む材料ガスを導入し、ガス噴出口からプラズマ処理室内に噴出する材料ガス導入手段と、表面波励起プラズマにより活性化して材料ガスに化学反応を起こさせるプロセスガスを導入し、材料ガス導入手段のガス噴出口と離れて設けられたガス噴出口からプラズマ処理室内に噴出するプロセスガス導入手段とを具備することを特徴とする。この表面波励起プラズマCVD装置において、材料ガス導入手段のガス噴出口は、プロセスガス導入手段のガス噴出口よりも被処理基板に近く設けられることが好ましい。
(2)請求項1または2の表面波励起プラズマCVD装置において、材料ガス導入手段は、誘電体部材と被処理基板との間の空間にガス噴出口を有し、被処理基板に向かってガスを噴出する第1の材料ガス導入手段と、誘電体部材と被処理基板との間の空間の周辺領域にガス噴出口を有し、被処理基板の表面と略平行にガスを噴出する第2の材料ガス導入手段との少なくとも一方を有することが好ましい。
(3)請求項1〜3のいずれかの表面波励起プラズマCVD装置では、材料ガス導入手段は、一以上の経路と一以上のガス噴出口とを有することが好ましい。また、材料ガス導入手段は、ガス噴出口の被処理基板との距離を可変とすることができ、さらに、第2の材料ガス導入手段では、ガス噴出口の向きを可変とすることもできる。
(4)請求項1〜6のいずれかの表面波励起プラズマCVD装置において、材料ガス導入手段は、ガス噴出口の前方にガス流拡散部材をさらに有することが好ましい。
(5)請求項1〜7のいずれかの表面波励起プラズマCVD装置において、プロセスガス導入手段は、誘電体部材に一以上の経路と一以上のガス噴出口とを有するガス流路を設けて構成することができる。
本発明によれば、被処理基板周辺を適正な成膜可能領域とする表面波励起プラズマCVD装置を提供することができる。
以下、本発明による表面波励起プラズマCVD装置(以下、単にプラズマCVD装置という)について図1〜10を参照しながら説明する。
〈第1の実施の形態〉
図1は、本発明の第1の実施の形態によるプラズマCVD装置の概略構成を示す全体構成図である。図2〜4は、第1の実施の形態によるプラズマCVD装置のそれぞれプロセスガス導入管、上面側導入管、側面側導入管の構造を示す図である。
図1において、プラズマCVD装置100は、チャンバー1、マイクロ波導波管2、スロットアンテナ3、誘電体板4、プロセスガス導入管5、材料ガス用上面側導入管6、材料ガス用側面側導入管7、真空排気管8および基板ホルダー9を備える。チャンバー1は、その内部空間に生成するプラズマを利用して、基板ホルダー9に保持された基板10の表面に成膜するための密閉容器である。基板ホルダー9は、Z方向の移動と回転が可能であり、必要に応じて、加熱、冷却、電界印加が可能である。
チャンバー1の上部には、石英やアルミナなどで作製された誘電体板4が設けられている。誘電体板4の上面に接して、マイクロ波導波管2が載置されている。マイクロ波導波管2の底板には、長矩形の開口であるスロットアンテナ3が設けられている。
チャンバー1内にガスを導入するためのガス導入管は3つあり、プロセスガス導入管5、上面側導入管6および側面側導入管7である。プロセスガス導入管5は、プロセスガスをチャンバー上板に形成された貫通孔51、誘電体板4に形成された流路52を順次通して複数の噴出口53からチャンバー1内に導入する配管である。噴出口53は、基板10表面を基準として、距離h1の位置にある。
上面側導入管6は、材料ガスを縦管61、縦管61から分岐して図中紙面に垂直な平面上に拡がる分岐管62を順次通して複数の噴出口63からチャンバー1内に導入する配管である。噴出口63は、基板10表面を基準として、距離h2の位置にある。距離h2は、縦管61をZ方向(上下方向)に沿って直進移動させることにより、可変である。
側面側導入管7は、材料ガスを横管71と、横管71から分岐して基板10を取り囲むように設けられた複数の垂直管72とを順次通して複数の噴出口73からチャンバー1内に導入する配管である。噴出口73は、基板10表面を基準として、距離h3の位置にある。距離h3は、横管71をZ方向に沿って直進移動させることにより、可変である。以上の3つのガス導入管5,6,7については後に詳述する。
プロセスガス導入管5からチャンバー1へ導入されるプロセスガスは、Nガス、Oガス、Hガス、NOガス、NOガス、NHガス等の反応性活性種の原料となるガスおよびArガス、Heガス、Neガス、Krガス、Xeガス等の希ガスである。上面側導入管6または側面側導入管7からチャンバー1へ導入される材料ガスは、SiHガス、Siガス等のシリコン薄膜或いはシリコン化合物薄膜の成分であるSi元素を含むガスである。Si元素を含むガスとしては、SiHガス、Siガス等にHガス、NOガス、NOガス、NHガス等を添加した混合ガスとしてもよい。
チャンバー1の底面には、不図示の真空排気ポンプに接続される真空排気管8が配設されている。3つのガス導入管5,6,7を通してそれぞれ所定のガスを所定流量でチャンバー1内に導入しながら真空排気を行うことによって、チャンバー1内を所定圧力に保持することができる。
図2は、プロセスガス導入管5の一部が組み込まれる誘電体板4の構造を模式的に示す平面図である。誘電体板4は、複数の誘電体ブロック4aを組み合わせて構成され、全体として円板形状である。誘電体ブロック4a同士が接触するコーナーには、ブロック同士の隙間である貫通孔52が紙面に垂直に形成されている。貫通孔52の基板側の開口がガス噴出口である。このように誘電体ブロック4aを組み合わせた構造にするのは、大面積の板材に比べて、プラズマにより加熱された場合に、誘電体の内部応力を小さく抑え、耐熱性を増すためである。また、ブロック構造は、素材の製造が容易であり、薄型化によって自重による欠損を防止する利点があるためである。さらに、ブロック構造は、大面積の1枚の板材に貫通孔を設けるのに比べて、ブロック同士の隙間を貫通孔52として利用できるので、孔明けの必要がない。
図3は、上面側導入管6の構造を模式的に示す底面図である。縦管61は、環状管62aおよび直管62bに連通し、環状管62aは、直管62bを介して内部が空洞の中央円盤62cに連通している。環状管62aおよび中央円盤62cには多数のガス噴出口63が形成されている。従って、縦管61から導入された材料ガスを、環状管62aの噴出口63および中央円盤62cの噴出口63からチャンバ−1の中に放出できる。なお、環状管62aおよび直管62bは、分岐管62を構成する。
図4(a)は、側面側導入管7の構造を模式的に示す斜視図である。図4(b)は、側面側導入管7の部分的な構造を模式的に示す部分断面図である。横管71は、環状管72aに連通し、環状管72aは、複数の垂直管72bの各々に連通している。垂直管72bは、図4(b)に示されているように、外管72cと内管72dによる二重構造である。内管72dには、それぞれガス噴出口73が形成されている。従って、横管71から導入された材料ガスを、環状管72aを経由して内管72dの噴出口73からチャンバ−1の中に放出できる。また、内管72dは、外管72cに対して長軸周りに回転可能であり、長軸に沿って直進可能である。これにより、材料ガスの噴出方向、噴出位置(基板からの距離)を自由に変えることができる。なお、環状管72aおよび垂直管72bは、分岐管72を構成する。
再び図1を参照しながら、このように構成されたプラズマCVD装置100の作用・効果について説明する。プロセスガスおよび材料ガスは、チャンバー1内で所定圧力に維持されている。不図示のマイクロ波発生源からのマイクロ波は、マイクロ波導波管2のスロットアンテナ3を通して誘電体板4へ放射し、誘電体板4を介してチャンバー1内に導入される。このマイクロ波は表面波となり、誘電体板4の表面を伝搬し、表面波エネルギーによりチャンバー1内のプロセスガスが電離、解離してプラズマPが生成する。表面波は、誘電体板4の全域に拡がり、誘電体板4の面積に対応する領域に高密度のプラズマPが生成する。基板10は、高密度のプラズマP中に基板ホルダー9により保持されている。
高密度のプラズマPの領域に、上面側導入管6および/または側面側導入管7により材料ガスが導入されると、材料ガスは、プラズマP中で分解したり化学反応を起こし、基板10の表面に薄膜が堆積する。このとき、プロセスガス導入管5の噴出口53と上面側導入管6の噴出口63との距離、またはプロセスガス導入管5の噴出口53と側面側導入管7の噴出口73との距離は、離れている。つまり、材料ガスは、誘電体板4から離れた位置からチャンバー1内に導入されるので、噴出口から放出された瞬間には急激な化学反応は起こさない。従って、化学反応の制御が容易になり、基板周辺に適正な成膜可能領域を形成できる。なお、材料ガス導入用の上面側導入管6と側面側導入管7は、プラズマCVD装置に両方を設けてもよいし、いずれか一方を設けてもよい。
この様子を図5を用いて説明する。図5は、プラズマPの領域で生じる化学反応の素過程を示す模式図である。プラズマP領域の誘電体板4の近傍では、プラズマ密度が高いためにイオン、電子、ラジカルが多数生成する。ラジカルは、基板10の方向に拡散し、材料ガス分子と衝突して、分解、励起、再結合などの多岐にわたる気相反応を引き起こし、生成分子が薄膜状になって基板10の表面に堆積する。
このとき、基板10の表面からの距離h2またはh3を変化させることにより、基板10の表面に堆積する薄膜の膜質(結晶性、屈折率、内部応力など)を制御することができる。シリコン化合物としては、酸化物、窒化物、炭化物等があるが、例えば、SiO膜を形成する場合、プロセスガスとしてOガス、材料ガスとしてSiHガスを用いる。SiO膜の形成過程は、SiH分子が酸素ラジカルと反応して、中間生成物Si−H、Si−OH、前駆体SiOを経てSiOを生成する一連の化学反応である。この一連の反応時間は、空間的に考えれば反応種のドリフト距離と等価であるから、基板10と噴出口との距離が膜質を決める重要な要素となる。
距離h2またはh3が短すぎると、SiO膜中に中間生成物が混在する低品質の薄膜となり、距離h2またはh3が長すぎると、基板10から離れた誘電体板4の近傍で化学反応が促進され、SiO分子の重合で生成したパーティクルが薄膜中に混在する低品質の薄膜となる。また、距離h2またはh3が長すぎ、誘電体板4に近すぎると、誘電体板4の表面にSiOが厚く堆積し、プラズマPが不安定になったり、誘電体板4に厚く堆積したSiO膜が剥離して異物の発生源となる可能性がある。
図6は、プラズマPの領域における各種分子の存在密度を定性的に示すグラフである。このグラフでは、縦軸に存在密度、横軸にドリフト距離および材料ガス(SiHガス)導入位置と基板位置を示す。図中、実線で示される曲線は、本実施の形態に対応し、SiHガスをガス導入位置Aからチャンバー内に導入した場合の各種分子の存在密度分布を表わすものである。破線で示される曲線は、従来のガス導入方法による比較例であり、SiHガスをガス導入位置Bからチャンバー内に導入した場合の各種分子の存在密度分布を表わすものである。ガス導入位置Aは、基板10から距離h2またはh3離れた位置であり、ガス導入位置Bは、ガス導入位置Aよりも基板10から遠い位置である。
本実施の形態による実線の曲線では、SiH分子は、ガス導入位置Aで最も存在密度が高く、ドリフト距離とともに急激に前駆体に変化していく。シリコン化合物(SiO分子)は、前駆体のドリフト距離とともに存在密度が増加していき、基板位置で最大となる。基板位置ではSiO分子の存在密度が高いので、良質の薄膜が形成される。一方、比較例による破線の曲線では、SiH分子は、ガス導入位置Bで最も存在密度が高く、ドリフト距離とともに急激に前駆体に変化し、直ちにSiO分子となる。比較例では、誘電体板4に極めて近い位置で急激に化学反応が起こってしまい、気相中でパーティクルが発生しやすくなり、基板10の位置での膜質の制御が非常に困難になる。
〈第2の実施の形態〉
図7(a)は、本発明の第2の実施の形態によるプラズマCVD装置の概略構成を示す全体構成図である。図7(b)は、図7(a)の領域Cを拡大して示す部分断面図である。図1と同じ構成部品には同一符号を付し、説明を省略する。
本実施の形態のプラズマCVD装置200が第1の実施の形態のプラズマCVD装置100と大きく異なる点は、上面側導入管6の各噴出口63と側面側導入管7の各噴出口73の前方にそれぞれガス流拡散板64,74を設けた点である。図7(b)に示されるように、分岐管62内を流れてきたガス流G1は、噴出口63から放出され、ガス流拡散板64により拡散されてガス流G2となる。つまり、ガス流拡散板64は、ガス流に対する障害として作用する。
側面側導入管7からチャンバー1内に噴出するガス流について、図8により説明する。図8は、図7の水平面におけるガス噴出初期のガス流の濃度分布を模式的に示す平面図である。この水平面は、基板10から距離h3だけ離れた基板10表面に平行な面である。左方には真空排気管8の開口がある。
分岐管72を通ってきたガス流は、噴出口73からチャンバー1内に噴出する。ガス流拡散板74が存在すると、ガス流G2で示す濃度分布となり、ガス流拡散板74が存在しない場合は、ガス流G3で示す濃度分布となる。ガス流G2は、ガス流G3よりもチャンバー全体に広く拡散し、ガスの濃度分布の均一化を図ることができる。チャンバー1の垂直面においても同様に均一化を図ることができる。このように、材料ガスの濃度分布が均一となるので、第1の実施の形態に比べて、基板全面にわたって膜厚、膜質がより一層均一な成膜が可能となる。
以下、図9,10により変形例を説明する。
図9は、誘電体板4Aを模式的に示す平面図である。誘電体板4Aは、複数の誘電体ブロックを組み合わせて構成され、全体として矩形形状である。誘電体ブロック同士が接触するコーナーには隙間があり、貫通孔53Aとして形成されている。誘電体板4Aは、特に矩形形状の基板の成膜に適している。
図10は、上面側導入管6Aの構造を模式的に示す底面図である。分岐管62Aは、全体として矩形形状である。分岐管62Aに形成された複数の噴出口63Aは、比較的均等に配置されている。上面側導入管6Aは、特に矩形形状の基板の成膜に適し、噴出口63Aが均等に配置されているので、基板全面にわたって膜厚、膜質がより一層均一な成膜が可能となる。
このように、プロセスガス導入管5、上面側導入管6および側面側導入管7については様々な変形例が考えられる。本発明は、その特徴を損なわない限り、以上説明した実施の形態に何ら限定されない。
本発明の第1の実施の形態に係るプラズマCVD装置の概略構成を示す全体構成図である。 本発明の第1の実施の形態に係るプラズマCVD装置の誘電体板の構造を示す平面図である。 本発明の第1の実施の形態に係るプラズマCVD装置の上面側導入管の構造を示す平面図である。 本発明の第1の実施の形態に係るプラズマCVD装置の側面側導入管の構造を示す平面図である。 本発明の第1の実施の形態に係るプラズマCVD装置におけるプラズマPの領域で生じる化学反応の素過程を示す模式図である。 図5のプラズマPの領域における各種分子の存在密度を定性的に示すグラフである。 図7(a)は、本発明の第2の実施の形態に係るプラズマCVD装置の概略構成を示す全体構成図である。図7(b)は、図7(a)の領域Cを拡大して示す部分断面図である。 図7(a)の水平面におけるガス噴出初期のガス流の濃度分布を模式的に示す平面図である。 本発明の変形例である誘電体板の構造を模式的に示す平面図である。 本発明の変形例である上面側導入管の構造を模式的に示す底面図である。
符号の説明
1:チャンバー
2:マイクロ波導波管
3:スロットアンテナ
4,4A:誘電体板
5:プロセスガス導入管
6:上面側導入管
7:側面側導入管
9:基板ホルダー
10:基板
53,63,73:噴出口
64,74:ガス流拡散板
100,200:プラズマCVD装置
P:プラズマ

Claims (8)

  1. マイクロ波を誘電体部材を介してプラズマ処理室内に導入し、前記マイクロ波から表面波を形成し、前記表面波によりプラズマ処理室内のガスを励起して表面波励起プラズマを生成し、前記表面波励起プラズマにより被処理基板上にシリコン化合物を形成する表面波励起プラズマCVD装置であって、
    シリコン元素を含む材料ガスを導入し、ガス噴出口から前記プラズマ処理室内に噴出する材料ガス導入手段と、
    前記表面波励起プラズマにより活性化して前記材料ガスに化学反応を起こさせるプロセスガスを導入し、前記材料ガス導入手段のガス噴出口と離れて設けられたガス噴出口から前記プラズマ処理室内に噴出するプロセスガス導入手段とを具備することを特徴とする表面波励起プラズマCVD装置。
  2. 請求項1に記載の表面波励起プラズマCVD装置において、
    前記材料ガス導入手段のガス噴出口は、前記プロセスガス導入手段のガス噴出口よりも前記被処理基板に近く設けられることを特徴とする表面波励起プラズマCVD装置。
  3. 請求項1または2に記載の表面波励起プラズマCVD装置において、
    前記材料ガス導入手段は、
    前記誘電体部材と被処理基板との間の空間に前記ガス噴出口を有し、前記被処理基板に向かってガスを噴出する第1の材料ガス導入手段と、
    前記誘電体部材と被処理基板との間の空間の周辺領域に前記ガス噴出口を有し、前記被処理基板の表面と略平行にガスを噴出する第2の材料ガス導入手段との少なくとも一方を有することを特徴とする表面波励起プラズマCVD装置。
  4. 請求項1〜3のいずれかに記載の表面波励起プラズマCVD装置において、
    前記材料ガス導入手段は、一以上の経路と一以上のガス噴出口とを有することを特徴とする表面波励起プラズマCVD装置。
  5. 請求項1〜4のいずれかに記載の表面波励起プラズマCVD装置において、
    前記材料ガス導入手段は、前記ガス噴出口の前記被処理基板との距離を可変とする可変手段をさらに有することを特徴とする表面波励起プラズマCVD装置。
  6. 請求項3に記載の表面波励起プラズマCVD装置において、
    前記第2の材料ガス導入手段は、前記ガス噴出口の向きを可変とする可変手段をさらに有することを特徴とする表面波励起プラズマCVD装置。
  7. 請求項1〜6のいずれかに記載の表面波励起プラズマCVD装置において、
    前記材料ガス導入手段は、前記ガス噴出口の前方にガス流拡散部材をさらに有することを特徴とする表面波励起プラズマCVD装置。
  8. 請求項1〜7のいずれかに記載の表面波励起プラズマCVD装置において、
    前記プロセスガス導入手段は、前記誘電体部材に一以上の経路と一以上のガス噴出口とを有するガス流路を設けて成ることを特徴とする表面波励起プラズマCVD装置。
JP2003379035A 2003-11-07 2003-11-07 表面波励起プラズマcvd装置 Expired - Fee Related JP4273932B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003379035A JP4273932B2 (ja) 2003-11-07 2003-11-07 表面波励起プラズマcvd装置
US10/976,856 US8307781B2 (en) 2003-11-07 2004-11-01 Surface wave excitation plasma CVD system
TW093133332A TWI249975B (en) 2003-11-07 2004-11-02 Surface wave excitation plasma CVD system
KR1020040088315A KR100610469B1 (ko) 2003-11-07 2004-11-02 표면파 여기 플라즈마 cvd 시스템
CNB2004100922886A CN100339505C (zh) 2003-11-07 2004-11-05 表面波激发等离子体cvd系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003379035A JP4273932B2 (ja) 2003-11-07 2003-11-07 表面波励起プラズマcvd装置

Publications (2)

Publication Number Publication Date
JP2005142448A true JP2005142448A (ja) 2005-06-02
JP4273932B2 JP4273932B2 (ja) 2009-06-03

Family

ID=34587233

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003379035A Expired - Fee Related JP4273932B2 (ja) 2003-11-07 2003-11-07 表面波励起プラズマcvd装置

Country Status (5)

Country Link
US (1) US8307781B2 (ja)
JP (1) JP4273932B2 (ja)
KR (1) KR100610469B1 (ja)
CN (1) CN100339505C (ja)
TW (1) TWI249975B (ja)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009539269A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 誘電性ギャップ充填のためのプロセスチャンバ
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
WO2013118822A1 (ja) * 2012-02-10 2013-08-15 株式会社ジェイテクト 炭素膜成膜装置および炭素膜成膜方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP2017199507A (ja) * 2016-04-26 2017-11-02 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構
JP2018174340A (ja) * 2013-03-15 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2023016748A (ja) * 2021-07-21 2023-02-02 ゼネラル・エレクトリック・カンパニイ セラミックファイバをコーティングするためのシステムおよび方法

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1497978B1 (en) * 2002-04-24 2018-07-25 Thomson Licensing DTV Auxiliary signal synchronization for closed captioning insertion
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
TWI394200B (zh) * 2008-06-04 2013-04-21 Tokyo Electron Ltd 經由腔室構件導入處理流體的方法與系統
KR20120023655A (ko) * 2009-05-15 2012-03-13 가부시키가이샤 시마쓰세사쿠쇼 표면파 플라즈마 cvd 장치 및 성막 방법
JP5375732B2 (ja) * 2010-04-26 2013-12-25 株式会社島津製作所 バリヤ膜を形成する方法およびバリヤ膜を形成するために用いるcvd装置
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9867269B2 (en) 2013-03-15 2018-01-09 Starfire Industries, Llc Scalable multi-role surface-wave plasma generator
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TWI624561B (zh) * 2016-08-12 2018-05-21 漢民科技股份有限公司 用於半導體製程之氣體噴射器及成膜裝置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JPS6294922A (ja) * 1985-10-22 1987-05-01 Fuji Photo Film Co Ltd プラズマcvd法による製膜装置
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
JPH01241826A (ja) * 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
JPH04123257A (ja) 1990-09-14 1992-04-23 Sharp Corp 文書処理装置
JPH0477229U (ja) 1990-11-20 1992-07-06
JPH04287309A (ja) 1991-03-18 1992-10-12 Fuji Electric Co Ltd マイクロ波プラズマ処理装置
JP3258121B2 (ja) 1993-03-30 2002-02-18 株式会社東芝 Cvd装置
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08203694A (ja) 1995-01-30 1996-08-09 Hitachi Ltd プラズマ処理装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH09129535A (ja) 1995-10-30 1997-05-16 Tokyo Ohka Kogyo Co Ltd 加熱処理装置
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3036477B2 (ja) 1997-07-31 2000-04-24 日本電気株式会社 半導体製造装置
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JP4069966B2 (ja) 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6284051B1 (en) * 1999-05-27 2001-09-04 Ag Associates (Israel) Ltd. Cooled window
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP2000348898A (ja) 1999-06-03 2000-12-15 Nisshin:Kk 表面波励起プラズマの生成方法
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001135626A (ja) * 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6622650B2 (en) * 1999-11-30 2003-09-23 Tokyo Electron Limited Plasma processing apparatus
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
KR100485235B1 (ko) * 2001-06-20 2005-04-27 동경 엘렉트론 주식회사 마이크로파 플라즈마 처리 장치, 플라즈마 처리 방법 및마이크로파 방사 부재
JP2003158127A (ja) * 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
JP2003086398A (ja) 2001-09-13 2003-03-20 Canon Inc プラズマ処理装置
KR20030030271A (ko) 2001-10-09 2003-04-18 엘지전자 주식회사 평판형 플라즈마 화학 기상 증착법 장치
JP3697199B2 (ja) * 2001-11-06 2005-09-21 三菱重工業株式会社 太陽電池の製造方法および太陽電池
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009539269A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 誘電性ギャップ充填のためのプロセスチャンバ
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN104105815A (zh) * 2012-02-10 2014-10-15 株式会社捷太格特 用于沉积碳膜的设备以及用于沉积碳膜的方法
WO2013118822A1 (ja) * 2012-02-10 2013-08-15 株式会社ジェイテクト 炭素膜成膜装置および炭素膜成膜方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP2018174340A (ja) * 2013-03-15 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
US11244811B2 (en) 2013-03-15 2022-02-08 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US11728141B2 (en) 2013-03-15 2023-08-15 Applied Materials, Inc. Gas hub for plasma reactor
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP2017199507A (ja) * 2016-04-26 2017-11-02 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構
US10804078B2 (en) 2016-04-26 2020-10-13 Tokyo Electron Limited Plasma processing apparatus and gas introduction mechanism
JP2023016748A (ja) * 2021-07-21 2023-02-02 ゼネラル・エレクトリック・カンパニイ セラミックファイバをコーティングするためのシステムおよび方法
JP7416470B2 (ja) 2021-07-21 2024-01-17 ゼネラル・エレクトリック・カンパニイ セラミックファイバをコーティングするためのシステムおよび方法

Also Published As

Publication number Publication date
TWI249975B (en) 2006-02-21
US8307781B2 (en) 2012-11-13
CN100339505C (zh) 2007-09-26
JP4273932B2 (ja) 2009-06-03
TW200527981A (en) 2005-08-16
US20050109279A1 (en) 2005-05-26
KR20050044248A (ko) 2005-05-12
KR100610469B1 (ko) 2006-08-09
CN1614086A (zh) 2005-05-11

Similar Documents

Publication Publication Date Title
JP4273932B2 (ja) 表面波励起プラズマcvd装置
JP4506557B2 (ja) シャワーヘッドおよび表面波励起プラズマ処理装置
US6417111B2 (en) Plasma processing apparatus
US10256079B2 (en) Semiconductor processing systems having multiple plasma configurations
TWI660420B (zh) 使用遠端電漿源之加強式蝕刻製程
KR102114002B1 (ko) 다수의 유동 경로들을 사용한 라디칼 케미스트리 조절 및 제어
US6851384B2 (en) Remote plasma apparatus for processing substrate with two types of gases
TWI452627B (zh) Plasma processing apparatus and method
US20100098882A1 (en) Plasma source for chamber cleaning and process
KR20030004427A (ko) 플라즈마 처리 장치
JP2001023955A (ja) プラズマ処理装置
JP2009302324A (ja) ガスリング、半導体基板処理装置および半導体基板処理方法
WO2012018024A1 (ja) プラズマ処理装置
JP4502639B2 (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP3682178B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR100377096B1 (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
JP4426632B2 (ja) プラズマ処理装置
JP4273983B2 (ja) 表面波励起プラズマcvd装置
JP5264938B2 (ja) 中性粒子照射型cvd装置
JP4517935B2 (ja) シャワープレートおよび表面波励起プラズマ処理装置
JP4304280B2 (ja) プラズマ生成装置およびプラズマ処理製造方法
JP2004296512A (ja) プラズマ処理装置のクリーニング方法
JP2006173372A (ja) プラズマソース、これを備える表面波励起プラズマcvd装置および成膜方法
JP2006012962A (ja) 斜め貫通孔付真空紫外光遮光板を用いたマイクロ波プラズマ処理装置及び処理方法
KR20210124173A (ko) 플라스마 처리 장치 및 플라스마 처리 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090223

R150 Certificate of patent or registration of utility model

Ref document number: 4273932

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130313

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140313

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees