JP2009539269A - 誘電性ギャップ充填のためのプロセスチャンバ - Google Patents

誘電性ギャップ充填のためのプロセスチャンバ Download PDF

Info

Publication number
JP2009539269A
JP2009539269A JP2009513438A JP2009513438A JP2009539269A JP 2009539269 A JP2009539269 A JP 2009539269A JP 2009513438 A JP2009513438 A JP 2009513438A JP 2009513438 A JP2009513438 A JP 2009513438A JP 2009539269 A JP2009539269 A JP 2009539269A
Authority
JP
Japan
Prior art keywords
precursor
substrate
deposition chamber
dielectric
openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009513438A
Other languages
English (en)
Other versions
JP5300714B2 (ja
Inventor
ドミトリー ルボミースキー,
キウェイ リャン,
ソナム パク,
キエン, エヌ チュック,
エリー イェー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009539269A publication Critical patent/JP2009539269A/ja
Application granted granted Critical
Publication of JP5300714B2 publication Critical patent/JP5300714B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

誘電前駆物質のプラズマから基板上に誘電体層を形成するシステムを記載する。システムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合した遠隔プラズマ生成システムであって、プラズマ生成システムが、一つ以上の反応性ラジカルを有する誘電前駆物質を生成するために用いられる、前記遠隔プラズマ生成システムとが含まれるのがよい。システムには、また、基板台の上に位置決めされたデュアルチャネルシャワーヘッドを備える前駆物質分配システムが含まれてもよい。シャワーヘッドは、反応性ラジカル前駆物質が堆積チャンバに入る第一組の開口部と、第二誘電前駆物質が堆積チャンバに入る第二組の開口部を有するフェースプレートを備えるのがよい。堆積チャンバに供給される誘電前駆物質から堆積チャンバ内でプラズマを生成させるインサイチュプラズマ生成システムが含まれてもよい。
【選択図】 図1

Description

関連出願の相互参照
[0001]本出願は、2006年5月30日出願の米国仮出願第60/803,499号の利益を主張する。本出願は、また、2006年5月30日出願の“AMETHOD FORDEPOSITING AND CURING LOW-K FILMSFOR GAPFILLAND CONFORMALFILM APPLICATIONS”と称する、Munroらによる共同譲渡された米国仮出願第60/803,489号に関する。本出願は、また、2006年5月30日出願の“CHEMICALVAPOR DEPOSITIONOF HIGHQUALITY FLOW-LIKE SILICON DIOXIDEUSING ASILICON CONTAINGPRECURSORS AND ATOMIC OXYGEN”と称する、Ingleらによる共同譲渡された米国仮出願第60/803,493号に関する。本出願は、また、2006年5月30日出願の“ANOVEL DEPOSITION-PLASMA CURE CYCLEPROCESS TOENHANCE FILMQUALITY OFSILICON DIOXIDE”と称するChenらによる米国仮出願第60/803,481号に関する。優先権米国仮特許出願と関連出願の全体の内容は、全てのために本明細書に援用されている。
発明の背景
[0002]集積回路半導体メーカーがチップ上の回路素子の密度を増大し続けているので、これらの素子を分けるギャップを充填することがより多くの課題である。回路素子密度の増加は、隣接の素子間の幅をより短くすることを必要としてきた。これらのギャップの幅が高さよりも速く収縮するので、(アスペクト比として知られる)高さと幅との割合が比例的に増加する。浅く広いギャップ(即ち、低アスペクト比ギャップ)よりも誘電物質の一様な膜を有する高く狭いギャップ(即ち、高アスペクト比ギャップ)を充填することのほうが難しい。
[0003]高アスペクト比ギャップを充填することによる一般的に遭遇する一つの難しさは、ボイドの形成である。高アスペクト比ギャップにおいて、ギャップを充填する誘電物質はギャップの最上端の周りでより速い割合で堆積する傾向がある。しばしば、ギャップが完全に充填される前に誘電物質が最上部を閉じ、ボイドが残る。ギャップの最上部が時期尚早に閉じなくても、ギャップの側壁の下の誘電体膜の不均一の成長速度がギャップ充填の中央に弱いシームを生じ得る。これらのシームは、デバイスの物理的な完全性や誘電特性に不利に影響する亀裂を後に生じ得る。
[0004]誘電性ギャップ充填内にボイドと弱いシームの形成を避ける一つの手法は、より低い堆積速度でギャップを充填することである。より低い堆積速度は、ギャップの内側表面上に再分配するためにより多くの時間を誘電物質に与えて、過度の上層部成長の機会を減少させることができる。より低い堆積速度は、また、誘電堆積と同時に生じるエッチング又はスパッタリングの増大の結果であり得る。例えば、HDPCVDにおいてギャップの最上角における誘電物質はギャップの側壁と底の部分上の物質よりも速くエッチングする。このことにより、側壁と底が誘電物質で完全に充填し得るので、ギャップの上側が開いたままになる機会が増加する。
[0005]しかしながら、誘電堆積速度を低下させることにより、堆積が完了までにより時間がかかることになる。より長時間の堆積は、基板ウエハが堆積チャンバによって処理される速度を減少させ、結果としてチャンバの効率が低下する。
[0006]ボイドと弱いシームの形成を避けるための他の手法は、ギャップを充填する誘電物質の流動性を増加させることである。流動性誘電物質はより容易に側壁へ移動し、ギャップの中央のボイドに充填する(しばしば、ボイドを“癒合する”と言われる)。酸化シリコン誘電体は、通常は、誘電体におけるヒドロキシル基の濃度を増大することによってより流動性になる。しかしながら、誘電体の最終の品質に不利に影響せずに酸化物からこれらの基を付加し除去することにおいていずれも課題がある。
[0007]従って、ボイドのない誘電体膜で短い幅、高アスペクト比のギャップを充填するための改良されたシステム及び方法が求められている。これらの及び他の問題は、本発明のシステム及び方法によって処理される。
[0008]本発明の実施形態は、誘電前駆物質のプラズマから基板上に誘電体層を形成するシステムを含む。このシステムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合した遠隔プラズマ生成システムであって、プラズマ生成システムが一つ以上の反応性ラジカルを有する誘電前駆物質を生成するために用いられる前記遠隔プラズマ生成システムとが含まれるのがよい。このシステムには、また、誘電前駆物質を堆積チャンバに導入するための少なくとも一つの最上注入口と複数の側注入口を含む前駆物質分配システムが含まれてもよい。最上注入口は、基板台の上に位置決めされてもよく、側注入口は、基板台の周りに放射状に分配されてもよい。反応性ラジカル前駆物質は、最上注入口を通って堆積チャンバに供給することができる。インサイチュプラズマ生成システムには、また、堆積チャンバに供給される誘電前駆物質から堆積チャンバ内でプラズマを生成するように含まれてもよい。
[0009]本発明の実施形態は、また、シリコン基板上に二酸化シリコンを形成する追加のシステムを含む。これらのシステムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台であって、基板台が酸化シリコン層の形成中に基板を回転させる、前記基板台が含まれるのがよい。このシステムは、また、堆積チャンバに結合した遠隔プラズマ生成システムであって、プラズマ生成システムが原子酸素前駆物質を生成するために用いられる、前記遠隔プラズマ生成システムが含まれてもよい。これらは、なお更に、(i)最上注入口が基板台の上に位置決めされるとともに原子酸素前駆物質は最上注入口を通って堆積チャンバに供給される少なくとも一つの最上注入口と、(ii)側注入口が基板台の周りに放射状に分配する一つ以上のシリコン含有前駆物質を堆積チャンバに導入するための複数の側注入口を含む前駆物質分配システムが含まれてもよい。
[0010]本発明の実施形態は、なお更に、誘電前駆物質のプラズマから基板上に誘電体層を形成するシステムを含む。これらのシステムには、半透明物質から製造された表を備える堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合された遠隔プラズマ生成システムであって、プラズマ生成システムが反応性ラジカルを含む誘電前駆物質を生成するために用いられる、前記遠隔プラズマ生成システムとが含まれるのがよい。このシステムには、また、少なくとも一つの光源を含む基板を加熱する放射加熱システムであって、光源から放出される光の少なくとも一部が基板に達する前に堆積チャンバの表を通って進む、前記放射加熱システムが含まれてもよい。更に、これらには、誘電前駆物質を堆積チャンバに導入する少なくとも一つの最上注入口と複数の側注入口を有する前駆物質分配システムが含まれてもよい。最上注入口は、堆積チャンバの表に結合されるとともに基板台の上に位置決めされ、側注入口は、基板台の周りに放射状に分配される。反応性ラジカル前駆物質は、最上注入口を通って堆積チャンバに供給されるのがよい。
[0011]本発明の実施形態は、なお更に、誘電前駆物質のプラズマから基板上に誘電体層を形成する追加のシステムが含まれる。このシステムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合された遠隔プラズマ生成システムであって、プラズマ生成システムが一つ以上の反応性ラジカルを含む第一誘電前駆物質を生成するために用いられる、前記遠隔プラズマ生成システムとが含まれるのがよい。このシステムは、また、基板台の上に位置決めされるデュアルチャネルシャワーヘッドを含む前駆物質分配システムが含まれてもよい。このシャワーヘッドには、反応性ラジカル前駆物質が堆積チャンバに入る第一組の開口部と、第二誘電前駆物質が堆積チャンバに入る第二組の開口部を有するフェースプレートが含まれてもよい。前駆物質は、堆積チャンバに入るまで、混合されないのがよい。
[0012]本発明の実施形態には、また、誘電前駆物質のプラズマから基板上に誘電体層を形成する追加のシステムが含まれるのがよい。このシステムは、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合された遠隔プラズマ生成システムとが含まれるのがよい。このプラズマ生成システムは、反応性ラジカルを含む誘電前駆物質を生成するために用いられてもよい。このシステムには、また、少なくとも一つの最上注入口と、貫通プレートと、誘電前駆物質を堆積チャンバに導入するための複数の側注入口を有する前駆物質分配システムが含まれてもよい。貫通プレートは、最上注入口と横の注入口の間に位置決めされてもよく、側注入口は、基板台の周りに放射状に分配されるのがよい。反応性ラジカル前駆物質は、貫通プレートにおける開口部を通って堆積チャンバ内に分配されるのがよい。更に、インサイチュプラズマ生成システムは、堆積チャンバに供給される誘電前駆物質から堆積チャンバ内でプラズマを生成するために用いられてもよい。
[0013]本発明の実施形態には、なお更に、基板上に誘電体層を形成するシステムを含まれるのがよい。このシステムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合した遠隔プラズマ生成システムとが含まれるのがよい。プラズマ生成システムは、反応性ラジカルを含む第一誘電前駆物質を生成するために用いられてもよい。このシステムには、また、追加の誘電前駆物質を堆積チャンバに導入するための複数の側ノズルを有する前駆物質分配システムが含まれてもよい。側ノズルは、また、基板台の周りに放射状に分配されてもよく、ノズルのそれぞれは、追加の誘電前駆物質が堆積チャンバに入り且つ第一誘電前駆物質と混合する複数の側壁開口部を有してもよい。
[0014]本発明の実施形態には、また、基板上の誘電体層を形成する追加のシステムが含まれるのがよい。このシステムには、堆積チャンバと、基板を保持する堆積チャンバ内の基板台と、堆積チャンバに結合した遠隔プラズマ生成システムが含まれるのがよい。プラズマ生成システムは、反応性ラジカルを含む第一誘電前駆物質を生成するために用いられてもよい。このシステムには、また、堆積チャンバに追加の誘電前駆物質を導入するための前駆物質放射状マニホールドを有する前駆物質分配システムであって、マニホールドが、基板台の上に位置決めされ且つ基板台の周りに軸方向に整列した複数の放射状に分配されるコンジットが含まれてもよい、前記前駆物質分配システムが含まれてもよい。コンジットには、追加の誘電前駆物質が堆積チャンバに入り且つ第一誘電前駆物質と混合する複数の側壁開口部が含まれてもよい。
[0015]追加の実施形態と特徴は、以下の説明に部分的に示され、一部は、本明細書の試験の際に当業者に明らかになり、本発明の実施によって学ぶこともできる。本発明の特徴と利点は、本明細書に記載される機器、組み合わせ、方法によって可能になり実現することができる。
図1は、本発明の実施形態によるプロセスシステムを示す簡略図である。 図2Aは、本発明の実施形態による例示的プロセスシステムを示す断面である。 図2Bは、本発明の実施形態による他の例示的プロセスシステムを示す断面である。 図2Cは、図2Bに示したプロセスシステムの他の断面図である。 図2Dは、本発明の実施形態による非対称の圧力作用を減少させるポンピングライナ内の均圧チャネルと開口部を含む堆積チャンバの一部を示す断面図である。 図3Aは、本発明の実施形態によるプロセスシステムにおける最上バッフルを示す構成である。 図3Bは、本発明の実施形態によるプロセスシステムにおける最上バッフルを示す構成である。 図3Cは、本発明の実施形態によるプロセスシステムにおける最上バッフルを示す構成である。 図3Dは、本発明の実施形態によるプロセスシステムにおける最上注入口と貫通プレートを示す構成である。 図3Eは、本発明の実施形態による最上貫通プレートを含むプロセスシステムにおける酸素含有前駆物質とシリコン含有前駆物質の前駆物質流動分配を示す図である。 図4Aは、本発明の実施形態によるプロセスシステムにおける側ノズルを示す構成である。 図4Bは、本発明の実施形態による末端がキャップされノズルチューブの長さに沿って複数の開口を有する側ノズルを示す他の構成である。 図4Cは、図4Bに示されるようなキャップされた側ノズルを通る前駆物質流を示す断面図である。 図4Dは、本発明の実施形態による一片の前駆物質分配マニホールドの設計を示す図である。 図4Eは、図4Dに示される前駆物質分配マニホールドの拡大部分を示す図である。 図5Aは、本発明の実施形態による放射状加熱素子の放射状同心構成を有するプロセスシステムを示す断面図である。 図5Bは、本発明の実施形態による放射状加熱素子の放射状同心構成を有するプロセスシステムを示す断面図である。 図5Cは、本発明の実施形態による複数の放射性加熱素子の平行な構成を有するプロセスシステムを示す断面図である。 図5Dは、本発明の実施形態による複数の放射性加熱素子の平行な構成を有するプロセスシステムを示す断面図である。 図5Eは、本発明の実施形態による放射状加熱素子のデュアルソケット構成を有するプロセスシステムを示す断面図である。 図5Fは、本発明の実施形態による放射状加熱素子のデュアルソケット構成を有するプロセスシステムを示す断面図である。 図6は、本発明の実施形態による堆積チャンバとベーキングチャンバと硬化チャンバの配置を示す図である。 図7Aは、本発明の実施形態による独立したガスフローチャネルを有するシャワーヘッドを示す断面図である。 図7Bは、本発明の実施形態による独立したガスフローとプラズマゾーンを有するシャワーヘッドを示す断面図である。 図8Aは、プロセスガスがフェースプレートにおいて同心孔を含む独立したチャネルを通って供給されるシャワーヘッドを示す断面部分である。 図8Bは、本発明の実施形態による同心孔設計を有するフェースプレートを示す図である。 図8Cは、フェースプレートに形成された独立した平行チャネルを通ってプロセスガスが供給されるシャワーヘッドを示す他の断面部分である。 図8Dは、本発明に実施形態によるシャワーヘッドのエッジ部から中央にプロセスガスを流すシャワーヘッドを示す断面部分である。
発明の詳細な説明
[0039]基板上に流動性CVD誘電体膜を堆積するためのシステムが記載される。これらの誘電体膜は、STI、IMD、ILD、OCS、及び他の応用を用いることができる。このシステムには、反応性ラジカル種を堆積チャンバに供給する反応種生成システムであって、これらの化学種が他の堆積前駆物質と化学的に反応するとともに基板の堆積面上に誘電体の流動性膜を形成する、前記反応種生成システムが含まれてもよい。例えば、このシステムには、遠隔プラズマ源による励起酸素と前駆物質の有機シランの種類から基板上に層を形成することができる。このシステムには、また、堆積中に基板を加熱も冷却もすることができる基板温度制御システムが含まれてもよい。例えば、流動性酸化物膜が、堆積中に基板を冷却することによって維持される低温(例えば、100℃未満)で基板面上に堆積されてもよい。膜堆積後、温度制御システムはアニールを行うために基板を温めることができる。
[0040]上記システムには、更に、堆積中に基板を回転させ且つ前駆物質分配システム(例えば、堆積チャンバ内に前駆物質を分配するノズル及び/又はシャワーヘッド)に又はそこから平行移動させる基板運動位置決めシステムが含まれてもよい。基板の回転は、スピンオン技術と同様に、基板表面の上により均一に流動性酸化物膜を分配するために用いることができる。基板の平行移動は、基板堆積表面と堆積チャンバへの前駆物質の入口との間の距離を変えることによって膜堆積速度を変えるために用いることができる。
[0041]このシステムは、更に、堆積した膜に光を照射することができる基板照射システムを有することができる。実施形態には、堆積した膜を硬化するためにUV光を表面に照射するステップと、例えば、急速加熱アニール型プロセスにおいて、基板を照射してその温度を上げるステップとが含まれる。
[0042]図1は、システム100の要素が本発明の実施形態においてどのように統合されるかを示す簡略図である。このシステム100には、前駆物質が堆積チャンバ内で基板ウエハ上で化学的に反応し流動性誘電体膜(例えば、酸化シリコン膜)を形成することができる堆積システム102が含まれる。堆積システム102には、堆積チャンバ内部に高周波電力が生じ、プラズマを生成するコイル及び/又は電極が含まれてもよい。プラズマは、前駆物質の反応速度を高めることができ、基板上の流動性誘電物質の堆積速度を上げることができる。
[0043]流動性酸化物が堆積されるように、基板運動と位置決めシステム104は、より一様に基板の異なる部分を前駆物質流にさらすために基板を回転させるために用いることができる。このことにより、前駆物質内の化学種の物質移動がより均一になることができる。また、基板の堆積表面の上により幅広く低粘性膜を拡散させることができる。位置決めシステム104には、回転可能で垂直に移動可能な基板ペデスタルが含まれてもよく、結合されてもよい。
[0044]システム100には、また、基板の温度を上下するのに作用可能な基板温度制御システム106が含まれてもよい。温度制御システム106は、基板ペデスタルに結合され、直接接触か又は基板の基板ペデスタルへの他の熱的結合によって基板へ、また、基板から熱を移動することができる。温度システム106は、基板温度を制御する循環流体(例えば、水)、及び/又は物質を通って電流を流すことによって熱エネルギーを供給する電気材料(例えば、抵抗加熱フィラメント)を用いることができる。
[0045]流動性誘電体膜を形成するために用いられる前駆物質は、前駆物質分配システム108によって供給することができる。分配システム108の例としては、堆積システム102において堆積チャンバの最上部と側面から前駆物質を流すバッフルシステムとノズルシステムが挙げられる。例としては、また、前駆物質ガスが堆積チャンバ内に分配される複数の開口部を有するシャワーヘッドが挙げられる。追加の例において、システム108には、前駆物質が堆積チャンバへ流れる複数の開口部を有するノズルのないガスリングが含まれてもよい。
[0046]分配システム108は、堆積チャンバへ二つ以上の前駆物質を独立して流すように構成されてもよい。これらの構成において、少なくとも一対の前駆物質は、それらが堆積チャンバ内で混合し反応するために分配システムを出るまで互いに接触しない。例えば、反応種生成システム110は、原子酸素のような高度に反応性の化学種を生成することができ、これは前駆物質分配システム108から堆積システム102に流れるまで、シリコン含有前駆物質のような他の前駆物質と混合又は反応しない。
[0047]システム100において用いられる前駆物質には、流動性誘電酸化物膜を形成するための前駆物質が含まれてもよい。酸化物膜前駆物質には、ラジカル原子酸素のような反応化学種前駆物質だけでなく、他の酸化前駆物質の中でも酸素分子(O)、オゾン(O)、水蒸気、過酸化水素(H)、酸化窒素(例えば、NO、NO等)のような他の酸化前駆物質が含まれてもよい。酸化物膜前駆物質としては、また、特に、TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、HMDSOを含む有機シリコン化合物のようなシリコン含有前駆物質が挙げられる。このシリコン含有物質には、また、シラン(SiH)のような炭素をもたないシリコン化合物が含まれてもよい。堆積した酸化物膜がドープされた酸化物膜である場合には、ドーパント前駆物質、例えば、他のホウ素やリンのドーパントの中でも、TEB、TMB、B、TEPO、PH、P、TMPが用いられてもよい。膜が誘電体の窒化シリコン又は酸窒化シリコンである場合には、特に、アンモニア、BTBAS、TDMAT、DBEAS、DADBSのような窒素含有前駆物質が用いられてもよい。ある膜堆積については、ハロゲンが、例えば、触媒として用いられてもよい。これらのハロゲン前駆物質としては、塩化水素(HCl)、クロロエチルシランのようなクロロシランが挙げられるのがよい。有機酸(例えば、ギ酸)のような他の酸性化合物が用いられてもよい。これらの堆積前駆物質の全てが、特に、ヘリウム、アルゴン、窒素(N)、水素(H)が挙げられるのがよいキャリヤガスによって分配システム108と堆積システム102を通って運搬されてもよい。
[0048]このシステム100には、また、基板表面上に堆積した流動性誘電物質を焼成及び/又は硬化することができる基板照射システム112が含まれてもよい。照射システム112には、例えば、誘電物質のシラノール基を酸化シリコンと水に分解することによって膜を硬化するために用いることができるUV光を放出することができる一つ以上のランプが含まれてもよい。照射システムには、水蒸気や他の揮発性化学種を膜から除去するとともにより密度を高くするために流動性膜をベーキング(例えば、アニーリング)用の加熱ランプが含まれてもよい。
[0049]ここで図2Aを参照すると、本発明の実施形態による例示的プロセスシステム200の断面が示されている。このシステム200には、前駆物質が化学的に反応し、流動性誘電体膜が基板ウエハ202上に堆積される堆積チャンバ201が含まれる。このウエハ202(例えば、200mm、300mm、400mm径等の半導体基板ウエハ)は、基板202を上に横たわる前駆物質分配システム206により近いか又はより離れて位置決めするように垂直にも移動可能である回転可能な基板ペデスタル204に結合されてもよい。ペデスタルは、約1rpm〜約2000rpm(例えば、約10rpm〜約120rpm)の回転速度で基板ウエハを回転させることができる。ペデスタルは、前駆物質分配システムの側ノズル208から、例えば約0.5mm〜約100mmの距離に基板を垂直に移動することができる。
[0050]前駆物質分配システム206には、それぞれが二つの異なる長さの一方をもつ複数の放射状分配側ノズル208が含まれる。追加の実施形態(図示せず)において、側ノズルは堆積チャンバの壁の周りに分配された開口部のリングを残すために除かれてもよい。前駆物質は、これらの開口部を通ってチャンバへ流れる。
[0051]分配システム206には、また、基板ペデスタル204の中央と同軸であってもよい円錐状の最上バッフル210が含まれてもよい。流体チャネル212は、バッフルの外側に進む表面を流れる前駆物質よりも前駆物質又はキャリヤガスを異なる組成で供給するためにバッフル210の中央を通ってもよい。
[0052]バッフル210の外側面は、堆積チャンバ201の上に位置決めされる反応種生成システム(図示せず)から反応性前駆物質を進めるコンジット214によってとり囲まれてもよい。コンジット214は、一端がバッフル210の外側面で開いて対向する端が反応種生成システムに結合されている真っすぐな円形チューブであってもよい。
[0053]反応種生成システムは、より安定な出発物質をプラズマにさらすことによって反応種を生成させる遠隔プラズマ生成システム(RPS)であってもよい。例えば、出発物質は、分子酸素(又はオゾン)を含む混合物であるのがよい。この出発物質をRPSからのプラズマにさらすと、分子酸素の一部を原子酸素、非常に低い温度(例えば100℃未満)で有機シリコン前駆物質(例えばOMCTS)と化学的に反応して基板表面上に流動性誘電体を形成する高度に反応性のラジカル種に解離させる。反応種生成システムにおいて生成される反応種がしばしば室温でさえも他の堆積前駆物質と非常に反応性であることから、これらはコンジット214の下の分離したガス混合物内に運搬され、他の堆積前駆物質と混合される前にバッフル210によって反応チャンバ201に分散されてもよい。
[0054]システム200には、また、堆積チャンバ201のドーム216の周巻きつけたrfコイル(図示せず)が含まれてもよい。これらのコイルは、堆積チャンバ201内に誘導結合型プラズマを生成して、反応種前駆物質と他の前駆物質の反応性を更に高めて、基板上に流体誘電体膜を堆積させることができる。例えば、バッフル210によってチャンバに分散された反応性原子酸素とチャネル212及び/又は一つ以上の側ノズル208からの有機シリコン前駆物質を含有するガスフローは、rfコイルによって基板202の上に形成されたプラズマに進められてもよい。原子酸素と有機シリコン前駆物質は低温でさえプラズマ中で急速に反応して、基板表面上に極めて流動性の誘電体膜を形成する。
[0055]基板表面自体は、ペデスタル204によって回転させて、堆積した膜の均一性を高めることができる。回転面は、ウエハ堆積面の面に平行であってもよく、又は二つの面は部分的に整列していなくてもよい。面が整列していない場合、基板204の回転は、堆積表面の上の空間に流体乱流を生成することができる傾きを生じることがある。ある状況において、この乱流は、基板表面上に堆積した誘電体膜の均一性を高めることがある。ペデスタル204には、また、真空チャックを生成して、移動するにつれてペデスタル上の適切な位置にウエハを保持する凹所及び/又は他の構造が含まれてもよい。チャンバ内の典型的な堆積圧力は、約0.05トール〜約200トール合計チャンバ圧(例えば1トール)の範囲であり、適切な位置にウエハを保持するのに適した真空チャックを生成する。
[0056]ペデスタルの回転は、堆積チャンバの下に位置決めされ且つペデスタル204を支持するシャフト220に回転して結合されたモータ218によって作動させることができる。シャフト220には、また、冷却流体/電気ワイヤを堆積チャンバ(図示せず)の下の冷却/加熱システムからペデスタル204に運ぶ内部チャネル(図示せず)が含まれてもよい。これらのチャネルは、中央からペデスタルの周辺まで伸長して、上に横たわる基板ウエハ202に均一な冷却及び/又は加熱を与えることができる。これらは、また、シャフト220と基板ペデスタル204が回転し更に/又は移動している場合に作動させるように設計されてもよい。例えば、冷却システムは、ペデスタルを回転させながら流動性酸化物膜の堆積中に基板ウエハ202を100℃未満に保つように作動させることができる。
[0057]このシステム200には、更に、ドーム216の上に位置決めされた照射システム222が更に含まれてもよい。照射システム222からのランプ(図示せず)は、下に横たわる基板202を照射して、基板上に堆積した膜を焼成又はアニールすることができる。ランプは、また、堆積中に活性化して、膜前駆物質又は堆積した膜における反応を高めることもできる。ドーム216の少なくとも最上部は、ランプから放出された光の一部を伝達することが可能な半透明な物質から製造される。
[0058]図2Bは、側ノズル253の上に位置決めされた貫通プレート252が前駆物質を最上注入口254から分配する例示的処理システム250を示す他の実施形態である。貫通プレート252は、プレートの厚さを横切る複数の開口部260を通って前駆物質を分配する。プレート252は、例えば、約10〜2000個の開口部(例えば、200個の開口部)をもつことができる。図示した実施形態において、貫通プレートは、TMOS又はOMCTSのような原子酸素及び/又は他の酸素含有ガスのような酸化ガスを分配してもよい。図示した構成において、酸化ガスは、堆積基板の上に導入されるシリコン含有前駆物質の上の堆積チャンバに導入される。
[0059]最上注入口254は、二つ以上の前駆物質を混合と反応から貫通プレート252の上の空間に入るまで保つ二つ以上の独立した前駆物質(例えば、ガス)フローチャネル256と258を有してもよい。第一フローチャネル256は、注入口254の中央をとり囲む円環形を有してもよい。このチャネルは、チャネル256の下と貫通プレート252の上の空間に流れる反応種前駆物質を生成する上に横たわる反応種生成ユニット(図示せず)に結合されてもよい。第二フローチャネル258は、円筒形であってもよく、プレート252の上の空間に第二前駆物質を流すために用いてもよい。このフローチャネルは、反応種生成ユニットを迂回する前駆物質及び/又はキャリヤガス源から開始されてもよい。その後、第一前駆物質と第二前駆物質が混合され、プレート252における開口部260を通って下に横たわる堆積チャンバに流れる。
[0060]貫通プレート252と最上注入口254は、堆積チャンバ270内の下に横たわる空間に酸化する前駆物質を分配するために用いられてもよい。例えば、第一フローチャネル256は、原子酸素(基底状態か又は電子的励起状態で)、分子酸素(O)、NO、NO、NO、及び/又はオゾン(O)の一つ以上を含む酸化する前駆物質を分配するのがよい。酸化する前駆物質には、ヘリウム、アルゴン、窒素(N)等のキャリヤガスが含まれてもよい。第二のチャネル258は、また、酸化する前駆物質、キャリヤガス、及び/又はアンモニア(NH)のような追加ガスを分配することもできる。
[0061]システム250は、堆積チャンバの異なる部分を異なる温度に加熱するように構成されてもよい。例えば、第一ヒータゾーンは、最上リッド262と貫通プレート252を約70℃〜約300℃(例えば、約160℃)の範囲の温度まで加熱するのがよい。第二ヒータゾーンは、第一ヒータゾーン(例えば、300℃以上)と同じか又は異なる温度まで基板ウエハ264とペデスタル266の上の堆積チャンバの側壁を加熱するのがよい。このシステム250は、また、第一及び/又は第二ヒータゾーン(例えば、約70℃〜約120℃)と同じか又は異なる温度まで基板ウエハ264とペデスタル266の下の第三ヒータゾーンを有してもよい。更に、ペデスタル266には、ペデスタルと基板の温度を約-40℃〜約200℃(例えば、約100℃〜約160℃、約100℃未満、約40℃等)に設定するペデスタルシャフト272の内側の加熱及び/又は冷却コンジット(図示せず)が含まれてもよい。処理中、ウエハ264は、リフトピン276でペデスタル266を下げてもよく、スリットバルブドア278の周りに位置してもよい。
[0062]このシステム250には、更に、ウエハエッジ部のプレナム内に及び/又はウエハエッジ部の周りの柱面上に位置し、更に/又はウエハエッジ部の周りに位置した円錐形の表面上に複数の開口部を含むポンピングライナ274(即ち、ポンピングポートの非対称位置を相殺する圧力均等化チャネル)が含まれてもよい。開口部自体は、ライナ274に示したように円形であってもよく、スロット(図示せず)のような異なる形であってもよい。開口部は、直径が、例えば、約0.125インチ〜約0.5インチであってもよい。ウエハが処理される場合、ポンピングライナ274は、基板ウエハ264の上か下にあってもよい。それは、スリットバルブドア278の上に位置してもよい。
[0063]図2Cは、図2Bに示したプロセスシステム250を示す他の断面図である。図2Cは、約10インチ〜約18インチ(例えば、約15インチ)の範囲にある主チャンバ内壁径を含む、システム250のある寸法を示す図である。また、基板ウエハ264と約0.5インチ〜約8インチ(例えば、約5.1インチ)の側ノズルの間の距離を示している。更に、基板ウエハ264と貫通プレート252の間の距離は、約0.75インチ〜約12インチ(例えば、約6.2インチ)の範囲であってもよい。更に、基板ウエハとドーム268の最上内面間の距離は、約1インチ〜約16インチ(例えば、約7.8インチ)であってもよい。
[0064]図2Dは、圧力同等化チャネル282とポンピングライナ284を含む堆積チャンバ280の一部を示す断面である。図示した構成において、チャネル282と開口部284は、上に横たわるシャワーヘッド、最上バッフル及び/又は側ノズルの下に、基板ペデスタル286とウエハ288と同じ高さか又はそれらの上に位置してもよい。
[0065]チャネル282と開口部284は、チャンバにおける非対称の圧力作用を減少させることができる。これらの作用は、堆積チャンバ280内に圧力勾配を生じ得るポンピングポートの非対称の場所によって引き起こされることがある。例えば、基板ペデスタル286及び/又は基板ウエハ288の下の圧力勾配はペデスタルとウエハを傾けさせることがあり、誘電体膜の堆積において凹凸を生じることがある。チャネル282とポンピングライナ開口部284は、チャンバ280における圧力勾配を減少させ、堆積中にペデスタル286とウエハ288の位置を安定させるのを援助する。
[0066]図3Aは、上の部分がコンジット214によってとり囲まれているバッフル210の中央に形成されたチャネル212を含む、図2Aにおける前駆物質分配システム206の最上部302を示す実施形態の図である。図3Aは、コンジット214を下にバッフル210の外面の上を流れる反応種前駆物質304を示している。反応種前駆物質304が堆積チャンバへ最も近づくバッフル210の円錐状の末端に達するにつれて、チャンバへ放射状に分配され、そこで反応種304が第二前駆物質306と最初に接触する。
[0067]第二前駆物質306は、有機シラン前駆物質であるのがよく、キャリヤガスを含んでもよい。有機シラン前駆物質としては、他の前駆物質の中でも、TMOS、TriMOS、TEOS、OMCTS、HMDS、TMCTR、TMCTS、OMTS、TMS、及びHMDSOのような一つ以上の化合物が挙げられるのがよい。キャリヤガスとしては、他のキャリヤガスの中でも、窒素(N)、水素(H)、ヘリウム、アルゴンのような一つ以上のガスが挙げられるのがよい。前駆物質は、前駆物質供給ライン308に接続され、チャネル212にも結合されている供給源(図示せず)から送り込まれる。第二前駆物質306は、バッフル210の外側面の上を流れる反応種304にさらされずに中央チャネル212を下に流れることができる。第二前駆物質304がバッフル210の底を出て堆積チャンバに入るときに、側ノズル208によって供給される反応種304と追加の前駆物質と第一時間混合することができる。
[0068]コンジット214を下に流れる反応性前駆物質304は、RPSユニットのような反応種生成ユニット(図示せず)において生成される。例えば、RPSユニットは、反応種を形成するのによく適したプラズマ条件を生じ得る。RPSユニットにおけるプラズマは堆積チャンバ内で生成されるプラズマから離れていることから、異なるプラズマ条件をそれぞれの要素に使用し得る。例えば、O、O、NO等の酸素前駆物質から原子酸素ラジカルを形成するためのRPSユニットにおけるプラズマ条件(例えば、rf電力、rf周波数、圧力、温度、キャリヤガス分圧等)は、原子酸素が一つ以上のシリコン含有前駆物質(例えば、TMOS、TriMOS、OMCTS等)と反応し且つ下に横たわる基板上に流動性誘電体膜を形成する堆積チャンバ内のプラズマ条件とは異なってもよい。
[0069]図3Aは、第一及び第二前駆物質が堆積チャンバに達するまで互いに独立した第一前駆物質と第二前駆物質の流れを保つように設計されたデュアルチャネル最上バッフルを示す図である。本発明の実施形態には、また、チャンバへ三つ以上の前駆物質の独立した流れの構成が含まれる。例えば、構成には、バッフル210を通って内部を流れるチャネル212のような二つ以上の独立したチャネルが含まれてもよい。これらのチャネルのそれぞれは、堆積チャンバに達するまで互いに独立して流れる前駆物質を運ぶことができる。追加の例としては、その中央を通って流れるチャネルがない単一チャネルバッフル210が挙げられてもよい。これらの実施形態において、第二前駆物質306は、側ノズル208から堆積チャンバに入り、バッフル210によってチャンバに放射状に分配された反応性前駆物質304と反応する。
[0070]図3Bと図3Cはバッフル210の追加の実施形態を示す図である。図3Bと図3Cにおいて、チャネル212は、貫通プレート310a-310bによって下側(即ち、堆積チャンバに最も近い側)に画成された円錐状の容積に開放している。前駆物質は貫通プレートにおける開口部312を通ってこの容積を出る。図3Bと図3Cは、側壁と底プレート310a-310bの間の角度がどのように変動し得るかを示す図である。図は、また、前駆物質が堆積チャンバに入るにつれて流れる円錐外面の形の態様を示している。
[0071]図3Dは、堆積チャンバの最上部から前駆物質を分配する最上バッフルの代わりに用いられる最上注入口314と貫通プレート316の構成を示す図である。図示した実施形態において、最上注入口314は、二つ以上の前駆物質を貫通プレート316の上の空間に入るまで混合と反応から保持する二つ以上の独立した前駆物質フローチャネル318と320を有してもよい。第一フローチャネル318は、注入口314の中央をとり囲む円環形を有してもよい。このチャネルは、チャネル318を下に貫通プレート316の上の空間に流れる反応種前駆物質を生成する上に横たわる反応種生成ユニット322に結合されてもよい。第二フローチャネル320は、円筒形であってもよく、プレート316の上の空間に第二前駆物質を流すために用いられてもよい。このフローチャネルは、反応種生成ユニットを迂回する前駆物質及び/又はキャリヤガス源から開始してもよい。その後、第一前駆物質と第二前駆物質が混合され、プレート316における開口部324を通って下に横たわる堆積チャンバに流れる。
[0072]図3Eは、本発明の実施形態による最上貫通プレート356を含むプロセスシステム350において酸素含有前駆物質352とシリコン含有前駆物質354の前駆物質流分配を示す図である。図3Dのように、ラジカル原子酸素のような酸素含有ガスは遠隔プラズマシステム(図示せず)によって生成され、堆積チャンバの最上部を通って貫通プレート356の上の空間に導入される。その後、反応性酸素種は貫通プレート356における開口部358を通ってシリコン含有物質354(例えば、有機シラン及び/又はシラノール前駆物質)が側ノズル360によってチャンバに導入されるチャンバの領域に下に流れる。
[0073]図3Eに示される側ノズル360は、堆積チャンバに伸長するこれらの遠位端でキャップされている。シリコン含有前駆物質は、ノズルコンジットの側壁に形成された複数の開口部362を通って側ノズル360を出る。これらの開口部362は、基板ウエハ364に面するノズル側壁の部分に形成されて、シリコン含有物質354の流れをウエハに進めることができる。開口部362は、同じ方向に前駆物質354の流れを進めるように共に直線的に整列されてもよく、又は側壁に沿って異なる放射状位置に形成されて、前駆物質流を下に横たわるウエハ対して異なる角度で進めることができる。キャップされた側ノズル360の実施形態には、直径が約8ミル〜200ミル(例えば、約20ミル〜約80ミル)の開口部362と、約40ミル〜約2インチ(例えば、0.25インチ〜1インチ)の開口部間の間隔が含まれる。開口部の数は開口部及び/又は側ノズルの長さの間の間隔に対して変動してもよい。
[0074]図4Aは、発明の実施形態によるプロセスシステムにおける側ノズルの構成を示す正面図である。図示した実施形態において、側ノズルは三つのノズルのグループに堆積チャンバの周りに放射状に分配され、中央ノズル402は二つの隣接したノズル404よりも堆積チャンバ内に更に伸長している。これらの三グループの十六個が、合計48個の側ノズルについて、堆積チャンバの周りに均一に分配される。追加の実施形態には、約12〜約80個のノズルの範囲にあるノズルの総数が含まれる。
[0075]ノズル402と404は、基板ウエハの堆積面の上に隔置されてもよい。基板とノズルの間の間隔は、例えば、約1mm〜約80mm(例えば、約10mm〜約30mmの範囲)の範囲にあってもよい。ノズル402と404と基板との間のこの距離は、堆積中変動してもよい(例えば、堆積中、ウエハを垂直に移動してもよいだけでなく、回転及び/又撹拌してもよい)。
[0076]ノズル402と404は、全て同一プレートに配置されてもよく、又は異なる設定のノズルが異なるプレートに位置してもよい。ノズル402と4040は、ウエハの堆積表面に平行な中心線に向けられてもよく、基板表面に対して上向き又は下向きに傾けられてもよい。
[0077]ノズル402と404は、堆積チャンバに伸長する遠位端とノズルに前駆物質を供給するアニュラ型ガスリング406の内径表面に結合した近位端を有する。ガスリングは、例えば、約10インチ〜約22インチ(約14インチ〜約18インチ、約15インチ等)の範囲にある内径を有してもよい。ある構成において、より長いノズル402の遠位端は下に横たわる基板の周辺を超えて基板の内部の上の空間に伸長し、より短いノズル404の末端は基板周辺に達しない。図4に示される実施形態において、より短いノズル404の遠位先端は直径12インチ(即ち、300mm)の基板ウエハの周辺にまで伸長し、より長いノズル402の遠位先端は堆積表面の内部より更に4インチ伸長する。
[0078]ガスリング406は、前駆物質をノズル402と404に供給する一つ以上の内部チャネル(例えば、2〜4つのチャネル)を有してもよい。単一チャネルガスリングについて、内部チャネルは、全ての側ノズル402と404に前駆物質を供給してもよい。デュアルチャネルガスリングについては、一つのチャネルはより長いノズル402に前駆物質を供給してもよく、第二チャネルはより短いノズル404に前駆物質を供給する。それぞれのチャネルについて、反応性堆積前駆物質(例えば、有機シラン前駆物質の種類)及び/又は分圧、キャリヤガスの流量の種類は、堆積法によっては同じであっても異なってもよい。
[0079]図4Bは、本発明の実施形態よるプロセスシステムにおけるキャップされた側ノズル410の構成を示す図である。上記図3Eに示される側ノズル360と同様に、ノズル410は堆積チャンバに伸長する遠位端でキャップされる。ノズルを通って流れる前駆物質は、ノズルコンジットの側壁に形成される複数の開口部412を通って出る。これらの開口部412は基板ウエハ(図示せず)に面するノズル側壁の一部に形成されて、ウエハに向かって前駆物質の流れを進めることができる。開口部412は共に直線的に整列して、同じ方向に前駆物質流を進めることができ、又は側壁に沿って異なる放射状の位置に形成されて、下に横たわるウエハに対して異なる角度で前駆物質流を進めることができる。
[0080]ノズル410は、ノズル410の隣接した末端が結合される環状ガスリング414によって送り込まれてもよい。全てのノズル410に前駆物質を供給するためにガスリング414が単一ガスフローチャネル(図示せず)を有してもよく、又はノズル410の二つ以上の設定を供給するためにリングが複数のガスフローチャネルを有してもよい。例えば、デュアルチャネルガスリング設計において、第一チャネルは第一前駆物質(例えば、第一有機シラン前駆物質)を第一組のノズル410(例えば、図4Bに示されたノズルのより長い設定)に供給することができ、第二のチャネルは第二前駆物質(例えば、第二有機シラン前駆物質)を第二組のノズル410(例えば、図4Bに示されたより短い組のノズル)に供給することができる。
[0081]図4Cは、図4Bに示されるような側ノズル420を通る前駆物質流の断面図を示す図である。前駆物質418(例えば、蒸気分配システムからのキャリヤガスにおける有機シラン蒸気前駆物質)は、側ノズル420の近接端に結合した前駆物質フローチャネル416によって供給される。前駆物質418はノズルコンジットの中央を通って流れ、側壁内の開口部422を出る。図示したノズル構成において、開口部422は下向きに整列して、下に横たわるウエハ基板(図示せず)に向かって前駆物質418流を進ませる。開口部422は、直径が約8ミル〜約200ミル(例えば、約20ミル〜約80ミル)であり、開口部間の間隔が約40ミル〜約2インチ(例えば、約0.25インチ〜約1インチ)である。開口部422の数は、開口部間の間隔及び/又は側ノズル420の長さに対して変動してもよい。
[0082]本発明の実施形態には、また、図4Bに示される一組の放射側ノズルの代わりに用いられる単一片放射前駆物質マニホールドが含まれてもよい。(シャワーヘッドと呼ばれてもよい)この前駆物質マニホールド450の実施形態の図は、図4Dに示される。マニホールド450には、前駆物質外部リング454の周りに放射状に分配される複数の矩形コンジット452が含まれる。コンジット452の近接端は外部リング454に結合されてもよく、コンジット452の遠位端はアニュラ型内部リング456に結合される。アニュラ型内部リング456は、また、複数の内部コンジット458の近接端に結合されてもよく、その遠位端はアニュラ型中央リング460に結合されてもよい。
[0083]矩形コンジット452は、前駆物質外部リング454内の一つ以上の前駆物質チャネル(図示せず)によって前駆物質(例えば、一つ以上の有機シリコン前駆物質)で供給されてもよい。前駆物質は、コンジットの側面に形成された複数の開口部462を通ってコンジット452を出る。開口部462の直径は、約8ミル〜200ミル(例えば、約20ミル〜約80ミル)であり、開口部間の間隔は、約40ミル〜約2インチ(例えば、約0.25インチ〜約1インチ)である。開口部462の数は、開口部間の間隔及び/又はコンジット452の長さに対して変動してもよい。
[0084]図4Eは、図4Dに示される前駆物質分配マニホールドの拡大部分を示す図である。図示された実施形態において、放射状に分配されたコンジット452a-452bには、長さがアニュラ型内部リング456まで伸長し、第一組のコンジット452aが含まれてもよく、長さが内部リング456を超えてアニュラ型中央リング460まで伸長する第二組のコンジット452bが含まれてもよい。第一組と第二組のコンジット452は前駆物質の異なる混合物で供給されてもよい。
[0085]上述したように、堆積システムの実施形態には、また、基板上に堆積した流動性誘電体膜を硬化し更に/又は加熱する照射システムが含まれてもよい。図5A及び図5Bは、このような一照射システム500のような実施形態を示す図であり、半透明なドームの上に位置決めされ且つ下に横たわる基板506を照射するのに作用可能な同心系の環状ランプ502が含まれる。ランプ502は、反射ソケットに埋め込まれてもよく、ランプの側面は基板506に向かってランプが放出するより高く進ませる反射コーティングをもつ。ランプ502の総数は、単一ランプから、例えば、10ランプまで変動してもよい。
[0086]ランプ502には、硬化プロセスの場合にはUV放出ランプ及び/又はアニールプロセスの場合にはIR放出ランプが含まれてもよい。例えば、ランプ502は、水平フィラメント(即ち、ランプの電球の対称軸に垂直に向けられたフィラメント)、垂直フィラメント(即ち、電球の対称軸に平行に向けられたフィラメント)、及び/又は円形フィラメントを有してもよいタングステンハロゲンランプであるのがよい。反射ソケット508の異なるランプ502は、異なるフィラメント構成を有してもよい。
[0087]ランプ502からの光は、ドームを通って基板堆積面に伝達される。ドーム504の少なくとも一部には、UV及び/又は熱放射を堆積チャンバに通過させることを可能にする光学的に透明な窓510が含まれてもよい。窓510は、例えば、石英、溶融石英、酸窒化アルミニウム、又は他の適した半透明物質から製造されるのがよい。図5A-図5Fに示されるように、窓510は、形が環状でドーム504の最上部を覆ってもよく、直径が、例えば、約8インチ〜約22インチ(例えば、約14インチ)であってもよい。窓510の中央には、コンジットを堆積チャンバの上部に通過させることを可能にする内部開口部が含まれてもよい。内部開口部の直径は、例えば、約0.5インチ〜約4インチ(例えば、直径約1インチ)であってもよい。
[0088]図5Cと図5Dは、環状の代わりに真っすぐな環状電球を有するランプ512の他の構成を示す図である。真っすぐなランプ512は平行に整列されてもよく、ドーム504の半透明な窓510の上に位置決めされた反射ソケット514に埋め込まれてもよい。反射ソケット514は、円環形であってもよく、下に横たわる窓510の直径に一致してもよい。ランプ512の末端は、ソケット514の周辺を超えて伸長してもよい。窓510の中央の両側面のランプ512の数は等しく、約4以上のランプ(約4〜約10個のランプ)が用いられてもよい。
[0089]図5Eと図5Fは、窓510の中央の周りの対向する側に位置決めされた二つの大きいランプ516を有する照射システムの他の構成を示す図である。大きいランプは、互いに並行に、又は平行より小さい角度で整列されるのがよい。ランプ516は、また、ランプ光の一部を堆積チャンバ内の基板に向かって進ませるのに援助する反射ソケット518に埋め込まれてもよい。
[0090]図5A-図5Fに示される照射システムの実施形態は、基板表面上の堆積中及び/又は堆積後に流動性誘電体膜を照射するために用いることができる。また、堆積ステップ(例えば、パルスアニール)の間に基板を照射するために用いることができる。膜堆積中、ウエハは、温度制御ペデスタル上に位置決めされる。ウエハ温度は、例えば、約-40℃〜約200℃(例えば、40℃)に設定されるのがよい。基板がベーキング(即ち、アニーリング)プロセス中に照射される場合、ウエハの温度は約1000℃まで上昇してもよい。この高温アニールプロセス中、基板ペデスタル上のリフトピンはペデスタルから基板を持ち上げることができる。このことは、ペデスタルがヒート・シンクとして作用することから防止するとともにウエハ温度がより速い速度(例えば、約100℃/秒まで)で増加することを可能にする。
[0091]堆積システムの実施形態は、集積回路チップを製造するより大きな製造システムに組み込むことができる。図6は、本発明の実施形態による、堆積チャンバ、ベーキングチャンバ、硬化チャンバのこのような一システム600を示す図である。図面において、一組のFOOP602は、ロボットアーム604によって受け取り、ウエハ処理チャンバ608a-608fの一つに配置される前に低圧保持領域606に配置される基板ウエハ(例えば、300mm径ウエハ)を供給する。第二ロボットアーム610は、基板ウエハを保持領域606から処理チャンバ608a-608fにとその逆に搬送するために用いることができる。
[0092]プロセスチャンバ608a-608fには、基板ウエハ上に流動性誘電体膜を堆積、アニーリング、硬化及び/又はエッチングするための一つ以上のシステム要素が含まれてもよい。一構成において、二組の処理チャンバ(例えば、608c-608dと608e-608f)は、基板上に流動性誘電体膜を堆積するために用いることができ、第三組の処理チャンバ(例えば、608a-608b)は、堆積した誘電体をアニールするために用いることができる。他の構成において、同じ二組の処理チャンバ(例えば、608c-608dと608e-608f)は、基板上に流動性誘電体膜を堆積し且つアニールするために構成されてもよく、第三組の処理チャンバ(例えば、608a-608b)は堆積した膜のUV又はEビーム硬化に用いられてもよい。更に他の構成において、全て三組のチャンバ(例えば、608a-608f)は、基板上の流動性誘電体膜を堆積し硬化するように構成されてもよい。更に他の構成において、二組のプロセスチャンバ(例えば、608c-608dと608e-608f)は、流動性誘電体の堆積且つUV又はEビーム硬化に用いられてもよく、第三組のプロセスチャンバ(例えば、608a-608b)は、誘電体膜をアニールするのに用いてもよい。流動性誘電体膜の堆積チャンバ、アニーリングチャンバ、効果チャンバの追加の構成がシステム600によって企図されることは理解される。
[0093]更に、一つ以上のプロセスチャンバ608a-608fは、湿式処理チャンバとして構成されてもよい。これらのプロセスチャンバは、流動性誘電体膜を、水分を含む大気中で加熱すること含む。従って、システム600の実施形態には、堆積した誘電体膜上で湿式アニールと乾式アニールの双方を行う湿式処理チャンバ608a-608bとアニール処理チャンバ608c-608dが含まれてもよい。
シャワーヘッドの設計
[0094]本発明の実施形態によるガス分配とプラズマ生成システムの実施形態には、前駆物質を堆積チャンバへ分配するシャワーヘッドが含まれるのがよい。これらのシャワーヘッドは、二つ以上の前駆物質が堆積チャンバ内で混合するまで接触せずに独立してシャワーヘッドに流れることができるように設計されるのがよい。シャワーヘッドは、また、プラズマがフェースプレートの後ろだけでなく堆積チャンバ内に独立して生成することができるように設計されてもよい。シャワーヘッドのブロッカープレートとフェースプレートの間に生成される独立したプラズマは、反応性前駆物質種を形成するだけでなく、フェースプレートに近い洗浄化学種を活性化することによってシャワーヘッド洗浄プロセスの効率を改善するために用いることができる。二つ以上の前駆物質を堆積領域に独立して流れるように設計されたシャワーヘッドについての詳細は、更に、2005年1月22日出願の“MIXINGENERGIZED AND NON-ENERGIZED GASES FORbSILICONNITRIDE DEPOSITION”と称するJungらによる米国特許出願第11/040,712号に見出すことができ、この全体の内容は全てのために本願明細書に含まれるものとする。
[0095]ここで図7Aを参照すると、シャワーヘッドシステム700の簡略断面図が示されている。シャワーヘッド700は、二つの前駆物質注入ポート702と704と構成されている。第一前駆物質注入ポート702は、シャワーヘッドの中央と同軸であり、シャワーヘッドの中央を下に、その後、フェースプレート706の後ろに横方向に第一前駆物質の流路を画成する。
[0096]第二前駆物質注入ポート704は、第一ポート702の周りでガスボックス710とフェースプレート706の間の領域708に第二前駆物質を流すように構成されてもよい。その後、第二前駆物質を、堆積領域712に達する前にフェースプレート706における選ばれた開口部を通って領域708から流すことができる。図7Aに示されるように、フェースプレート706は二組の開口部:領域708と堆積領域の間で流体が連通する一組の開口部と、第一注入ポート702とフェースプレートギャップ718と堆積領域712との間で流体が連通する第二組の開口部716を有する。
[0097]フェースプレート706は、第一前駆物質と第二前駆物質が堆積領域のシャワーヘッドに残るまで独立して保持するデュアルチャネルフェースプレートであってもよい。例えば、第一前駆物質は、開口部716を通ってシャワーヘッドを出る前にフェースプレートギャップ718内の開口部714の周りに進むことができる。円筒状ポートのようなバリヤは、開口部714をとり囲み、第一前駆物質がこれらの開口部を通って出ることを防止することができる。同様に、開口部714を通って進む第二前駆物質は、フェースプレートギャップ718全体に第二開口部716を出て堆積領域に流れることができない。
[0098]前駆物質がそれぞれ組の開口部を出る場合に、基板ウエハ722と基板ペデスタル724の上の堆積領域712内で混合することができる。フェースプレート706とペデスタル724は、電極を形成して、基板722の上の堆積領域内で容量結合プラズマ726を生成させることができる。
[0099]システム700は、また、フェースプレートの後ろの領域708の後ろに第二プラズマ728を生成するように構成されてもよい。図7Bに示されるように、このプラズマ728は、プラズマのための電極を形成する、ガスボックス710とフェースプレート706間にrf電界を印加することによって生成することができる。このプラズマは、第二前駆物質注入ポート704から領域708へ流れる第二前駆物質から生成されてもよい。第二プラズマ728は、第二前駆混合物において前駆物質の一つ以上から反応種を生成するために用いることができる。例えば、第二前駆物質には、プラズマ728中でラジカル原子酸素種を形成する酸素含有源が含まれてもよい。その後、反応性原子酸素は混合し且つ第一前駆物質(例えば、有機シラン前駆物質)と反応することができる堆積領域にフェースプレート開口部714を通って流れ込むことができる。
[0100]図7Bにおいて、フェースプレート706は、堆積領域内の第二プラズマ728と第一プラズマ726双方の電極として作用することができる。このデュアルゾーンプラズマシステムは、フェースプレート706の後ろに前駆物質反応種を生成するとともにプラズマ中でその反応種と他の前駆物質との反応性を高めるために同時プラズマを用いることができる。更に、プラズマ728は、洗浄前駆物質を活性化してシャワーヘッド開口部内に蓄積した物質とより反応性にするために使用し得る。更に、堆積領域の代わりにシャワーヘッド内で反応種を生成することにより、洗浄活性種と堆積チャンバの壁の間での望ましくない反応の数を減少させることができる。例えば、フェースプレート706の後ろに生成されるより活性のフッ素種は、堆積領域内へ出る前に反応し、それらは堆積チャンバのアルミニウム要素に移動するとともに望ましくないAlFを形成し得る。
[0101]図8Aと図8Cは、二つの前駆物質混合物が堆積領域に達する前に独立して流れることができるフェースプレート802における第一組の開口部と第二組の開口部804と806の二つの構成を示す図である。図8Aは、第一組の開口部804が第一前駆物質を真っ直ぐなコンジットを通過させ、第二組の開口部806が第二前駆物質を第一開口部をとり囲んでいる同心アニュラ型リング開口部を通過させる、同心開口設計の断面を示す図である。第一前駆物質と第二前駆物質は、フェースプレートと第一混合物の後ろで互いに分離し、堆積領域内の開口部804と806から現れるときに反応する。
[0102]図8Bは、フェースプレート表面内に形成された第一開口部と第二開口部804、806のアレイを示すフェースプレート802の一部の図である。第二環状開口部806は、最外フェースプレート層と、第一開口部804を画成するチュブラー壁との間のギャップによって形成される。図に示される実施形態において、環状ギャップ開口部806は中央開口部804の壁の周りの約0.003インチであり、直径が約0.028インチである。第一開口部と第二開口部の他の大きさが用いられてもよいことは当然のことである。第二前駆物質は、これらの環状開口部806を通過し、中央開口部804から現れる前駆物質をとり囲む。
[0103]図8Cは、第一組の開口部808がなお第一前駆物質の真っ直ぐなコンジットを生成し、第二組の平行な隣接開口部810が第二前駆物質の独立したフローチャネルを与える平行開口設計の断面を示す図である。第一前駆物質と第二前駆物質が混合せず、反応領域にシャワーヘッドを出るまで反応しないように二組の開口部は互いに分離される。
[0104]開口部810を出る第二前駆物質は、図8Dに示されるようにシャワーヘッドのエッジ領域から中央に流れることができる。第二前駆物質源と開口部810間に形成されるチャネルは、領域812から開口部808を通って堆積領域へ流れる第一前駆物質から流体的に分離される。第二前駆物質は、複数のシャワーヘッドの中に及び/又は周りに形成される一つ以上の流体チャネルによって供給することができる。
[0105]ある範囲の値が示される場合、それぞれの介在値は、特に明らかに影響されない限り、その範囲の上限と下限の間で下限の単位の1/10まで詳しく開示されることは理解される。記載されたあらゆる値又は記載された範囲の介在値と記載された他のあらゆる値又は記載されたその範囲の介在値の間のそれぞれのより小さい範囲が本発明の範囲に包含される。これらのより小さい範囲の上限と下限は独立して範囲に含むか又は除外することができ、記載された範囲の詳しく除外されたあらゆる限度を条件として、いずれか、いずれでもないか又は両方の限度が含まれるそれぞれの範囲も本発明の範囲に包含される。記載された範囲が一方又は両方の限度を含む場合、それらの含まれた限度のいずれか又は両方を除外する範囲も本発明に含まれる。
[0106]本明細書に用いられ、また、添えられた特許請求の範囲において、特に明らかに影響されない限り、単数形は、複数の対象を包含する。従って、例えば、“プロセス”について述べることは、複数のこのようなプロセスが含まれてもよく、“ノズル”について述べることは、一つ以上のノズル及び当業者に既知のその等価物等について述べることが含まれてもよい。
[0107]また、本明細書と以下の特許請求の範囲に用いられる語“備える”、“含む”は記載された特徴、整数、成分、又はステップの存在を特定するものであるが、一つ以上の他の特徴、整数、成分、ステップ、又は基の存在又は追加を除外しない。
100…システム、200…処理システム、201…堆積チャンバ、202…基板ウエハ、204…ペデスタル、206…分配システム、208…側ノズル、210…バッフル、212…流体チャネル、214…コンジット、216…ドーム、220…シャフト、222…照射システム、250…処理システム、252…貫通プレート、254…注入口、256…フローチャネル、258…フローチャネル、260…開口部、262…リッド、264…基板ウエハ、266…ペデスタル、270…堆積チャンバ、272…ペデスタルシャフト、274…ポンピングライナ、276…リフトピン、278…スリットバルブドア、280…堆積チャンバ、282…チャネル、284…開口部、286…基板ペデスタル、288…ウエハ、304…前駆物質、306…前駆物質、308…前駆物質供給ライン、310…貫通プレート、312…開口部、314…注入口、316…貫通プレート、318…チャネル、320…チャネル、322…反応種生成ユニット、324…開口部、350…プロセスシステム、354…前駆物質、356…貫通プレート、358…開口部、360…側ノズル、362…開口部、364…基板ウエハ、402…ノズル、404…ノズル、406…ガスリング、410…ノズル、412…開口部、414…アニュラ型ガスリング、418…前駆物質、422…開口部、450…マニホールド、452…コンジット、456…リング、462…開口部、502…ランプ、508…ソケット、510…窓、512…ランプ、514…ソケット、516…ランプ、518…ソケット、600…システム、606…保持領域、608…処理チャンバ、700…シャワーヘッドシステム、702…注入ポート、704……貫通プレート、706…フェースプレート、708…領域、710…ガスボックス、712…堆積領域、714…開口部、718…フェースプレートギャップ、726…プラズマ、728…プラズマ、802…フェースプレート、804…開口部、806…開口部、808…開口部、810…開口部。

Claims (24)

  1. 誘電前駆物質のプラズマから基板上に誘電体層を形成するシステムであって:
    堆積チャンバと;
    該基板を保持する該堆積チャンバ内の基板台と;
    該堆積チャンバに結合した遠隔プラズマ生成システムであって、該プラズマ生成システムが、反応性ラジカルを含む第一誘電前駆物質を生成するために用いられる、前記遠隔プラズマ生成システムと;
    該基板台の上に位置決めされたデュアルチャネルシャワーヘッドを備える前駆物質分配システムであって、該シャワーヘッドが、該反応性ラジカル前駆物質が該堆積チャンバに入る第一組の開口部と、第二誘電前駆物質が該堆積チャンバに入る第二組の開口部を有するフェースプレートを備え、該前駆物質が該堆積チャンバに入るまで混合されない、前記前駆物質分配システム。
  2. 該第一組の開口部の形が円形であり、該第二組の開口部の形が環形である、請求項1に記載のシステム。
  3. 該第二開口部のそれぞれが、該第一開口部の一つの周りに同心円状に整列している、請求項1に記載のシステム。
  4. 該前駆物質分配システムが、一つ以上の追加の誘電前駆物質を該堆積チャンバに導入するための複数の側ノズルを更に備える、請求項1に記載のシステム。
  5. 該追加の誘電前駆物質が、該第二誘電前駆物質を含む、請求項4に記載のシステム。
  6. 該追加の誘電前駆物質が、該第一誘電前駆物質と第二誘電前駆物質と異なる第三誘電前駆物質を含む、請求項4に記載のシステム。
  7. 該ノズルの少なくとも二つの長さが異なる、請求項4に記載のシステム。
  8. 該基板台が、該誘電体層の形成中に該基板を回転させる、請求項1に記載のシステム。
  9. 該基板台が、該誘電体層の形成中に上下し得る、請求項1に記載のシステム。
  10. 該システムが、該基板台の温度を制御する基板台温度制御システムを備える、請求項1に記載のシステム。
  11. 該システムが、該堆積チャンバに供給される該誘電前駆物質から該誘電チャンバ内に該プラズマを生成させるインサイチュプラズマ生成システムを備える、請求項1に記載のシステム。
  12. 該システムが、放射加熱システムを備える、請求項1に記載のシステム。
  13. 該第一前駆物質が、ラジカル原子酸素を含む、請求項1に記載のシステム。
  14. 該第二前駆物質が、シリコン含有前駆物質である、請求項1に記載のシステム。
  15. 該シリコン含有前駆物質が、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランからなる群より選ばれる、請求項14に記載のシステム。
  16. 誘電前駆物質のプラズマから基板上に誘電体層を形成するシステムであって:
    堆積チャンバと;
    該基板を保持する該堆積チャンバ内の基板台であって、該基板台が該誘電体層の該堆積中に回転させるように作動する、前記基板台と;
    該堆積チャンバに結合した遠隔プラズマ生成システムであって、該プラズマ生成システムが反応性ラジカルを含む誘電前駆物質を生成させるために用いられる、前記遠隔プラズマ生成システムと;
    該基板台の上に位置決めされたデュアルチャネルシャワーヘッドを備える前駆物質分配システムであって、該シャワヘッドが、該反応性ラジカル前駆物質が該堆積チャンバに入る第一組の開口部と、第二誘電前駆物質が該堆積チャンバに入る第二組の開口部を有するフェースプレートを備え、該前駆物質が該堆積チャンバに入るまで混合されない、前記前駆物質分配システムと;
    該堆積チャンバに供給される該誘電前駆物質から該堆積チャンバ内に該プラズマを生成するインサイチュプラズマ生成システムと;
    を含む、前記システム。
  17. 該基板が200mm又は300mmのウエハである、請求項16に記載のシステム。
  18. 該基板が、シリコン、ゲルマニウム、又はガリウムヒ素を含む、請求項16に記載のシステム。
  19. 該誘電体層の形成中に、該基板台を上下して該シャワーヘッドに相対して該基板の位置を調整することができる、請求項16に記載のシステム。
  20. 該誘電体層の形成中に、該基板台を同時に回転させ且つ上下させることができる、請求項16に記載のシステム。
  21. 該システムが、該基板台の温度を制御する基板台温度制御システムを備える、請求項16に記載のシステム。
  22. 該温度制御システムが、約-40℃〜約200℃の温度で該基板台を維持する、請求項21に記載のシステム。
  23. 該第二誘電前駆物質が、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランからなる群より選ばれるシリコン含有前駆物質を含む、請求項16に記載のシステム。
  24. 該反応性ラジカル前駆物質が、ラジカル原子酸素を含む、請求項16に記載のシステム。
JP2009513438A 2006-05-30 2007-05-30 誘電性ギャップ充填のためのプロセスチャンバ Active JP5300714B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US80349906P 2006-05-30 2006-05-30
US60/803,499 2006-05-30
US11/754,916 2007-05-29
US11/754,916 US20070277734A1 (en) 2006-05-30 2007-05-29 Process chamber for dielectric gapfill
PCT/US2007/070001 WO2007140426A2 (en) 2006-05-30 2007-05-30 Process chamber for dielectric gapfill

Publications (2)

Publication Number Publication Date
JP2009539269A true JP2009539269A (ja) 2009-11-12
JP5300714B2 JP5300714B2 (ja) 2013-09-25

Family

ID=38779454

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009513438A Active JP5300714B2 (ja) 2006-05-30 2007-05-30 誘電性ギャップ充填のためのプロセスチャンバ

Country Status (6)

Country Link
US (1) US20070277734A1 (ja)
EP (1) EP2041334A4 (ja)
JP (1) JP5300714B2 (ja)
KR (1) KR101046967B1 (ja)
TW (1) TWI391995B (ja)
WO (1) WO2007140426A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007335510A (ja) * 2006-06-13 2007-12-27 Hokuriku Seikei Kogyo Kk シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
JP2014511030A (ja) * 2011-03-01 2014-05-01 アプライド マテリアルズ インコーポレイテッド デュアルプラズマ源式のランプ加熱プラズマチャンバ
JP2015508570A (ja) * 2011-12-23 2015-03-19 ラム・リサーチ・アーゲーLam Research Ag ウエハ状物品の表面を処理するための装置
JP2017539087A (ja) * 2014-11-26 2017-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセス均一性を高めるための方法およびシステム
JP2019219671A (ja) * 2013-03-12 2019-12-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法
JP2023510788A (ja) * 2020-01-15 2023-03-15 アプライド マテリアルズ インコーポレイテッド 炭素化合物膜堆積のための方法及び装置

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
DE102009010497A1 (de) * 2008-12-19 2010-08-05 J-Fiber Gmbh Mehrdüsiger rohrförmiger Plasma-Abscheidebrenner zur Herstellung von Vorformen als Halbzeuge für optische Fasern
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
CN102576667A (zh) * 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20130012030A1 (en) * 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
KR102273744B1 (ko) * 2010-05-12 2021-07-06 에스아이오2 메디컬 프로덕츠, 인크. 용기 가스 제거 검사 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8974632B2 (en) 2011-11-30 2015-03-10 Lam Research Ag Device and method for treating wafer-shaped articles
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) * 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11011384B2 (en) * 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備
US20220122811A1 (en) * 2020-10-16 2022-04-21 Applied Materials, Inc. Electric arc mitigating faceplate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01198033A (ja) * 1988-02-03 1989-08-09 Toshiba Corp 薄膜形成方法
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH03197684A (ja) * 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH10163183A (ja) * 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
JP2005142448A (ja) * 2003-11-07 2005-06-02 Shimadzu Corp 表面波励起プラズマcvd装置
JP2005268396A (ja) * 2004-03-17 2005-09-29 Anelva Corp 真空処理装置
JP2005302848A (ja) * 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) * 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
DE10196026B4 (de) * 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100589370B1 (ko) * 2003-11-26 2006-06-14 삼성에스디아이 주식회사 플라즈마 디스플레이 장치
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
KR20050094183A (ko) * 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01198033A (ja) * 1988-02-03 1989-08-09 Toshiba Corp 薄膜形成方法
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH03197684A (ja) * 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH10163183A (ja) * 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
JP2005142448A (ja) * 2003-11-07 2005-06-02 Shimadzu Corp 表面波励起プラズマcvd装置
JP2005268396A (ja) * 2004-03-17 2005-09-29 Anelva Corp 真空処理装置
JP2005302848A (ja) * 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007335510A (ja) * 2006-06-13 2007-12-27 Hokuriku Seikei Kogyo Kk シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
JP2012533890A (ja) * 2009-07-15 2012-12-27 アプライド マテリアルズ インコーポレイテッド Cvdチャンバの流れ制御機構
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
JP2014511030A (ja) * 2011-03-01 2014-05-01 アプライド マテリアルズ インコーポレイテッド デュアルプラズマ源式のランプ加熱プラズマチャンバ
JP2015508570A (ja) * 2011-12-23 2015-03-19 ラム・リサーチ・アーゲーLam Research Ag ウエハ状物品の表面を処理するための装置
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP2019219671A (ja) * 2013-03-12 2019-12-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 極端紫外線リソグラフィマスクブランク製造システムとそのための操作方法
JP2017539087A (ja) * 2014-11-26 2017-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセス均一性を高めるための方法およびシステム
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP2023510788A (ja) * 2020-01-15 2023-03-15 アプライド マテリアルズ インコーポレイテッド 炭素化合物膜堆積のための方法及び装置

Also Published As

Publication number Publication date
KR101046967B1 (ko) 2011-07-06
TWI391995B (zh) 2013-04-01
EP2041334A4 (en) 2012-08-22
JP5300714B2 (ja) 2013-09-25
WO2007140426A9 (en) 2008-10-23
WO2007140426A3 (en) 2008-12-11
WO2007140426A2 (en) 2007-12-06
US20070277734A1 (en) 2007-12-06
KR20090019866A (ko) 2009-02-25
EP2041334A2 (en) 2009-04-01
TW200807510A (en) 2008-02-01

Similar Documents

Publication Publication Date Title
JP5300714B2 (ja) 誘電性ギャップ充填のためのプロセスチャンバ
KR101207525B1 (ko) 유전체 갭필용 공정 챔버
TWI382457B (zh) 用於填充介電質間隙的製程室
KR101407112B1 (ko) 반도체 처리용 성막 장치
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US7790633B1 (en) Sequential deposition/anneal film densification method
US7888273B1 (en) Density gradient-free gap fill
CN101326629B (zh) 填充介电质间隙的制程室
US20100081293A1 (en) Methods for forming silicon nitride based film or silicon carbon based film
US20140302690A1 (en) Chemical linkers to impart improved mechanical strength to flowable films
US20110129616A1 (en) Oxygen-doping for non-carbon radical-component cvd films
US20090031953A1 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
TW201417179A (zh) 低成本流動性介電質薄膜
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
WO2011059675A2 (en) Curing non-carbon flowable cvd films
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
CN1460130A (zh) 无机/有机介电薄膜的沉积系统及方法
KR20090097401A (ko) 증착장치 및 이를 이용한 증착방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100805

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120814

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130618

R150 Certificate of patent or registration of utility model

Ref document number: 5300714

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250