TW201417179A - 低成本流動性介電質薄膜 - Google Patents

低成本流動性介電質薄膜 Download PDF

Info

Publication number
TW201417179A
TW201417179A TW102131319A TW102131319A TW201417179A TW 201417179 A TW201417179 A TW 201417179A TW 102131319 A TW102131319 A TW 102131319A TW 102131319 A TW102131319 A TW 102131319A TW 201417179 A TW201417179 A TW 201417179A
Authority
TW
Taiwan
Prior art keywords
plasma
dielectric layer
substrate
substrate processing
processing region
Prior art date
Application number
TW102131319A
Other languages
English (en)
Inventor
Amit Chatterjee
Abhijit Basu Mallick
Nitin K Ingle
Brian Underwood
Kiran V Thadani
Xiaolin Chen
Abhishek Dube
Jing-Mei Liang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201417179A publication Critical patent/TW201417179A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

茲描述形成介電質層的方法。該方法藉由化學氣相沉積使用局部電漿沉積含矽薄膜。該含矽薄膜在低基板溫度下的沉積過程中是流動性的。矽前驅物(例如矽烷胺、高級矽烷或鹵化矽烷)被輸送到基板處理區域,並在局部電漿中被激發。第二電漿蒸汽或氣體在該基板處理區域中與該矽前驅物結合,並且可以包括氨氣、氮氣(N2)、氬氣、氫氣(H2)及/或氧氣(O2)。當使用相對較低的功率激發局部電漿時,本文中揭示的與這些蒸氣/氣體組合結合的設備架構已被發現在基板溫度低於或約200℃時可產生流動性沉積。

Description

低成本流動性介電質薄膜 【相關申請案的交叉引用】
本專利申請案主張由Chatterjee等人於2012年09月11日提出申請且標題為「低成本流動性介電質薄膜(LOW COST FLOWABLE DIELECTRIC FILMS)」的美國臨時專利申請案第61/699,495號的優先權權益,為了所有的目的將該申請案全部併入本文中。
本發明係關於低成本流動性介電質薄膜。
自從幾十年前推出以來,半導體元件的幾何形狀大小已經大為縮小。現代的半導體生產設備例行地生產具有45nm、32nm及28nm特徵尺寸的元件,並且新的設備正被開發和實施來製作具有甚至更小的幾何形狀的元件。特徵尺寸的縮小導致元件上的結構特徵具有減小的空間尺寸。元件上的縫隙和溝槽的寬度已經縮小到縫隙深度對寬度的深寬比變 成高到足以使使用介電質材料填充縫隙具有挑戰性的點。沉積的介電質材料很容易在縫隙完全填滿之前就把頂部堵塞,而在縫隙的中間產生孔隙或接縫。
多年來,許多技術已被開發來避免讓介電質材料堵 塞縫隙的頂部或「治愈」已經形成的孔隙或接縫。一種方法是從具有高流動性的前驅物材料著手,該前驅物材料可以以液相被施加到旋轉的基板表面(例如,SOG沉積技術)。這些流動性的前驅物可以流入並填充很小的基板縫隙,而不會形成孔隙或弱接縫。然而,一旦這些高流動性的材料被沉積,則該等材料必須被硬化成固體的介電質材料。
在許多情況下,硬化包括加熱處理,以從沉積的材 料去除碳和羥基基團,而留下固體介電質,例如氧化矽。不幸的是,離開的碳和羥基物種往往會在硬化的介電質中留下孔隙,而降低最終材料的品質。此外,硬化的介電質也有體積收縮的傾向,這會在介電質和周圍基板的界面處留下裂縫和空間。在某些情況下,硬化介電質的體積會減少40%或更多。
旋塗介電質(SOD)也已被用於流入圖案化基板上 的特徵。該材料通常從含有矽、氮和氫的矽氮烷型薄膜被轉化成氧化矽。介電質層作為液體施加產生了很厚的層,並使填充小的縫隙變得困難。
因此,需要新的沉積製程和材料來在結構化基板上 使用氣相沉積技術形成介電質材料。本申請中解決了這個和其他的需求。
茲描述形成介電質層的方法。該方法藉由化學氣相 沉積使用局部電漿沉積含矽薄膜。該含矽薄膜在低基板溫度下的沉積過程中是流動性的。矽前驅物(例如矽烷胺、高級矽烷或鹵化矽烷)被輸送到基板處理區域,並在局部電漿中被激發。第二電漿蒸汽或氣體在該基板處理區域中與該矽前驅物結合,並且可以包括氨氣、氮氣(N2)、氬氣、氫氣(H2)及/或氧氣(O2)。當使用相對較低的功率激發局部電漿時,本文中揭示的與這些蒸氣/氣體組合結合的設備架構已被發現在基板溫度低於或約200℃時可產生流動性沉積。該製程產生瞬態物種,在沉積過程中該瞬態物種在薄膜固化填充圖案化基板中的縫隙之前產生流動性薄膜。
本發明之實施例包括在圖案化基板上形成介電質層 的方法。該方法包括使矽前驅物流入該基板處理區域。該方法進一步包括使電漿蒸氣/氣體流入基板處理區域。該方法進一步包括在該基板處理區域中藉由施加小於或約0.3W/cm2的電漿功率密度來擊發電漿。該方法進一步包括在該圖案化基板上形成該介電質層。該介電質層包括矽和碳或氮中之一者。在沉積過程中,該介電質層為流動性的。
在以下的部分描述中提出另外的實施例與特徵,而 且對於本技術領域中具有通常知識者而言,在檢視本說明書之後,部分的該等實施例與特徵將變得顯而易見,或者是可藉由實施本發明而學習部分的該等實施例與特徵。藉由說明 書中描述的手段、組合以及方法可實現及獲得本發明之特徵與優點。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
1001‧‧‧系統
1002‧‧‧FOUP
1004‧‧‧機器人手臂
1006‧‧‧低壓保持區域
1008a‧‧‧基板處理室
1008b‧‧‧基板處理室
1008c‧‧‧基板處理室
1008d‧‧‧基板處理室
1008e‧‧‧基板處理室
1008f‧‧‧基板處理室
1010‧‧‧第二機器人手臂
1101‧‧‧基板處理室
1110‧‧‧遠端電漿系統
1111‧‧‧氣體入口組件
1112‧‧‧第一通道
1113‧‧‧第二通道
1120‧‧‧腔室電漿區域
1121‧‧‧蓋體
1124‧‧‧絕緣環
1126‧‧‧長度
1150‧‧‧通孔的最小直徑
1151‧‧‧中空容積
1153‧‧‧噴灑頭
1155‧‧‧小孔
1156‧‧‧通孔
1170‧‧‧基板處理區域
藉由參照本說明書的剩餘部分及圖式可以實現對本發明的本質與優點之進一步瞭解,其中貫穿該等圖示使用相同的元件符號來指稱類似的組件。在某些情況中,次標號係與元件符號相關,並跟隨在連字號之後來表示多個類似組件中之一者。當提及某一元件符號而未詳述存在的次標號時,則意圖指稱所有這樣的多個類似組件。
第1圖為圖示依據本發明之實施例製作氧化矽薄膜的選定步驟之流程圖。
第2圖圖示依據本發明之實施例的基板處理系統。
第3A圖圖示依據本發明之實施例的基板處理腔室。
第3B圖圖示依據本發明之實施例的氣體分配噴灑頭。
茲描述形成介電質層的方法。該方法藉由化學氣相沉積使用局部電漿沉積含矽薄膜。該含矽薄膜在低基板溫度下的沉積過程中是流動性的。矽前驅物(例如矽烷胺、高級矽烷或鹵化矽烷)被輸送到基板處理區域,並在局部電漿中被激發。第二電漿蒸汽或氣體在該基板處理區域中與該矽前驅物結合,並且可以包括氨氣、氮氣(N2)、氬氣、氫氣(H2) 及/或氧氣(O2)。當使用相對較低的功率激發局部電漿時,本文中揭示的與這些蒸氣/氣體組合結合的設備架構已被發現在基板溫度低於或約200℃時可產生流動性沉積。該製程產生瞬態物種,在沉積過程中該瞬態物種在薄膜固化填充圖案化基板中的縫隙之前產生流動性薄膜。
進行電漿化學氣相沉積或電漿增強化學氣相沉積(PECVD)牽涉到在基板處理區域內施加「局部」電漿來有效沉積。本發明人發現,使本文所揭示的矽前驅物(例如矽烷胺,諸如TSA)與電漿蒸氣/氣體一起流動可產生包括矽、氮、氫的介電質層。該薄膜已被發現可在沉積過程中流動,這有利於填充半導體處理過程中使用的圖案化基板上常見的縫隙。現在將描述有關形成氧化矽層的方法和系統的其他細節。
示例性氧化矽形成製程
第1圖為圖示依據本發明之實施例製作介電質薄膜的方法100中的選擇步驟之流程圖。示例性方法100包括提供三矽烷胺至基板處理區域(步驟102)。該方法進一步包括使氨氣流入基板處理區域(步驟104)。可以使三矽烷胺和氨氣同時流入該基板處理區域,而且在本發明之實施例中,在進入之前三矽烷胺和氨氣可以未被電漿激發。電漿激發係藉由施加射頻功率到基板處理區域的任一側上的電容極板來提供(步驟106)。例如,該電容極板可被定位在該基板處理區域的上方和下方。電漿激發氨氣和三矽烷胺的組合導致圖案 化基板表面上形成流動性介電質層(包含矽、氮、氫)。
一般來說,本文中可以將三矽烷胺稱為含有矽烷胺的矽前驅物,但該矽前驅物也可以含有碳。該矽前驅物可以含有三矽烷胺、二矽烷胺或單矽烷胺中之一者。該矽前驅物在氣相中以被載氣攜帶的氣體或蒸汽形式輸送。如稍後將詳細討論的,沒有碳減少了沉積薄膜的收縮。然而,在揭示的實施例中,矽前驅物和介電質薄膜含有碳。碳化矽和矽碳氮化物在某些製程流中是理想的,而且在使用本文揭示的方法沉積的流動性介電質層之定義中。如此說來,在揭示的實施例中,該矽前驅物可以是無氧且無碳的。缺少氧導致由該前驅物形成的流動性介電質層中的矽烷醇(Si-OH)基團的濃度較低或可忽略。沉積薄膜中過多的矽烷醇部分會在從沉積層去除羥基(-OH)基團的沉積後步驟過程中導致孔隙率和收縮率提高。
矽前驅物的具體實例可以包括矽烷胺,例如H2N(SiH3)、HN(SiH3)2及N(SiH3)3。該矽前驅物也可以是較高級的矽烷,本文中定義為SinH2n+2,其中n>=3。在本發明的實施例中,矽前驅物也可以是鹵化矽烷,而且可以是氯化矽烷。本文中將鹵化矽烷定義為SinH2n+2,其中在揭示的實施例中n>=1或n>=2,其中至少一個氫原子被移除並被鹵素取代。在揭示的實施例中,該矽前驅物為例如六氯二矽烷(Si2Cl6)。在不同的實施例中,矽前驅物的流動速率可以為大於或約40sccm、大於或約60sccm或大於或約100sccm。本文中給出的所有流動速率是指雙腔室的基板處理系統。單 晶圓系統將需要這裡的一半流動速率,而且其他的晶圓尺寸會需要以處理面積縮放的流動速率。該矽前驅物可以與另外的氣體混合,該氣體可以作為載氣、反應氣體或上述兩者。
電漿蒸氣/氣體與該矽前驅物結合流入該基板處理 區域或分開流入該基板處理區域,在該基板處理區域該矽前驅物和該電漿蒸氣/氣體的組合在電漿中被激發。示例性電漿蒸氣/氣體除了其他的氣體以外還包括氨氣、H2、N2、O2、H2O、O3、H2O2、N2O、NO、He及Ar。該電漿蒸氣/氣體可以含有碳,以形成碳化矽和SiCN薄膜,在這種情況下,該電漿蒸氣/氣體可以包括甲烷、乙烷、丙烷、丁烷、乙炔或其他的烴。 在揭示的實施例中,該電漿蒸氣/氣體的流動速率可以為大於或約25sccm、大於或約40sccm或大於或約50sccm。在實施例中氧的來源可能不包含在內,以提高流動性。
如實例中所述,可以使用電容耦合電漿(CCP)架構點燃電漿,該電容耦合電漿架構使用接近13.56MHz的射頻。然而,也可以使用其他的頻率和激發源,例如較高及/或較低的射頻(例如在微波區域的2.4GHz或350kHz)。也可以與感應耦合電漿(ICP)組合使用或以ICP取代CCP。電漿功率相對較低,以在形成的薄膜中引發流動誘導的化學暫態形成。對於300mm的基板,功率可以在10-350W的範圍中。在本發明的實施例中,功率密度可以為每平方公分的圖案化基板面積小於或約0.3W、每平方公分的圖案化基板面積小於或約0.25W、每平方公分的圖案化基板面積小於或約0.2W或每平方公分的圖案化基板面積小於或約0.15W。在揭示的 實施例中,在介電質層的形成過程中,功率可以是連續的而不是脈衝的。在揭示的實施例中,擊發電漿意指施加0.025W到0.30W(每平方公分的圖案化表面積)的電漿功率到該基板處理區域。圖案化的表面積係計算為在單一平面上通過圖案化特徵的面積(通常在基板的頂部表面上)。電漿激發也可以藉由閃耀紫外(UV)光進入該基板處理區域來實現。
流動該矽前驅物包含在形成該流動性介電質層的整 個過程中供應該矽前驅物的連續流動到該基板處理區域。同樣地,擊發電漿包含在形成該流動性介電質層的整個過程中連續地施加電漿功率。已經發現的是,脈衝化該矽前驅物流動或該電漿功率任一者(並且當然可以是兩者)可產生保形的膜,而不是本文所述的理想流動性介電質薄膜。在本發明的實施例中,在形成該流動性介電質層的整個過程中電漿蒸氣/氣體的流動也是連續的。
在該基板處理區域中,該矽前驅物和電漿蒸氣/氣體混合並在電漿中反應,以在沉積基板上沉積該流動性介電質薄膜。該流動性介電質薄膜含有矽、氮及氫,而且在本發明的實施例中可以僅由這些元素所組成。沉積的介電質薄膜具有與傳統的矽氮化物(Si3N4)薄膜沉積技術不同的流動特性。在形成過程中此流動性本質允許薄膜在固化之前流入狹窄的特徵中。含矽氮及氫的薄膜中的氮可以源自該電漿蒸氣/氣體和該矽前驅物,因為該矽前驅物和三氟化氮皆可以含有氮。
含矽薄膜的流動性可能是由於如上所述在低強度局部電漿存在下如本文概述混合電漿蒸氣/氣體與矽前驅物而產 生的各種性質所導致的。這些性質可以包括短鏈聚矽氮烷聚合物的存在,該短鏈聚矽氮烷聚合物可以具有氫及/或鹵素端基。這些短鏈生長而網絡化,以在薄膜形成過程中和之後形成更緻密的介電質材料。例如,沉積薄膜可以具有矽氮烷型的Si-NH-Si主鏈(即無碳的Si-N-H薄膜)。
在一些實施例中,所有供應到該基板處理區域中的 電漿的前驅物是無碳的,因此沉積的含矽氮氟和氫薄膜基本上也是無碳的。當然,「無碳」並不一定意味著薄膜連微量的碳都沒有。碳污染物可能會存在於前驅物材料中,該前驅物材料找到自己的方式進入沉積的含矽和氮前驅物。然而,這些碳雜質的量遠小於在具有碳基團(例如四乙氧基矽烷(TEOS)、四甲基二矽氧烷(TMDSO)等)的矽前驅物中會被發現的。
也可以選擇矽前驅物和電漿蒸氣/氣體來形成碳化 矽或矽碳氮化物(SiCN),而不是上述的氮化矽。在所有的情況下,氮化矽、碳化矽或SiCN可以含有一些可能有助於薄膜流動性的氫。在揭示的實施例中,流動性介電質薄膜是由矽、碳和氫組成的。流動性介電質薄膜也可以由矽和碳組成。在揭示的實施例中,流動性介電質薄膜是由矽、氮和氫所組成的。流動性介電質薄膜也可以由矽和氮組成。在揭示的實施例中,流動性介電質薄膜是由矽、碳、氮和氫所組成的。流動性介電質薄膜也可以由矽、碳和氮組成。
在揭示的實施例中,在流動性介電質層的沉積過程中基板的溫度可以是小於或約200℃、小於或約150℃、 小於或約125℃、小於或約100℃、小於或約75℃、小於或約50℃或小於或約30℃。例如,在從矽烷胺和電漿蒸氣/氣體生長矽-氮-氫化物薄膜時,基板溫度可以小於150。℃。對於另一個實例,在從更高級矽烷和電漿蒸氣/氣體生長矽-氮-氫化物薄膜時,基板溫度可以小於80℃。同樣在揭示的實施例中,在從任何等級的鹵化矽烷和電漿蒸氣/氣體生長矽-氮-氫化物薄膜時,基板溫度可以小於150℃。
在揭示的實施例中,在沉積過程中該基板處理區域 中的壓力可以大於或約5托、大於或約10托、大於或約15托或大於或約20托。在揭示的實施例中,在沉積過程中該基板處理區域中的壓力可以小於或約50托、小於或約40托或小於或約30托。依據本發明的實施例,上限可以與下限組合,以得出另外的壓力範圍。在揭示的實施例中,流動性介電質薄膜的沉積速率可以大於或約400Å/min、大於或約500Å/min、大於或約600Å/min或大於或約700Å/min。固化後的沉積介電質層之厚度可以遠小於使用整體旋塗技術(SOG、SOD)所可能有的。在揭示的實施例中,該厚度可以小於或約25nm、小於或約20nm、小於或約15nm或小於或約10nm。這些厚度係在沉積薄膜的流動性特性減輕後垂直於圖案化基板的主平面所量測的。
現在描述選擇性的步驟以有助於固化薄膜(以去除 一些可使新生薄膜流動但不再被需要的成分)。在沉積流動性介電質薄膜之後,可以在高溫下處理沉積基板,以去除揮發性物種。沉積基板可以保持在該基板處理區域進行固化,或 是基板可以被轉移到不同的腔室中進行熱處理。在揭示的實施例中,基板的固化溫度可以小於或約600℃、小於或約400℃、小於或約300℃、小於或約250℃、小於或約200℃或小於或約150℃。在本發明的實施例中,基板的溫度可以大於或約室溫(25℃)、大於或約50℃、大於或約100℃、大於或約150℃或大於或約200℃。依據另外的揭示實施例,可以將任何上限與任何下限組合,以形成另外的基板溫度範圍。
如已經討論過的,固化操作可以在沉積室發生,或 是基板可以被轉移到異地的固化腔室。在這兩種情況下,可以重複沉積操作和固化操作,以形成沉積-固化-沉積-固化的製程程序。在固化操作過程中,電漿處理可以存在於該基板處理區域中,並且電漿可以是感應耦合電漿(ICP)或電容耦合電漿(CCP)。在揭示的實施例中,電漿可以是高密度電漿(HDP)。電漿處理可以藉由施加頻率在射頻(RF)範圍(例如13.56MHz)或在微波頻率範圍(例如2.4GHz)中的功率來實現。在本發明的實施例中,電漿可以由各種電漿輸入氣體例如NH3、Ar、N2、Cl2或He所形成。
圖案化基板可以具有複數個縫隙,該複數個縫隙係用於基板上形成的元件組件(例如電晶體)的間距和結構。該等縫隙具有的高度和寬度可以定義高度對寬度(即,H/W)的深寬比(AR),該深寬比明顯大於1:1(例如5:1或更大、6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1或更大等)。在許多情況下,高的AR是由於小的縫隙寬度,該縫隙寬度範圍從約90nm至約22nm 或更小(例如小於90nm、小於65nm、小於50nm、小於45nm、小於32nm、小於22nm、小於16nm等)。流動性介電質層是理想的,因為流動性介電質層可以比非流動性的薄膜或使用旋塗技術的整體流動性薄膜更容易填充示例性的狹窄縫隙,該旋塗技術例如旋塗玻璃(SOG)和旋塗介電質(SOD)。 沉積薄層的流動性材料降低了在縫隙被完全填滿之前過早堵塞縫隙的頂部而在縫隙的中間留下孔隙的可能性。介電質層可以在流動性沉積之後被固化。在示例性氧化矽沉積系統的描述過程中可以導入另外的參數。
示例性氧化矽沉積系統
可以實施本發明之實施例的沉積室可以包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室以及熱化學氣相沉積室,還有其他類型的腔室。可以實施本發明之實施例的化學氣相沉積(CVD)系統具體實例包括CENTURA ULTIMA® HDP-CVD腔室/系統以及PRODUCER® PECVD腔室/系統,皆可向美國加州聖克拉拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,Calif.)取得。
可以與本發明的示例性方法一起使用的基板處理腔室之實例可以包括在2006年05月30日提出申請、標題為「用於介電質縫隙填充的處理腔室(PROCESS CHAMBER FOR DIELECTRIC GAPFILL)」且共同受讓給Lubomirsky等人的美國臨時專利申請案第60/803,499號中所圖示和描述者,為 了所有的目的將該專利申請案之全部內容以引用方式併入本文中。另外的示例性系統可以包括美國專利第6,387,207號和第6,830,624號中所圖示和描述者,為了所有的目的將該等專利以引用方式併入本文中。
可以將沉積系統之實施例結合到更大的製造系統 中,以製造積體電路晶片。第2圖圖示依據所揭示實施例的一個這樣的沉積、烘烤及固化腔室系統1001。在該圖中,一對FOUP(晶圓傳送盒)1002供應基材基板(例如直徑300毫米(mm)的晶圓),該基板由機器人手臂1004接收,並在被放入其中一個晶圓處理室1008a-f之前被放入低壓保持區域1006。可以使用第二機器人手臂210來在保持區域1006和處理室1008a-f之間來回運送基板晶圓。
處理腔室1008a-f可以包括一或更多個系統組件, 用以對基板晶圓上的流動性介電質薄膜進行沉積、退火、固化及/或蝕刻。在一個架構中,可以使用兩對處理腔室(例如1008c-d和1008e-f)在基板上沉積流動性介電質材料,而且可以使用第三對處理腔室(例如1008a-b)對沉積的介電質進行退火。在另一種架構中,可以配置相同的兩對處理腔室(例如1008c-d和1008e-f)皆在基板上進行流動性介電質薄膜的沉積和退火,同時可以使用第三對腔室(例如1008a-b)來紫外線(UV)或電子束固化沉積的薄膜。在仍另一種架構中,可以配置全部的三對腔室(例如1008a-f)在基板上進行流動性介電質薄膜的沉積和固化。在又另一種架構中,可以使用兩對處理腔室(例如1008c-d和1008e-f)皆沉積和UV或電 子束固化流動性介電質,同時可以使用第三對處理腔室(例如1008a-b)來對介電質薄膜進行退火。可以在與不同的實施例中所圖示的製造系統分離的腔室中進行所描述的任一或更多種製程。
另外,可以將處理腔室1008a-f中之一或更多者配 置為濕式處理腔室。這些處理腔室包括在含有水氣的氛圍中加熱流動性介電質薄膜。因此,系統1001的實施例可以包括濕式處理腔室1008a-b和退火處理腔室1008c-d,以在沉積的介電質薄膜上進行濕式與乾式退火兩者。
第3A圖為依據所揭示實施例的基板處理腔室 1101。遠端電漿系統(RPS)1110可以處理氣體,然後該氣體經由氣體入口組件1111前進。可以在氣體入口組件1111內看到兩個截然不同的氣體供應通道。第一通道1112攜帶通過遠端電漿系統(RPS)1110的氣體,而第二通道1113繞過RPS 1110。在揭示的實施例中,第一通道1112可用於製程氣體,並且第二通道1113可用於處理氣體。圖示蓋體(或導電頂部)1121和穿孔的分隔板(噴灑頭1153)之間具有絕緣環1124,絕緣環1124使得AC電勢可被相對於噴灑頭1153施加到蓋體1121。製程氣體經由第一通道1112進入腔室電漿區域1120,並且製程氣體可以在單獨的腔室電漿區域1120中或在腔室電漿區域1120與RPS 1110的組合中被電漿激發。本文中可以將腔室電漿區域1120及/或RPS 1110的組合稱為遠端電漿系統。穿孔的分隔板(也可指稱為噴灑頭)1153將腔室電漿區域1120與噴灑頭1153下方的基板處理區域1170分 隔。噴灑頭1153允許電漿存在於腔室電漿區域1120中,以避免在基板處理區域1170中直接激發氣體,同時還允許激發的物種從腔室電漿區域1120進入基板處理區域1170。
噴灑頭1153被定位在腔室電漿區域1120和基板處 理區域1170之間,並允許腔室電漿區域1120內產生的電漿流出物(前驅物或其他氣體的激發衍生物)通過複數個通孔1156,通孔1156穿過板的厚度。噴灑頭1153還具有一或更多個中空容積1151,中空容積1151可以被蒸氣或氣體形式的前驅物(例如含矽前驅物)填滿,並通過小孔1155進入基板處理區域1170,但不是直接進入腔室電漿區域1120。在此揭示的實施例中,噴灑頭1153的厚度比通孔1156的最小直徑1150之長度更長。為了保持顯著濃度的激發物種從腔室電漿區域1120滲透到基板處理區域1170,可以藉由形成部分貫穿噴灑頭1153的通孔1156之較大直徑部分來限制通孔的最小直徑1150之長度1126。在揭示的實施例中,通孔1156的最小直徑1150之長度可以與通孔1156的最小直徑屬於相同數量級或更短。
在圖示的實施例中,噴灑頭1153可以(經由通孔 1156)分配製程氣體,該製程氣體含有電漿蒸氣/氣體,例如氨氣和矽前驅物。在實施例中,該前驅物可以不在腔室電漿區域1120中被激發,因為只需要局部的電漿。值得注意的是,任何的前驅物皆可以經由通孔1156或經由小孔1155被供應到基板處理區域1170中,因為此製程牽涉到藉由基板處理區域1170內的局部電漿來激發。該製程氣體進入基板處理區域 1170,並在局部電漿中被激發而在圖案化基板上形成流動性介電質層。
在實施例中,通孔1156的數量可以在約60至約2000之間。通孔1156可以具有各種不同的形狀,但最容易製作成圓形。在揭示的實施例中,通孔1156的最小直徑1150可以在約0.5mm和約20mm之間,或在約1mm和約6mm之間。在選擇通孔的橫截面形狀上也有緯度之分,該橫截面形狀可以是圓錐形、圓柱形或上述兩種形狀之組合。在不同的實施例中,用於將氣體導入基板處理區域1170的小孔1155之數量可以在約100和約5000之間,或在約500和約2000之間。小孔1155的直徑可以在約0.1mm和約2mm之間。
第3B圖為依據揭示的實施例處理腔室中使用的噴灑頭1153之仰視圖。噴灑頭1153與第3A圖中圖示的噴灑頭一致。描繪的通孔1156在噴灑頭1153的底部具有較大的內徑(ID),而且在噴灑頭1153頂部具有較小的ID。小孔1155大致上均勻地分佈在噴灑頭的表面上,即使在通孔1156之間亦然,這有助於提供比本文所述的其他實施例更均勻的混合。
當經由噴灑頭1153中的通孔1156到達的電漿流出物與源自中空容積1151經由小孔1155到達的含矽前驅物結合時,便在基板處理區域1170內由基座(未圖示)支撐的基板上形成了示例性的薄膜。雖然可以在基板處理區域1170裝設支援用於其他製程(例如固化)的電漿之配備,但在示例性薄膜的生長過程中並無電漿存在。
電漿可以在噴灑頭1153上方的腔室電漿區域1120 中或噴灑頭1153下方的基板處理區域1170中被點燃。腔室電漿區域1120中存在電漿,以從含氮和氫氣體的進入流產生自由基氮前驅物。在處理腔室的導電性頂部(蓋體1121)和噴灑頭1153之間施加通常在射頻(RF)範圍中的交流電壓,以在沉積過程中在腔室電漿區域1120中點燃電漿。RF電源產生13.56MHz的高射頻頻率,但也可能會產生單獨的其他頻率或與13.56MHz頻率結合的其他頻率。
在介電質層形成期間或在清洗鄰接基板處理區域 1170的內表面的同時,當基板處理區域1170中的底部電漿開啟時,可以使頂部電漿停留在很低或無功率下。藉由在噴灑頭1153和基座或腔室底部之間施加交流電壓而點燃基板處理區域1170中的電漿。可以在電漿存在的同時將清洗氣體導入基板處理區域1170。
基座可以具有熱交換通道,熱交換流體經由該熱交 換通道流入,以控制基板的溫度。這種架構允許基板溫度被冷卻或加熱,以保持相對低溫(從室溫到約120℃)。熱交換流體可以包含乙二醇和水。可以使用以平行同心圓形式配置成兩個圈的嵌入式單迴路嵌入式加熱器元件來電阻式加熱基座的晶圓支撐盤(較佳為鋁、陶瓷或上述物質之組合),以實現相對高溫(約120℃至約1100℃)。加熱器元件的外部可以與支撐盤的周邊相鄰,而加熱器元件的內部係沿著具有較小外徑的同心圓路徑。接到加熱器元件的接線通過基座的底桿。
基板處理系統係由系統控制器所控制。在示例性的 實施例中,該系統控制器包括硬碟磁碟機、軟碟磁碟機及處理器。該處理器包含單板電腦(SBC)、類比和數位輸入/輸出板、介面板及步進馬達控制器板。CVD系統的各種部件符合Versa模件歐洲(Versa Modular European,VME)標準,VME標準定義板、卡片機架以及連接器的尺寸和類型。VME標準還定義具有16位元數據匯流排和24位元定址匯流排的匯流排結構。
系統控制器控制沉積系統的所有活動。該系統控制 器執行系統控制軟體,該系統控制軟體為儲存在電腦可讀媒體中的電腦程式。較佳地,該媒體為硬碟磁碟機,但該媒體也可以是其他種類的記憶體。該電腦程式包括指令組,該指令組指示時間、氣體混合物、腔室壓力、腔室溫度、RF功率位準、基座位置以及其他特定製程的參數。也可以使用其他儲存在其他記憶體裝置(包括例如軟碟或其他適當的磁碟機)的電腦程式來指示系統控制器。
可以使用由系統控制器執行的電腦程式產品來實施 在基板上沉積薄膜堆疊的製程、將薄膜轉化成氧化矽或用於清洗腔室的製程。可以用任何現有的電腦可讀程式語言來撰寫電腦程式譯碼:例如68000組合語言、C、C++、Pascal、Fortran或其他的電腦可讀程式語言。使用現有的文本編輯器將適當的程式譯碼輸入單一檔案或多個檔案中,並儲存或體現於電腦可用媒體中,例如電腦的記憶體系統。假使輸入的譯碼內文屬於高階語言,則編譯該譯碼,然後將產生的編譯譯碼與預編譯的微軟視窗®(Microsoft Windows®)程式館常 式之目標譯碼聯結。為了執行該經聯結、編譯的目標譯碼,系統使用者喚起目標譯碼,致使電腦系統載入記憶體中的譯碼。然後CPU讀取並執行譯碼,以進行程式中確認的任務。
使用者與控制器之間的介面係經由平板觸摸感應式 監視器。在較佳的實施例中使用二個監視器,一個組裝於潔淨室牆壁上供操作員使用,而另一個組裝於牆壁外面供服務技師使用。該二個監視器可同時顯示相同的資訊,在任一情況中在同一時間只有一個監視器接受輸入。為了要選擇特殊的畫面或功能,操作員可觸碰觸摸感應式監視器的指定區域。經觸碰的區域會改變其彰顯的顏色,或者會顯示出新的選單或畫面,以確認操作員與觸摸感應式監視器之間的溝通。可以使用其他的裝置(如鍵盤、滑鼠或其他的指向或溝通裝置)來取代該觸摸感應式監視器,或是除了該觸摸感應式監視器之外可同時使用該等其他的裝置,以容許使用者與系統控制器溝通。
本文中使用的「基板」可為支撐基板,該支撐基板 上可有或無層形成。該支撐基板可以是絕緣體或具有各種摻雜濃度與分佈的半導體,而且例如該支撐基板可以是半導體基板,該半導體基板的類型與製造積體電路中所使用的半導體基板類型相同。「氧化矽」層可以包括少量濃度的其他元素組分,例如氮、氫、碳及類似者。在一些實施例中,氧化矽主要由矽和氧組成。用語「前驅物」係用以指稱任何製程氣體,該製程氣體參與反應,以從表面移除材料或沉積材料於基板上。處於「激發態」的氣體係描述其中至少某些氣體分 子處於振動激發、離解及/或離子化狀態的氣體。氣體(或前驅物)可以是兩種或更多種氣體(或前驅物)的組合。「自由基前驅物」係用於描述電漿流出物(處於激發態且激發電漿的氣體),該電漿流出物參與反應,以從表面移除材料或沉積材料於基板上。「自由基氮前驅物」為含有氮的自由基前驅物,以及「自由基氫前驅物」為含有氫的自由基前驅物。片語「惰性氣體」係指任何當蝕刻或被併入薄膜中不形成化學鍵結的氣體。示例性的惰性氣體包括鈍氣,但也可以包括其他氣體,只要當微量(典型上)陷入薄膜中時無化學鍵結形成即可。
貫穿全文使用用語「溝槽」,但並非暗示蝕刻出的幾何形狀具有大的水平深寬比。從表面上方觀看,溝槽可能會呈現圓形、橢圓形、多邊形、矩形或各式各樣的其他形狀。用語「通孔」是用來指稱低深寬比的溝槽,該溝槽可能會或可能不會被填充金屬來形成垂直的電連線。如本文中所使用的,保角層係指表面上大致均勻的材料層具有與該表面相同的形狀,亦即該層的表面與被覆蓋的表面大體上是平行的。在本技術領域中具有通常知識之人士將理解到,所沉積的材料可能無法100%保角,因此,用語「大體上」容許可接受的誤差。
有了揭示的幾個實施例,在本技術領域中具有通常知識者將理解到,可以在不偏離本發明之精神下使用各種修改、替代結構以及均等物。此外,並未描述數個習知的製程及元件,以避免不必要地混淆本發明。因此,不應將以上描 述視為限制本發明之範圍。
當提供數值的範圍時,應瞭解到,除非內文以其他 方式清楚指明,否則在該範圍的上限與下限之間、每個到下限單位的十分之一之中間值亦為具體揭示的。在陳述範圍中的任何陳述值或中間值與該陳述範圍中的任何其他陳述值或中間值之間的每個較小範圍亦被涵括。該等較小範圍的上限與下限可獨立地被包括或排除於該範圍中,而且不論是該等較小範圍包括任一限值、不包括二限值或是包括二限值,該等較小範圍中的每個範圍亦被涵括於本發明中,取決於該陳述範圍中任何經具體排除的限值。當該陳述範圍包括該等限值中之一者或二者時,排除該等包括的限值中之任一者或二者的範圍亦被包括。
除非內文以其他方式清楚指明,否則本文中與隨附申請專利範圍中使用的單數形式「一」及「該」包括複數的指示對象。因此,舉例來說,提及「一製程」係包括複數個該種製程,而提及「該前驅物」係包括提及一或更多個前驅物及其為本技術領域中具有通常知識者所習知的均等物,以此類推。
同樣地,當用於本說明書中及以下申請專利範圍中時,字眼「包含」與「包括」意欲指明陳述的特徵、整數、成分或步驟之存在,但該等字眼並不排除一或更多個其他的特徵、整數、成分、步驟、動作或基團的存在或加入。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟

Claims (20)

  1. 一種在一圖案化基板上形成一介電質層的方法,該方法包含:將該圖案化基板轉移至一基板處理區域中;使一矽前驅物流入該基板處理區域;使一電漿蒸氣/氣體流入該基板處理區域,其中該電漿蒸氣/氣體流入和該矽前驅物流入同時發生;在該基板處理區域中藉由施加每平方公分的圖案化基板面積小於或約0.3W的一電漿功率來擊發一電漿;以及在該圖案化基板上形成該介電質層,其中該介電質層包含矽和氮或碳中之一者,並且在沉積過程中該介電質層為流動性的,其中該矽前驅物流入包含在形成該流動性介電質層的整個過程中供應該矽前驅物之一連續流至該基板處理區域,以及其中擊發一電漿包含在形成該流動性介電質層的整個過程中連續地施加一電漿功率。
  2. 如請求項1所述之方法,其中在形成該流動性介電質層時該圖案化基板之一溫度係小於200℃。
  3. 如請求項1所述之方法,其中該電漿蒸氣/氣體包含氨氣、氫氣(H2)、氬氣、氮氣(N2)、一烴或氧氣(O2)中之一者。
  4. 如請求項1所述之方法,其中該矽前驅物為三矽烷胺、二矽烷胺或單矽烷胺中之一者。
  5. 如請求項1所述之方法,其中該矽前驅物包含一更高級矽烷,該更高級矽烷含有三個或更多的矽原子。
  6. 如請求項1所述之方法,其中該矽前驅物包含一鹵素取代的矽烷。
  7. 如請求項6所述之方法,其中該鹵素取代的矽烷為六氯二矽烷。
  8. 如請求項1所述之方法,其中該介電質層為碳化矽、氮化矽或SiCN中之一者。
  9. 如請求項1所述之方法,其中該介電質層由矽、氮及氫所組成。
  10. 如請求項1所述之方法,其中該介電質層由矽、碳、氮及氫所組成。
  11. 如請求項1所述之方法,其中擊發該電漿包含藉由電容式或感應式工具施加射頻(RF)至該基板處理區域。
  12. 如請求項1所述之方法,其中擊發該電漿包含閃耀紫外(UV)光進入該基板處理區域。
  13. 如請求項1所述之方法,其中擊發該電漿包含施加0.025W至0.30W(每平方公分的圖案化基板面積)的電漿功率至該基板處理區域。
  14. 如請求項1所述之方法,其中擊發該電漿包含在形成該介電質層的整個過程中連續地施加電漿功率。
  15. 如請求項1所述之方法,其中該基板為圖案化的並具有一溝槽,該溝槽具有一約50nm或更小的寬度。
  16. 如請求項1所述之方法,進一步包含在形成該介電質層的操作之後固化該介電質層。
  17. 如請求項16所述之方法,其中固化之後該介電質層在垂直於該圖案化基板之主要平面的一厚度為約25nm或更薄。
  18. 如請求項1所述之方法,其中該矽前驅物、該電漿蒸氣/氣體以及該介電質層每個皆為無碳的。
  19. 如請求項1所述之方法,其中在形成該流動性介電質層時該圖案化基板之一溫度係小於100℃。
  20. 如請求項1所述之方法,其中在形成該流動性介電質層時該圖案化基板之一溫度係小於30℃。
TW102131319A 2012-09-11 2013-08-30 低成本流動性介電質薄膜 TW201417179A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261699495P 2012-09-11 2012-09-11
US13/668,657 US8889566B2 (en) 2012-09-11 2012-11-05 Low cost flowable dielectric films

Publications (1)

Publication Number Publication Date
TW201417179A true TW201417179A (zh) 2014-05-01

Family

ID=50233690

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102131319A TW201417179A (zh) 2012-09-11 2013-08-30 低成本流動性介電質薄膜

Country Status (5)

Country Link
US (1) US8889566B2 (zh)
JP (1) JP2015534265A (zh)
KR (1) KR20150053967A (zh)
TW (1) TW201417179A (zh)
WO (1) WO2014042833A1 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
WO2015126590A1 (en) 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US20190055645A1 (en) 2016-02-26 2019-02-21 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20190035673A1 (en) * 2016-03-31 2019-01-31 Intel Corporation Flowable dielectrics from vapor phase precursors
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
SG11202011741QA (en) * 2018-06-20 2021-01-28 Applied Materials Inc Carbon gapfill films
TWI792005B (zh) * 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置

Family Cites Families (501)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS5775738U (zh) 1980-10-27 1982-05-11
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
CN1319130C (zh) 1999-12-24 2007-05-30 株式会社荏原制作所<Del/> 半导体基片处理装置及处理方法
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
IL159865A0 (en) 2001-07-25 2004-06-20 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2006519473A (ja) 2003-03-04 2006-08-24 ダウ・コーニング・コーポレイション 有機発光ダイオード
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
JP2007528640A (ja) 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
WO2006014034A1 (en) 2004-08-04 2006-02-09 Industry-University Cooperation Foundation Hanyang University Remote plasma atomic layer deposition apparatus and method using dc bias
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7271463B2 (en) * 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007140424A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
JP2010519773A (ja) 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
TWI579916B (zh) * 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films

Also Published As

Publication number Publication date
KR20150053967A (ko) 2015-05-19
US8889566B2 (en) 2014-11-18
US20140073144A1 (en) 2014-03-13
WO2014042833A1 (en) 2014-03-20
JP2015534265A (ja) 2015-11-26

Similar Documents

Publication Publication Date Title
TW201417179A (zh) 低成本流動性介電質薄膜
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI516630B (zh) 自由基組成化學氣相沉積之原位臭氧硬化之方法
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US8466067B2 (en) Post-planarization densification
US8329587B2 (en) Post-planarization densification
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
TWI517298B (zh) 經控制之氣隙的形成
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
TWI621731B (zh) 用於應力裝置之低溫可流動固化
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
KR20140066220A (ko) 반도체 공정용 유동성 실리콘-탄소-질소 층
TW201310529A (zh) 減少脫氣所用的表面處理及沉積