TW201310529A - 減少脫氣所用的表面處理及沉積 - Google Patents

減少脫氣所用的表面處理及沉積 Download PDF

Info

Publication number
TW201310529A
TW201310529A TW101124223A TW101124223A TW201310529A TW 201310529 A TW201310529 A TW 201310529A TW 101124223 A TW101124223 A TW 101124223A TW 101124223 A TW101124223 A TW 101124223A TW 201310529 A TW201310529 A TW 201310529A
Authority
TW
Taiwan
Prior art keywords
layer
precursor
nitrogen
free
carbon
Prior art date
Application number
TW101124223A
Other languages
English (en)
Inventor
Jing-Mei Liang
Xiaolin Chen
Nitin K Ingle
Shankar Venkataraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201310529A publication Critical patent/TW201310529A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

在此描述一種形成介電層的方法。該方法首先透過自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)層。該含矽氮與氫層是透過將自由基前驅物(在遠端電漿中激發)與無激發的無碳的矽前驅物結合而形成。氧化矽覆蓋層可由一部分的無碳的含矽氮與氫層形成,以避免底下的層在轉換成氧化矽之前該層的性質在時間上發展。或者,該氧化矽覆蓋層形成為覆於該含矽氮與氫層上。任一形成方法皆涉及在基材處理區域內形成原地電漿。

Description

減少脫氣所用的表面處理及沉積 【對相關申請案之交互參照】
此申請案主張美國臨時專利申請案61/508,355之權益,該臨時申請案是在2011年7月15日提出,發明名稱為「SURFACE TREATMENT AND DEPOSITION FOR REDUCED OUTGASSING」,該申請案在此以參考形式併入,以供所有目的之用。
本發明是關於半導體處理技術。
自從數十年前導入半導體元件以來,半導體元件的幾何形狀在尺寸上已劇烈減少。現代的半導體製造設備例行生產具有45 nm、32 nm、與28 nm之特徵尺寸的元件,且正開發及實行新設備以製做具有更小的幾何形狀的元件。減少的特徵尺寸造成元件上的結構性特徵具有減少的空間尺度。元件上的間隙與溝槽之寬度變窄至間隙深度對間隙寬度的深寬比高得足以造成難以用介電材料填充該間隙的地步。在間隙完全填充前,沉積的介電材料易於在頂部阻塞,在間隙中間產生空隙或縫線(seam)。
過去幾年,已開發許多技術去避免使介電材料阻塞間 隙頂部或「癒合」已經形成的空隙或縫線。已以高度可流動的前驅物材料開始進行一項解決手段,可以液相施加該高度可流動的前驅物材料至旋轉基材表面(例如,SOG沉積技術)。這些可流動的前驅物能夠流進非常小的基材間隙並且填充該等間隙,而不形成空隙或脆弱縫線。然而,一旦這些高度可流動的材料沉積,他們必須被硬化成固體介電材料。
許多例子中,該硬化步驟包括熱處理,以從沉積的材料移除碳與氫氧基,而留下諸如氧化矽的固體介電質。不幸的是,離開的碳與氫氧物種經常在硬化的介電質中留下孔隙,這些孔隙降低最終材料之品質。此外,硬化的介電質也傾向在體積上縐縮,此現象可於介電質與周圍基材的介面處留下裂隙與空間。一些例子中,硬化的介電質的體積可減少40%或更多。
旋塗介電質(SOD)也已用於流入圖案化基材上的特徵。該材料大體上由矽氮烷類型的層轉換成氧化矽,該矽氮烷類型的膜含有矽、氮、與氫。含有矽、氮、與氫的層一般在高溫下於含氧環境中轉換成氧化矽。來自環境的氧替換氮與氫以建立氧化矽層。對某些電路構造而言,對氧環境的高溫暴露可能摧毀底下的層。此考量導致需要在製造流程期間維持在「熱預算」內。熱預算的考量已大幅限制SOD至結合底下的氮化矽層的流程,該氮化矽層能夠保護底下的特徵免受氧化(例如DRAM應用)。
已開發替代性方法,該等替代性方法藉由自由基成分的CVD沉積含矽氮烷層。自由基成分的CVD可透過激發一種前驅物並且將該前驅物與無激發的含矽前驅物在無電漿的基材處理區域中結合而建立可流動層。層性質(包括密度)可能在時間中會稍微改變,直到含矽氮烷層轉變成氧化矽為止。控制層性質的發展(evolve)改善使用這些層的元件的製造能力。因此,需要新的沉積製程與材料以形成不會隨時間發展的介電材料。此需要與其他需要在本發明中獲得解決。
在此描述一種形成介電層的方法。該方法首先透過自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)層。該含矽氮與氫層是透過將自由基前驅物(在遠端電漿中激發)與無激發的無碳的矽前驅物結合而形成。氧化矽覆蓋層可由一部分的無碳的含矽氮與氫層形成,以避免底下的層在轉換成氧化矽之前該層的性質在時間上發展。或者,該氧化矽覆蓋層形成為覆於該含矽氮與氫層上。任一形成方法皆涉及在基材處理區域內形成原地電漿。
本發明的實施例包括在基材上形成介電層的方法。該等方法包括下述依序的步驟:(1)在含有該基材的第一基材處理區域中,於該基材上形成無碳的含矽氮與氫層, 以及(2)在該無碳的含矽氮與氫層上形成氧化矽覆蓋層。形成該無碳的含矽氮與氫層包括以下步驟:將無激發的前驅物流進遠端電漿區域以產生自由基前驅物,在該第一基材處理區域中將無碳的含矽前驅物與該自由基前驅物結合,以及沉積無碳的含矽氮與氫層覆於該基材上。在形成該無碳的含矽氮與氫層之操作期間,該第一基材處理區域無電漿。該無碳的含矽氮與氫層在沉積期間可流動。形成該氧化矽覆蓋層包括以下步驟:將含氧前驅物流進含有該基材的第二基材處理區域,將含矽前驅物流進該第二基材處理區域,在該第二基材處理區域中從該含氧前驅物與該含矽前驅物形成電漿,以及沉積該氧化矽覆蓋層覆於該無碳的含矽氮與氫層上。
本發明的實施例包括在基材上形成介電層的方法。該等方法包括下述依序的步驟:(1)在含有該基材的第一基材處理區域中,於該基材上形成無碳的含矽氮與氫層,以及(2)處理該無碳的含矽氮與氫層,以將該無碳的含矽氮與氫層的一部分轉換成氧化矽覆蓋層。形成該無碳的含矽氮與氫層包括以下步驟:將無激發的前驅物流進遠端電漿區域以產生自由基前驅物,在該第一基材處理區域中將無碳的含矽前驅物與該自由基前驅物結合,以及沉積無碳的含矽氮與氫層覆於該基材上。在形成該無碳的含矽氮與氫層之操作期間,該第一基材處理區域無電漿。該無碳的含矽氮與氫層在沉積期間可流動。處理該無碳的含矽氮與氫層包括以下步驟:將含氧前驅物流進 含有該基材的第二基材處理區域,在該第二基材處理區域中從該含氧前驅物形成電漿,以及將該無碳的含矽氮與氫層的一部分轉換成該氧化矽覆蓋層。
額外的實施例與特徵部份在隨後的實施方式中提出,而部份對於熟習此技術者而言,在詳閱本說明書後可清楚該等實施例與特徵,或者可由操作所揭露的實施例而學得。可透過本說明書中所述的設備、各式組合物及方法而明瞭及獲得所揭露的實施例之特徵與優點。
在此描述一種形成介電層的方法。該方法首先透過自由基成分的化學氣相沉積(CVD)沉積含矽氮與氫(聚矽氮烷)層。該含矽氮與氫層是透過將自由基前驅物(在遠端電漿中激發)與無激發的無碳的矽前驅物結合而形成。氧化矽覆蓋層可由一部分的無碳的含矽氮與氫層形成,以避免底下的層在轉換成氧化矽之前該層的性質在時間上發展。或者,該氧化矽覆蓋層形成為覆於該含矽氮與氫層上。任一形成方法皆涉及在基材處理區域內形成原地電漿。
已發現將自由基成分CVD的含矽氮與氫層定位在氧化矽覆蓋層下方減少及/或消除脫氣以及伴隨的層性質的發展。沒有氧化矽覆蓋層,層的性質與化學當量可能隨著時間改變。由於含矽物種、氨氣、與類似物的脫氣, 這些層在時間上發展。由於吸收存在於一般製造設施內的周圍氣氛中的水或其他成分,性質也可能發展。改變層性質可能會因需要嚴密控制層形成與其他基材處理系統或腔室內的處理之間的延遲,而使製造製程複雜化。這些複雜與需求都是不被期望的。已發現此述的含矽氧與碳覆蓋層抑制脫氣,但仍容許底下的含矽氮與氫層轉變成含矽與氧層且轉變成氧化矽。
已發現根據在此所含的方法以含氧化矽覆蓋層覆蓋自由基成分的CVD層在基材暴露至一般清潔室氣氛時顯現不會發展之性質。現在,將描述關於形成氧化矽覆蓋層的方法與系統的額外細節。
第一示範性介電質堆疊製程
第1圖是流程圖,顯示根據本發明之實施例製做介電層堆疊的方法100中所選步驟。方法100包括提供無碳的含矽前驅物至基材處理區域102。一些實施例中,該無碳的含矽前驅物不通過電漿激發,所以該前驅物原封不動地行進至基材處理區域中。隨後僅透過即將要描述的自由基前驅物提供激發。該無碳的含矽前驅物除了其他類的矽前驅物外特別可以是例如含矽與氮前驅物、含矽與氫前驅物、或含矽氮與氫前驅物。缺乏碳減少了沉積層的縐縮。含矽前驅物除了無碳之外可為無氧。缺乏氧造成由前驅物形成的含矽與氮層中有低濃度的矽烷醇(Si-OH)基。沉積層中過剩的矽烷醇基團(moiety)可 能在從沉積層移除氫氧(-OH)基團的後沉積步驟期間引發孔隙度與縐縮增加。
無碳的矽前驅物之特定範例可包括矽烷胺,除了其他矽烷胺之外,特別可以諸如為H2N(SiH3)、HN(SiH3)2與N(SiH3)3。不同實施例中,矽烷胺的流速可為約200 sccm或更大、300 sccm或更大、或者約500 sccm或更大。在此所給的所有流速是參考雙腔室基材處理系統。單一晶圓系統將需要這些流速的一半,而其他晶圓形狀/尺寸將需要經過以處理面積縮放(scaled)的流速。這些矽烷胺可與額外氣體混合,這些額外氣體可做為載氣、反應性氣體、或前述二者。額外氣體排除其他氣體之外可特別包括H2、N2、NH3、He、Ne及/或Ar。無碳的含矽前驅物之範例也可包括甲矽烷(SiH4),該甲矽烷可單獨存在或與其他含矽氣體(例如N(SiH3)3)、含氫氣體(例如H2)及/或含氮氣體(例如N2、NH3)混合。無碳的含矽前驅物也可包括乙矽烷、丙矽烷、更高等級的矽烷、與氯化矽烷,前述矽烷為單獨存在或彼此結合或與先前提及的無碳含矽前驅物結合。
也提供自由基前驅物至基材處理區域104。自由基前驅物描述在基材處理區域外的電漿激發中由任何穩定物種(惰性或反應性)產生的電漿流出物。該自由基前驅物可以是含氮自由基前驅物,該含氮自由基前驅物在此是指自由基氮前驅物。該自由基氮前驅物是一種在基材處理區域外由較穩定的氮前驅物所生成的含氮自由基前 驅物。在此穩定的前驅物可指無激發的前驅物,以指示該前驅物尚未通過電漿。可在腔室電漿區域或另一遠端電漿系統(RPS)中活化含有NH3、聯胺(N2H4)及/或N2的穩定的氮前驅物化合物以形成該自由基氮前驅物,該自由基氮前驅物隨後被輸送進入基材處理區域,以激發含矽前驅物,前述的遠端電漿系統(RPS)是位在處理腔室外。將穩定氮前驅物活化成自由基氮前驅物涉及解離,該解離可特別透過除其他方法之外的以下方法完成:熱解離、紫外光解離、及/或電漿解離。電漿解離可涉及:在遠端電漿生成腔室中由氦、氬、氫(H2)、氙、氨(NH3)等點燃電漿,以及將穩定的氮前驅物導入電漿區域以生成自由基氮前驅物。
不同實施例中,穩定的氮前驅物也可以是包含NH3與N2、NH3與H2、NH3與N2與H2、以及N2與H2的混合物。也可使用聯胺以取代NH3或與NH3結合,且可用於涉及N2與H2的混合物中。不同實施例中,穩定的氮前驅物之流速可為約300 sccm或更大、約500 sccm或更大、或者是約700 sccm或更大。在腔室電漿區域中產生的自由基氮前驅物可以是.N、.NH、.NH2等之一或多者,且可伴隨在電漿中形成的離子化物種。在本發明的實施例中,氧源也可在遠端電漿中與較穩定的氮前驅物結合。添加氧源預先使層載有氧,同時減少了流動性。氧源可包括O2、H2O、O3、H2O2、N2O、NO、或NO2之一或多者。
在運用腔室電漿區域的實施例中,自由基氮前驅物在基材處理區域的一區段中生成,該區段與沉積區域分隔,在該沉積區域,該等前驅物混合並且反應而沉積含矽與氮層於沉積基材上(例如半導體晶圓)。自由基氮前驅物亦可伴隨諸如氫(H2)、氮(N2)、氦、氖、氬等載氣。在此可將基材處理區域描述為在生長無碳的含矽氮與氫層期間及後續製程期間為「無電漿」。「無電漿」並非必然指該區域缺乏電漿。腔室電漿區域中電漿的邊界是難以界定的,且可能透過噴頭中的穿孔(aperture)侵入基材處理區域上。在感應耦合電漿的情況中,例如可在基材處理區域內啟動少量的離子化。大體而言,低強度的電漿可在基材處理區域中建立,而不至於損害形成的層的可流動之本質。在建立自由基氮前驅物期間離子密度遠低於遠端/腔室電漿區域的電漿的所有成因不偏離在此所用的「無電漿」之範疇。
在基材處理區域中,無碳的矽前驅物與自由基氮前驅物混合並且反應,而在沉積基材上沉積含矽氮與氫層106。多個實施例中,所沉積的含矽氮與氫層具有可流動特性,此可流動特性與習知氮化矽(Si3N4)層沉積技術並不類似。形成期間可流動的本質使層得以在固化前流進狹窄的特徵中。
含矽氮與氫層中的氮可源自自由基前驅物或無激發的前驅物之任一者(或源自於前述二者)。一些實施例中,該無碳的含矽前驅物可基本上無氮。然而,其他實施例 中,無碳的含矽前驅物與自由基氮前驅物二者皆含有氮。在第三套實施例中,自由基前驅物可基本上無氮而用於無碳的含矽氮與氫層的氮可由無碳的含矽前驅物供應。所以,在此自由基前驅物可指「自由基氮及/或氫前驅物」,意味該前驅物含有氮及/或氫。可以此類推,流進電漿區域而形成自由氮及/或氫前驅物的前驅物可指含氮及/或氫前驅物。此命名法則可應用到在此揭露的每一實施例。在多個實施例中,含氮及/或氫前驅物包含氫(H2),而自由基氮及/或氫前驅物包含.H等。
回到顯示於第1圖的特定範例,含矽氮與氫層的可流動性可能是由於從將自由基氮前驅物與無碳的含矽前驅物混合造成的各種性質。這些性質可包括在沉積的層中有顯著的氫成分及/或存在短鍊的聚矽氮烷聚合物。在形成層期間或之後,這些短鍊生長並且互成網絡,而形成更加緻密的介電材料。例如沉積層可具有矽氮烷形式的Si-NH-Si骨架,即無碳的Si-N-H層。當含矽前驅物與自由基前驅物二者皆無碳時,沉積的含矽氮與氫層也實質上無碳。當然,「無碳」並非必然意味該層缺乏甚至痕量的碳。碳混入物(contamination)可存在於前驅物材料中而找到進入沉積的含矽與氮前驅物的途徑。然而,這些碳雜質的量遠低於具有碳基團的矽前驅物(例如TEOS、TMDSO等)中可見的量。
該製程中的此點,在本發明的多個實施例中,製程流出物可由基材處理區域移除。製程流出物可包括任何未 反應的含矽前驅物、未反應的自由基氮前驅物、惰性的載氣以及來自層生長的反應產物。在所揭露的實施例中,可透過將惰性物種流進基材處理區域及/或通過排放通口排放而移動製程流出物。
該方法100也包括額外沉積氧化矽覆於含矽氮與氫層上,以避免底下的層的脫氣。氧化矽層在此是指氧化矽覆蓋層。氧化矽覆蓋層的形成可發生在相同的基材處理區域中,或該基材可傳送到分開的處理區域。本發明的多個實施例中,該基材可在傳送期間暴露至氣氛,或可維持在真空下。形成氧化矽覆蓋層的優點是,避免執行後續處理之前,含矽氮與氫層的膜性質在時間上發展。就此而言,期望在沉積氧化矽覆蓋層之前有可控制且可再現的基材暴露量。透過提供含氧前驅物至基材處理區域而啟動氧化矽覆蓋層的沉積108。含矽前驅物也流進基材處理區域109,且在基材的附近與含氧前驅物結合。電漿同步在基材處理區域中形成110,以形成氧化矽覆蓋層112。已使用遠端電漿(在基材處理區域外)沉積含矽氮與氫層,然而原地電漿(在基材處理區域內)用於沉積氧化矽覆蓋層。
含氧前驅物可包括分子氧(O2)及/或臭氧(O3)。較佳實施例中,含矽前驅物可包括正矽酸乙酯(TEOS)。大體而言,該含矽前驅物可包括正矽酸乙酯(TEOS)、八甲基三矽氧烷(octamethyltrisiloxane,OMTS)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)、 甲基二乙氧基矽烷(methyldiethoxysilane,MDEOS)、雙(叔丁基胺基)矽烷(bis(tertiary-butylamino)silane,BTBAS)、三甲基氨基矽烷(tridimethylaminosilane,TriDMAS)、三二甲基氨基矽烷(trisdimethylaminosilane,TrisDMAS)、甲矽烷(silane)、乙矽烷(disilane)、二氯矽烷、三氯矽烷、二溴矽烷、四氯化矽、四溴化矽、二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、正矽酸甲酯(tetramethylorthosilicate,TMOS)、或前述前驅物之組合。施加至基材處理區域的電漿功率可為約1000 W或更低、約500 W或更低、或者是約300 W或更低。在本發明多個實施例中,施加至基材處理區域的電漿功率可為約50 W或更高,約100 W或更高,或者是約200 W或更高。電漿功率的該等上限可與該等下限結合以形成額外實施例。該電漿功率低於許多電漿強化化學氣相沉積(PE-CVD)製程,以基本上維持底下的含矽氮與氫層的結構。電漿頻率可以是13.56 MHz及/或350 KHz。視情況與含矽前驅物同時導入腔室的氣體包括氦氣及/或氬氣。氦氣或其他惰氣可用做為載氣,以遞送平常為液體的前驅物至基材處理區域。
可用介於約10 sccm至約1000 sccm之間的流速將該含氧前驅物導進腔室。可用介於約5 sccm至約1000 sccm之間的流速將該含矽前驅物導進腔室。可用介於約100 sccm至約20000 sccm之間的流速將該視情況任選的載氣(例如氦氣)導進腔室。進入腔室的含矽前驅物(例 如正矽酸乙酯)流速對載氣(例如氦氣)流速的比為約1:1或更高,諸如介於約1:1至約1:100之間。當含矽前驅物與含氧前驅物流進腔室以沉積氧化矽覆蓋層的同時,基材處理區域內的壓力可大於約500 mTorr(諸如介於約2 Torr至約10 Torr之間),且腔室中基材支撐件的溫度可以介於約100℃至約250℃之間。在所揭露的實施例中,該溫度較佳為低於約250℃或低於約200℃。低基材溫度也是期望的,以保有含矽氮與氫層的結構。含矽前驅物與含氧前驅物可各別流入腔室一段時間,該段時間足以沉積厚度為約5 nm或更大的氧化矽覆蓋層,該厚度為約10 nm或更大、約20 nm或更大、或者約50 nm或更大。
第二示範性介電質堆疊製程
第2圖是一流程圖,該流程圖顯示根據本發明的實施例製做介電層堆疊的第二方法200的所選步驟。該方法200包括形成含矽氮與氫層,如參考第2圖所描述。因此,該方法包括提供無碳的含矽前驅物至基材處理區域202,該步驟無電漿激發且如先前所述的所有實施例。該方法進一步包括提供自由基前驅物至基材處理區域204,如先前所述。該自由基前驅物提供主要的激發,此述的主要的激發是在無電漿的基材處理區域中「斷裂」無碳的含矽前驅物以及產生沉積含矽氮與氫層於沉積基材上206的反應所需。再度提醒,所沉積的含矽氮與氫 層可具有可流動特性,此可流動特性與習知氮化矽(Si3N4)層沉積技術並不類似。形成含矽氮與氫層之後,可由基材處理區域移除製程流出物。製程流出物可包括任何未反應的含矽前驅物、未反應的自由基氮前驅物、惰性的載氣以及來自層生長的反應產物。在所揭露的實施例中,可藉由將惰性物種流進基材處理區域及/或藉由通過排放通口排放而移動製程流出物。
該方法200進一步包括額外處理該含矽氮與氫層,以由該含矽氮與氫層的一部分形成氧化矽。與第1圖的方法呈對比,此氧化矽覆蓋層是透過使用一些含矽氮與氫層的材料形成。但是,所形成的氧化矽覆蓋層的目的與第1圖相同:為了避免從底下的層脫氣。氧化矽覆蓋層的形成可發生在相同的基材處理區域,或該基材可被傳送至分開的處理區域。本發明的多個實施例中,該基材可在傳送期間暴露至氣氛,或可維持在真空下。形成氧化矽覆蓋層的優點是,避免執行後續處理之前,含矽氮與氫層的膜性質在時間上發展。就此而言,期望在形成氧化矽覆蓋層之前有可控制且可再現的基材暴露量。透過提供含氧前驅物至基材處理區域,而啟動氧化矽覆蓋層的形成208。電漿同步在基材處理區域中形成210,以使用來自含矽氮與氫膜的材料以及來自含氧前驅物的氧形成氧化矽覆蓋層112。已使用遠端電漿(在基材處理區域外)沉積含矽氮與氫層,然而原地電漿(在基材處理區域內)用於沉積氧化矽覆蓋層。
含氧前驅物可包括分子氧(O2)及/或臭氧(O3)。施加至基材處理區域的電漿功率可為約1000 W或更低、約500 W或更低、或者是約300 W或更低。在本發明多個實施例中,施加至基材處理區域的電漿功率可為約50 W或更高,約100 W或更高,或者是約200 W或更高。電漿功率的該等上限可與該等下限結合以形成額外實施例。該電漿功率低於許多電漿強化化學氣相沉積(PE-CVD)製程,以基本上維持底下的含矽氮與氫層的結構。電漿頻率可以是13.56 MHz及/或350 KHz。視情況與含矽前驅物同時導入腔室的氣體包括氦氣及/或氬氣。氦氣或其他惰氣可用做為載氣,以遞送平常為液體的前驅物至基材處理區域。
可用介於約10 sccm至約1000 sccm之間的流速將該含氧前驅物導進腔室。當含氧前驅物流進腔室以形成氧化矽覆蓋層的同時,基材處理區域內的壓力可大於約500 mTorr(諸如介於約2 Torr至約10 Torr之間),且腔室中基材支撐件的溫度可以介於約100℃至約250℃之間。在所揭露的實施例中,該溫度較佳為低於約250℃或低於約200℃。低基材溫度也是期望的(類似低電漿功率),以保有含矽氮與氫層的結構,故在進一步處理開始的同時可預測層性質。含矽前驅物與含氧前驅物可各別流入腔室一段時間,該段時間足以沉積厚度為約10 nm或更大的氧化矽覆蓋層,該厚度為約20 nm或更大、約50 nm或更大、或者約100 nm或更大。
在示範性方法100或200任一者完成後,層堆疊準備受到固化或退火。固化階段涉及將氧化矽覆蓋層與無碳的含矽氮與氫層暴露至含氧的氣氛。本發明的實施例中,該含氧氣氛可包括臭氧。沉積基材可留在基材處理區域中以供固化,或該基材可傳送到導入含氧之氣氛的不同腔室。不同實施例中,基材的固化溫度可為約300℃或更低,250℃或更低,約225℃或更低,或者約200℃或更低。在不同實施例中,該基材之溫度可以為約室溫(25℃)或更高,約50℃或更高,約100℃或更高,約125℃或更高,或約150℃或更高。根據額外揭露的實施例,任一上限可與任一下限結合以形成基材溫度的額外範圍。
固化操作將無碳的含矽氮與氫層修飾成含矽與氧層。該含矽與氧層可轉換成氧化矽層,這是透過在含氧環境中於相對高溫下退火基材而完成。當導入含氧氣氛時,沉積基材可留在相同的基材處理區域中以供固化,或該基材可傳送到導入含氧之氣氛的不同腔室。含氧氣氛可包括一或多種含氧氣體,該等含氧氣體除了其他含氧氣體外特別諸如為氧氣(O2)、臭氧(O3)、水蒸氣(H2O)、過氧化氫(H2O2)與氮氧化物(NO、NO2等)。含氧氣氛也可包括自由基氧與氫氧物種,諸如原子氧(O)、氫氧化物(OH)等,該等物種可遠端生成並且輸送進入基材腔室。也可存在含氧物種的離子。固化與退火操作的含氧氣氛提供氧,以將含矽氮與氫層轉換成氧化矽 (SiO2)層。基材的氧退火溫度在不同實施例中可為約1100℃或更低、約1000℃或更低、約900℃或更低,或者是約800℃或更低。基材的溫度在不同實施例中可為約500℃或更高,約600℃或更高、約700℃或更高,或者是約800℃或更高。再一次,根據額外揭露的實施例,任一上限可與任一下限結合以形成基材溫度的額外範圍。
用於沉積無碳的含矽氮與氫層及覆蓋層的基材可為圖案化基材且可具有複數個間隙以用於基材上形成的元件部件(例如電晶體)之間隔與結構。該等間隙可具有一高度與寬度,該高度與寬度界定該高度對寬度(即H/W)的深寬比(AR),該AR遠大於1:1,例如5:1或更大,6:1或更大,7:1或更大,8:1或更大,9:1或更大,10:1或更大,11:1或更大,12:1或更大等。許多範例中,高AR是由於小間隙寬度,該寬度範圍為約90 nm至約22 nm或更小,例如低於90 nm、65 nm、50 nm、45 nm、32 nm、22 nm、16 nm等。因為該無碳的含矽氮與氫層可流動,該層能夠填充高深寬比的間隙,而不會在填充材料的中心周圍建立空隙或脆弱縫線。例如,沉積可流動材料在完全填充之前,較不可能預先阻塞間隙頂部,而在間隙中間留下空隙。
描述示範性氧化矽沉積系統期間,可導入額外的製程參數。
示範性氧化矽沉積系統
可實施本發明實施例的沉積腔室除其他類型的腔室外特別可包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿強化化學氣相沉積(PE-CVD)腔室、次氣氛壓化學氣相沉積(SACVD)腔室、與熱化學氣相沉積腔室。可實施本發明之實施例的CVD系統的特定範例包括CENTURA ULTIMA® HDP-CVD腔室/系統與PRODUCER® PECVD腔室/系統,此二者可購自美國加州Santa Clara的應用材料公司。
可與本發明之示範方法一併使用的基材處理腔室的範例可包括顯示於及描述於共同讓渡給Lubomirsky等人的美國臨時專利申請案第60/803,499號中的該等腔室,該案於2006年5月30日提出申請,且發明名稱為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」,該案全文在此併入作為參考,以供所有目的之用。額外的示範性系統可包括顯示於及描述於美國專利第6,387,207號與第6,830,624號中的該等系統,該等專利之全文亦在此併入作為參考,以供所有目的之用。
沉積系統的實施例可結合至較大的製造系統,以生產積體電路晶片。第3圖顯示根據所揭露之實施例之一個此類沉積、烘烤及固化腔室之系統300。在該圖中,一對FOUP(前開式晶圓盒)302供給基材基材(例如300 mm直徑的晶圓),在該等基材放進基材處理腔室308a-f之一者前,基材是由機械手臂304接收並且放置到低壓固 持區域306。第二機械手臂310可用於從低壓固持區域306傳輸基材晶圓至基材處理腔室308a-f並且往回傳輸。
基材處理腔室308a-f可包括一或多個用以在基材晶圓上沉積、退火、固化及/或蝕刻可流動介電層的系統部件。在一個配置方式中,兩對處理腔室(例如,308c-d及308e-f)可用於沉積可流動介電材料於基材上,而第三對處理腔室(例如,308a-b)可用於退火沉積的介電質。在另一配置方式中,相同的兩對處理腔室(例如308c-d及308e-f)可經裝設以在基材上沉積及退火可流動介電層,同時第三對腔室(例如308a-b)可用於UV或電子束固化沉積的層。另一配置方式中,所有三對腔室(例如308a-f)可經裝設以於基材上沉積及固化可流動的介電層。尚有另一配置方式,兩對處理腔室(例如308c-d及308e-f)可用於沉積及以UV固化或電子束固化可流動介電質,同時第三對腔室(例如308a-b)可用於退火介電層。所述製程的任一者或多者可在與不同實施例中所示的製造系統分開的腔室上執行。
此外,一個或更多個基材處理腔室308a-f可被裝設成濕式處理腔室。該等製程腔室包括在含水分(moisture)的氣氛下加熱該可流動介電層。因此,系統300之實施例可包括濕式處理腔室及退火處理腔室,以在沉積的介電層上執行濕式及乾式退火二者。
第4A圖是根據所揭露的實施例之基材處理腔室400。遠端電漿系統(RPS410)可處理氣體,隨後該氣體行進 穿過氣體入口組件411。在氣體入口組件411中可見兩個個別的氣體供給通道。第一通道412搭載穿過遠端電漿系統(RPS)410的氣體,而第二通道413繞過RPS 410。在揭露的實施例中,第一通道412可用於製程氣體而第二通道413可用於處理氣體(treatment gas)。圖中圖示蓋(或導電的頂部部分)421以及穿孔隔件(或噴頭)453之間有一絕緣環424,該絕緣環使AC電位得以相對於噴頭453施加到蓋421。製程氣體行進穿過第一通道412進入腔室電漿區域420,且可單獨在腔室電漿區域420中(或者與RPS410相結合)的電漿裡受到激發。在此腔室電漿區域420及/或RPS410之結合可指遠端電漿系統。穿孔隔件(也稱為噴頭)453將腔室電漿區域420分隔噴頭453下方的基材處理區域470。噴頭453使電漿得以存在於腔室電漿區域420中,以避免直接於基材處理區域470中激發氣體,同時依然使激發的物種得以從腔室電漿區域420行進至基材處理區域470。
噴頭453定位在腔室電漿區域420與基材處理區域470之間,且使電漿流出物(前驅物或其他氣體的受激發的衍生物)在腔室電漿區域420建立,而穿過複數個橫切板厚的透孔(through hole)456。噴頭453亦具有一或多個中空空間451,該空間可被蒸氣或氣態形式的前驅物(諸如含矽前驅物)填充,並且穿過小孔洞455進入基材處理區域470但不直接進入腔室電漿區域420。在此揭露的實施例中,噴頭453比透孔456的最小直徑450 的長度還厚。為了維持受從腔室電漿區域420穿透至基材處理區域470的受激發物種具顯著濃度,可透過形成透孔456之較大的直徑部份使該較大的直徑部分穿過噴頭453達某一程度(part way),而限制透孔最小直徑450的長度426。在所揭露的實施例中,透孔456的最小直徑450之長度可與透孔456的最小直徑相同數量級,或者為較小的數量級。
在所示的實施例中,一旦製程氣體受到腔室電漿區域420中的電漿激發,噴頭453可(透過透孔456)分配製程氣體,該等製程氣體含有氧、氫及/或氮,及/或此類製程氣體的電漿流出物。在實施例中,透過第一通道412導入RPS410中及/或腔室電漿區域420中的製程氣體可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、包括N2H4的NxHy、甲矽烷、乙矽烷、TSA及DSA之一者或多者。該製程氣體亦可包括諸如氦氣、氬氣、氮氣(N2)等之類的載氣。第二通道413亦可傳遞製程氣體及/或載氣,及/或層固化氣體(例如O3),該層固化氣體用於從生長中的層或剛沉積的層中移除非期望的成分。電漿流出物可包括製程氣體的離子化或中性衍生物,且在此電漿流出物亦可指自由基氧前驅物及/或自由基氮前驅物,前述二前驅物所指的是所導入的製程氣體之原子的組分。
在實施例中,透孔456的數量可介於約60個至約2000個之間。透孔456可具有多種形狀,但最容易做成圓形。在所揭露的實施例中,透孔456的最小直徑450可介於 約0.5 mm至約20 mm之間,或介於約1 mm至約6 mm之間。在選擇透孔的截面形狀上,亦有範圍,截面可做成錐形、圓柱形或該二種形狀的組合。不同實施例中,用於將氣體導進處理區域470的小孔洞455數目可介於約100至約5000之間,或介於約500至約2000之間。小孔洞455的直徑可介於約0.1 mm至約2 mm之間。
第4B圖是根據所揭示的實施例與處理腔室一併使用的噴頭453之底視圖。噴頭453對應第4A圖中所圖示的噴頭。透孔456被繪成在噴頭453底部處具有較大的內徑(ID),而在頂部處具有較小的ID。小孔洞455實質上在噴頭表面上均勻分佈,甚至分佈在透孔456之間,相較於此述的其他實施例,這種分佈方式助於提供更均勻的混合。
當穿過噴頭453中的透孔456抵達的電漿流出物與源自中空空間451穿過小孔洞455抵達的含矽前驅物結合時,在基材處理區域470內示範性的層建立在由底座(圖中未示)支撐的基材上。雖可將基材處理區域470裝配成支援電漿以供諸如固化之類的其他製程所用,然而在生長示範性層期間無電漿存在。
電漿既可在噴頭453上方的腔室電漿區域420中點燃,亦可在噴頭453下方的基材處理區域470點燃。電漿存在於腔室電漿區域420中,以從含氮與氫之氣體的流入中產生自由基氮前驅物。於處理腔室之蓋中形成的導電頂部部分421及噴頭453之間施加一般在射頻(RF) 範圍的AC電壓,以在沉積期間於腔室電漿區域420中點燃電漿。RF電源供應器產生13.56 MHz的高RF頻率,但亦可產生單獨其他頻率或者與13.56 MHz頻率結合的頻率。
當於第二固化階段開啟基材處理區域470中的底部電漿或該底部電漿清潔接壤基材處理區域470的內部表面時,頂部電漿可處於低功率或無功率。透過在噴頭453及底座(或腔室底部)之間施加AC電壓,而點燃基材處理區域470中的電漿。清潔氣體可在電漿存在時導入基材處理區域470。
底座可具有熱交換通道,熱交換流體流過該熱交換通道中以控制基材溫度。此配置方式使基材溫度得以冷卻或加熱,以維持相對低的溫度(從室溫直到約120℃)。熱交換流體可包含乙二醇與水。底座的晶圓支撐淺盤(較佳為鋁、陶瓷或前述材料之組合)亦可被電阻式加熱以達成相對高的溫度(從約120℃直到約1100℃),此加熱是透過使用嵌入式單迴圈嵌入的加熱器元件達成,該元件設以造成平行的同心圓形式的兩個完整迴轉。加熱器元件的外部可繞於鄰接支撐淺盤的周邊處,同時內部繞於具有較小半徑的同心圓的路徑上。至加熱器元件的配線穿過底座的心柱。
基材處理系統是由系統控制器控制。在一示範性實施例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(SBC)、類比數位輸入/輸出板、介面板 及步進馬達控制板。CVD系統的各部件符合Versa Modular European(VME)標準,該標準界定電路板、介面卡插件箱(card cage)以及連結器規格與類型。VME標準亦界定匯流排結構為具有16位元資料匯流排或24位元位址匯流排。
系統控制器控制所有沉積系統的活動。系統控制器執行系統控制軟體,該軟體是儲存在電腦可讀媒體中電腦程式。該媒體較佳為硬碟,但該媒體也可以是其他種類的記憶體。電腦程式包括指令集,該等指令集指示時間、氣體混合、腔室壓力、腔室溫度、RF功率層級、基座位置及其他特殊製程參數。儲存在其他記憶體元件(其他記憶體元件包括例如軟碟或其他適合的驅動器)上的其他電腦程式亦可用於指示系統控制器。
可使用由系統控制器執行的電腦程式產品實施用於在基材上沉積層堆疊(例如依序沉積無碳的含矽氮與氫層而隨後沉積氧化矽覆蓋層)、將層轉換成氧化矽的製程或者用於清潔腔室的製程。電腦程式編碼可用任何習知電腦可讀的程式語言撰寫,例如68000組語、C、C++、Pascal、Fortran或其他程式語言。使用習知的文件編輯器將適合的程式編碼編入單一檔案或多重檔案,並且儲存或收錄於電腦可使用媒體(如電腦的記憶體系統)。倘若編入的編碼內文是高階語言,則編譯編碼,而所得的編譯編碼隨後與預先編譯的Microsoft Windows®函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼, 系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以操作程式中辨識的任務。
使用者與控制器之間的介面透過平板接觸感應顯示器。在較佳實施例中,使用兩個顯示器,一個安裝在清潔室壁以供操作者使用,另一個在壁後以供維修技術人員使用。兩個顯示器可同時顯示相同資訊,該情況中,一次僅有一個接受輸入。為了選擇特殊的螢幕或功能,操作者接觸該接觸感應顯示器的指定區域。接觸區域改變該區域的強調色彩,或呈現新的選單或螢幕,以確認操作者和接觸感應顯示器之間的溝通。取代接觸感應顯示器,或者是除了接觸感應顯示器之外,可使用其他裝置,例如鍵盤、滑鼠或其他指示或溝通裝置,以讓使用者與系統控制器溝通。
在此所使用的「基材」可為具有(或不具有)形成在上面的多個層的支撐基材。該支撐基材可為有各種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上的該類型的半導體基材。「氧化矽」層可包括次要濃度的其他元素組份,諸如氮、氫、碳與類似元素。在一些實施例中,氧化矽基本上由氧與矽構成。「前驅物」之用語是用於指任何參與反應從表面移除材料或沉積材料在表面上的製程氣體。處於「激發態」的氣體描述其中至少有一些氣體分子處於振動型式的激發、解離及/或離子化的狀態的氣體。氣體(或前驅物)可以是兩種或 更多種氣體(或前驅物)的組合。「自由基前驅物」是用於描述參與反應從表面移除材料或沉積材料在表面上的電漿流出物(離開電漿、處於激發態的氣體)。「自由基氮前驅物」是一種含有氮的自由基前驅物,而「自由基氫前驅物」是一種含有氫的自由基前驅物。「惰氣」一詞是指在蝕刻或被併入層中時不形成化學鍵結的任何氣體。示範性的惰氣包括稀有氣體,但可包括其他氣體,只要當(一般而言)在層中補捉到痕量的該氣體時不形成化學鍵結即可。
全文中所用的「溝槽」(trench)之用語毫無暗指意味地是指蝕刻過的幾何形狀具有大的水平深寬比。由表面上方所視,溝槽可顯現圓形、卵形、多邊形、矩形或各種其他形狀。「通孔」(via)之用語用於指低深寬比溝槽,該通孔可或可不被金屬填充而形成垂直的電連接。如在此所用,共形層指的是表面上與該表面形狀相同的大體上均勻的材料層,即,該層的表面與受覆蓋的表面大體上平行。此技術領域中具通常知識者將瞭解沉積的材料可能不會100%共形,而因此「大體上」一詞允許可接受的容忍值。
已在此描述數個實施例,發明所屬技術領域中具有通常知識者應知可使用多種修飾例、替代架構與等效例而不背離所揭露之實施例的精神。此外,說明書中不描述多種習知製程與元件,以避免不必要地混淆了本發明。故,上文中的描述不應被視為對本發明範疇之限制。
當提供一範圍的數值時,除非文本中另外清楚指明,應知亦具體揭露介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值以及與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。這些較小範圍的上限值與下限值可獨立地被包含或排除於該範圍中,且其中在該較小範圍內包含任一個極限值、包含兩個極限值,或不含極限值的各範圍也涵蓋於本發明內,取決於在該陳述的範圍中的任何特別排除之限制。在所陳述之範圍包括極限值的一者或兩者之處,也包括該些排除其中任一者或兩者被包括的極限值的範圍。
在此與如附申請專利範圍中所使用之單數形式「一」與「該」等用語也包括複數形式,除非文本中另外清楚指明。因此,舉例而言,「一種製程」所指的製程包括複數個此類製程,而「該前驅物」所指的包括一或多種前驅物以及該領域技術人士所熟知的該等材料之等效例等。
同樣,申請人希望此說明書與下述申請專利範圍中所用的「包括」與「包含」等用語是指存在所陳述之特徵、整體、部件或步驟,但該等用語不排除存在或增加一或多種其他特徵、整體、部件、步驟、動作或群組。
100‧‧‧方法
102-112‧‧‧處理步驟
200‧‧‧方法
202-212‧‧‧處理步驟
300‧‧‧處理系統
302‧‧‧FOUP
304、310‧‧‧機械手臂
306‧‧‧低壓固持區域
308a-f‧‧‧處理腔室
400‧‧‧基材處理腔室
410‧‧‧遠端電漿系統
411‧‧‧氣體入口組件
412、413‧‧‧通道
420‧‧‧腔室電漿區域
421‧‧‧蓋
424‧‧‧絕緣環
426‧‧‧長度
450‧‧‧直徑
451‧‧‧中空空間
453‧‧‧穿孔隔件
455‧‧‧小孔洞
456‧‧‧透孔
470‧‧‧基材處理區域
透過參考說明書之其餘部份及圖式,可進一步瞭解本發明的本質與優點,在該等圖式中,相似的元件符號用於全部多幅圖式中以指類似部件。一些例子中,次符號與一元件符號相連且置於一破折號後,以標注類似部件之一者。當參考一元件符號而不對現存次符號詳細規定時,申請人希望是指所有此類多個類似部件。
第1圖是流程圖,說明用於根據本發明之實施例製做介電層的所選擇的步驟。
第2圖是流程圖,說明用於根據本發明之實施例製做介電層的所選擇的步驟。
第3圖顯示根據本發明實施例的基材處理系統。
第4A圖顯示根據本發明實施例的基材處理腔室。
第4B圖顯示根據本發明實施例的氣體分配噴頭。
100‧‧‧方法
102-112‧‧‧處理步驟

Claims (18)

  1. 一種在一基材上形成一介電層的方法,該方法包括下述依序的步驟:在含有該基材的一第一基材處理區域中,於該基材上形成一無碳的含矽氮與氫層,此步驟透過下述步驟完成:將一無激發的前驅物流進一遠端電漿區域以產生一自由基前驅物;在該第一基材處理區域中將一無碳的含矽前驅物與該自由基前驅物結合,其中在形成該無碳的含矽氮與氫層之操作期間該第一基材處理區域無電漿;以及沉積一無碳的含矽氮與氫層覆於該基材上,其中該無碳的含矽氮與氫層在沉積期間可流動;以及在該無碳的含矽氮與氫層上形成一氧化矽覆蓋層,此步驟透過下述步驟完成:將一含氧前驅物流進含有該基材的一第二基材處理區域;將一含矽前驅物流進該第二基材處理區域;在該第二基材處理區域中從該含氧前驅物與該含矽前驅物形成一電漿;以及沉積該氧化矽覆蓋層覆於該無碳的含矽氮與 氫層上。
  2. 如請求項1所述之方法,其中在形成該無碳的含矽氮與氫層期間,該基材的一溫度在約25℃以上至約125℃以下。
  3. 如請求項1所述之方法,進一步包含以下步驟:在一含氧氣氛中退火該介電層,以將該無碳的含矽氮與氫層轉換成一氧化矽層。
  4. 如請求項3所述之方法,其中該退火操作發生在形成該氧化矽覆蓋層之後。
  5. 如請求項3所述之方法,其中該退火操作發生在形成該無碳的含矽氮與氫層與形成該氧化矽覆蓋層之間。
  6. 如請求項1所述之方法,其中該基材溫度在形成該氧化矽覆蓋層期間為約200℃或更低。
  7. 如請求項1所述之方法,其中該氧化矽覆蓋層的一厚度為約10 nm或更大。
  8. 如請求項1所述之方法,其中該無激發的前驅物包含氮,且該自由基前驅物是一自由基氮前驅物。
  9. 如請求項1所述之方法,其中該無激發的前驅物包含N2H2、NH3、N2與H2之至少一者,且該無碳的含矽前驅物包含H2N(SiH3)、HN(SiH3)2、或N(SiH3)3之一者。
  10. 如請求項1所述之方法,其中該第一基材處理區域是該第二基材處理區域。
  11. 如請求項1所述之方法,其中在該第二基材處理區域中從該含氧前驅物與該含矽前驅物形成一電漿之步驟包含以下步驟:施加約1000 W或更低的一電漿功率。
  12. 一種在一基材上形成一介電層的方法,該方法包括下述依序的步驟:在含有該基材的一第一基材處理區域中,於該基材上形成一無碳的含矽氮與氫層,此步驟透過下述步驟完成:將一無激發的前驅物流進一遠端電漿區域以產生一自由基前驅物;在該第一基材處理區域中將一無碳的含矽前驅物與該自由基前驅物結合,其中在形成該無碳的含矽氮與氫層之操作期間該第一基材處理區域無 電漿;以及沉積一無碳的含矽氮與氫層覆於該基材上,其中該無碳的含矽氮與氫層在沉積期間可流動;以及處理該無碳的含矽氮與氫層,以將該無碳的含矽氮與氫層的一部分轉換成一氧化矽覆蓋層,此步驟透過下述步驟完成:將一含氧前驅物流進含有該基材的一第二基材處理區域;在該第二基材處理區域中從該含氧前驅物形成一電漿;以及將該無碳的含矽氮與氫層的一部分轉換成該氧化矽覆蓋層。
  13. 如請求項12所述之方法,其中在形成該無碳的含矽氮與氫層期間,該基材的一溫度在約25℃以上至約125℃以下。
  14. 如請求項12所述之方法,進一步包含以下步驟:在一含氧氣氛中退火該介電層,以將該無碳的含矽氮與氫層轉換成一氧化矽層。
  15. 如請求項14所述之方法,其中該固化[y3]操作發生在處理該無碳的含矽氮與氫層之後。
  16. 如請求項14所述之方法,其中該固化[y4]操作發生在形成該無碳的含矽氮與氫層與處理該無碳的含矽氮與氫層之間。
  17. 如請求項12所述之方法,其中該氧化矽覆蓋層的一厚度為約50 nm或更大。
  18. 如請求項12所述之方法,其中在該第二基材處理區域中從該含氧前驅物與該含矽前驅物形成一電漿之步驟包含以下步驟:施加約1000 W或更低的一電漿功率。
TW101124223A 2011-07-15 2012-07-05 減少脫氣所用的表面處理及沉積 TW201310529A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161508355P 2011-07-15 2011-07-15
US13/494,341 US9404178B2 (en) 2011-07-15 2012-06-12 Surface treatment and deposition for reduced outgassing

Publications (1)

Publication Number Publication Date
TW201310529A true TW201310529A (zh) 2013-03-01

Family

ID=47558659

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101124223A TW201310529A (zh) 2011-07-15 2012-07-05 減少脫氣所用的表面處理及沉積

Country Status (5)

Country Link
US (1) US9404178B2 (zh)
KR (1) KR102011079B1 (zh)
CN (1) CN103688345A (zh)
TW (1) TW201310529A (zh)
WO (1) WO2013012536A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI839600B (zh) * 2020-03-04 2024-04-21 美商應用材料股份有限公司 低溫無蒸汽氧化物間隙填充

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101848573B1 (ko) * 2013-10-10 2018-04-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102655396B1 (ko) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
WO2018052477A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
CN109643638B (zh) * 2016-09-16 2023-09-05 应用材料公司 用于在低于7纳米cmos制造中控制砷脱气的紫外线辐射系统与方法
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
CN113629210B (zh) * 2021-07-27 2024-06-11 深圳市华星光电半导体显示技术有限公司 封装结构、显示面板及显示面板的制作方法

Family Cites Families (450)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
WO2002077320A1 (en) 2001-03-23 2002-10-03 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US7670770B2 (en) 2001-07-25 2010-03-02 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
CN1778002A (zh) 2003-03-04 2006-05-24 陶氏康宁公司 有机发光二极管
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
WO2005069498A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
WO2007140424A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
WO2008104059A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
SG183873A1 (en) * 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) * 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN104995333B (zh) * 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9136273B1 (en) * 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI839600B (zh) * 2020-03-04 2024-04-21 美商應用材料股份有限公司 低溫無蒸汽氧化物間隙填充

Also Published As

Publication number Publication date
KR102011079B1 (ko) 2019-08-14
WO2013012536A3 (en) 2013-03-14
WO2013012536A2 (en) 2013-01-24
CN103688345A (zh) 2014-03-26
KR20140050059A (ko) 2014-04-28
US20130149462A1 (en) 2013-06-13
US9404178B2 (en) 2016-08-02

Similar Documents

Publication Publication Date Title
TW201310529A (zh) 減少脫氣所用的表面處理及沉積
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
US8466073B2 (en) Capping layer for reduced outgassing
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
JP5600368B2 (ja) 低温酸化ケイ素変換
US8318584B2 (en) Oxide-rich liner layer for flowable CVD gapfill
US8647992B2 (en) Flowable dielectric using oxide liner
US7935643B2 (en) Stress management for tensile films
US20120238108A1 (en) Two-stage ozone cure for dielectric films
KR20120125623A (ko) 라디칼-성분 cvd를 위한 인­시츄 오존 경화
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장