KR20150053967A - 저비용의 유동 가능한 유전체 필름들 - Google Patents

저비용의 유동 가능한 유전체 필름들 Download PDF

Info

Publication number
KR20150053967A
KR20150053967A KR1020157008954A KR20157008954A KR20150053967A KR 20150053967 A KR20150053967 A KR 20150053967A KR 1020157008954 A KR1020157008954 A KR 1020157008954A KR 20157008954 A KR20157008954 A KR 20157008954A KR 20150053967 A KR20150053967 A KR 20150053967A
Authority
KR
South Korea
Prior art keywords
dielectric layer
plasma
patterned substrate
forming
substrate
Prior art date
Application number
KR1020157008954A
Other languages
English (en)
Inventor
아미트 차테르지
아브히지트 바수 말릭
니틴 케이. 잉글
브라이언 언더우드
키란 브이. 타다니
시아오린 첸
아브히쉑 듀브
징메이 리앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150053967A publication Critical patent/KR20150053967A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유전체 층을 형성하는 방법이 설명된다. 방법은, 국부 플라즈마를 사용하는 화학 기상 증착에 의해서, 실리콘-함유 필름을 증착한다. 실리콘-함유 필름은 낮은 기판 온도에서의 증착 동안에 유동 가능하다. 실리콘 전구체(예를 들어, 실릴아민, 더 고차의 실란 또는 할로겐화 실란)가 기판 프로세싱 영역으로 전달되고 국부 플라즈마에서 여기된다. 제 2 플라즈마 증기 또는 가스는 기판 프로세싱 영역에서 실리콘 전구체와 결합되고, 암모니아, 질소(N2), 아르곤, 수소(H2) 및/또는 산소(O2)를 포함할 수 있다. 이러한 증기/가스 결합들과 조합된, 본원에서 개시된 장비 구성들은, 상대적으로 낮은 전력을 사용하여 국부 플라즈마가 여기될 때 약 200℃ 또는 그 미만의 기판 온도들에서 유동 가능한 증착을 초래하는 것으로 발견되었다.

Description

저비용의 유동 가능한 유전체 필름들{LOW COST FLOWABLE DIELECTRIC FILMS}
관련 출원들의 상호 참조들
[0001] 본 출원은 "LOW COST FLOWABLE DIELECTRIC FILMS" 라는 명칭으로 2012년 9월 11일에 출원된, Chatterjee 외의, 미국 가 출원 제 61/699,495 호의 이익 향유를 주장하고, 상기 미국 가 출원은 모든 목적들을 위해 그 전체가 본원에 포함된다.
[0002] 반도체 디바이스 기하형태들은 몇십 년 전의 도입 이래로 크기가 크게 감소되어 왔다. 현대의 반도체 제조 장비는 45 nm, 32 nm, 및 28 nm 피쳐(feature) 크기들을 가지는 디바이스들을 일상적으로 생산하고, 그보다 훨씬 더 작은 기하형태들을 가지는 디바이스들을 제조하기 위해 새로운 장비가 개발되고 있고 구현되고 있다. 감소되는 피쳐 크기들은 감소된 공간적 치수들을 가지는 디바이스 상의 구조적 피쳐들을 초래한다. 디바이스 상의 갭들 및 트렌치들의 폭들은, 갭 깊이 대 갭 폭의 종횡비가 매우 커서 유전체 재료로 갭을 충진(fill)하는 것을 어렵게 만들게 되는 지점까지 좁아졌다. 유전체 재료를 증착(deposit)하는 것은 갭을 완전히 충진하기에 앞서서 상단부를 막아서, 갭의 중간부에 공극(void) 또는 이음매(seam)를 생성하는 경향을 가진다.
[0003] 수년간, 갭의 상단부가 유전체 재료로 막히는 것을 방지하기 위해, 또는 형성된 공극 또는 이음매를 "치유(heal)"하기 위해 많은 기술들이 개발되어왔다. 하나의 접근방식은, 회전하는 기판 표면(예를 들어, SOG 증착 기술들)에 액체 상(phase)으로 도포될 수 있는 매우 유동 가능한(highly flowable) 전구체 재료들로 시작되었다. 이러한 유동 가능한 전구체들은, 공극들 또는 약한 이음매들을 형성하지 않고 매우 작은 기판 갭들 내로 유동하고 이 갭들을 충진할 수 있다. 그러나, 이러한 매우 유동 가능한 재료들이 일단 증착되면, 그 재료들은 고체 유전체 재료로 경화되어야 한다.
[0004] 많은 경우들에서, 경화(hardening)는, 증착된 재료로부터 탄소 및 히드록실기들을 제거하여 실리콘 산화물과 같은 고체 유전체를 뒤에 남기기 위한 열처리를 포함한다. 불행하게도, 탄소와 히드록실 종을 제거하는 것(departing)은 종종, 경화된 유전체에 기공들(pores)을 뒤에 남기는데, 이러한 공극들은 최종 재료의 품질을 떨어뜨린다. 부가적으로, 유전체를 경화시키는 것은 또한, 용적을 축소시키는 경향을 가지고, 그러한 경향은 유전체와 주위 기판의 계면에 균열들 및 공간들을 남길 수 있다. 일부 경우들에서, 경화된 유전체의 용적이 40%만큼 또는 그 초과만큼 감소될 수 있다.
[0005] 패터닝된 기판 상의 피쳐들 내로 유동시키기 위해서, 스핀-온 유전체들(SOD)이 또한 이용되었다. 재료는, 실리콘, 질소 및 수소를 포함하는 실라잔-타입 필름으로부터 실리콘 산화물로 일반적으로 변환된다. 유전체 층들을 액체로서 도포하는 것은 매우 두꺼운 층들을 초래하고, 작은 갭들을 채우는 것을 어렵게 만든다.
[0006] 따라서, 기상 증착 기술들을 사용하여, 구조화된 기판들 상에 유전체 재료들을 형성하기 위한 새로운 증착 프로세스들 및 재료들에 대한 필요가 존재한다. 이러한 그리고 다른 요구들이 본 출원에서 다뤄진다.
[0007] 유전체 층을 형성하는 방법이 설명된다. 방법은, 국부(local) 플라즈마를 사용하는 화학 기상 증착에 의해서, 실리콘-함유 필름을 증착한다. 실리콘-함유 필름은 낮은 기판 온도에서의 증착 동안에 유동 가능하다. 실리콘 전구체(예를 들어, 실릴아민, 더 고차의(higher order) 실란 또는 할로겐화 실란)가 기판 프로세싱 영역으로 전달되고 국부 플라즈마에서 여기된다(excited). 제 2 플라즈마 증기(vapor) 또는 가스는 기판 프로세싱 영역에서 실리콘 전구체와 결합되고, 암모니아, 질소(N2), 아르곤, 수소(H2) 및/또는 산소(O2)를 포함할 수 있다. 이러한 증기/가스 결합들과 조합된, 본원에서 개시된 장비 구성들은, 상대적으로 낮은 전력을 사용하여 국부 플라즈마가 여기될 때 약 200℃ 또는 그 미만의 기판 온도들에서 유동 가능한 증착을 초래하는 것으로 발견되었다. 프로세스는, 패터닝된 기판의 갭들을 충진하기 위해서, 필름이 고체화(solidify)되기 이전에, 증착 동안 유동 가능한 필름을 초래하는 천이 종(transient species)을 생성한다.
[0008] 본 발명의 실시예들은 패터닝된 기판 상에 유전체 층을 형성하는 방법들을 포함한다. 방법들은 기판 프로세싱 영역 내로 실리콘 전구체를 유동시키는 단계를 포함한다. 방법들은 기판 프로세싱 영역 내로 플라즈마 증기/가스를 유동시키는 단계를 더 포함한다. 방법들은, 약 0.3 W/㎠ 또는 그 미만의 플라즈마 전력 밀도를 인가함으로써, 기판 프로세싱 영역의 플라즈마를 타격(striking)하는 단계를 더 포함한다. 방법들은 패터닝된 기판 상에 유전체 층을 형성하는 단계를 더 포함한다. 유전체 층은 탄소 또는 질소 중 하나 및 실리콘을 포함한다. 유전체 층은 증착 동안에 유동 가능하다.
[0009] 부가적인 실시예들 및 특징들이 이하의 설명에서 부분적으로 개진되고, 부분적으로는 명세서의 검토시 당업자에게 자명하게 될 것이며, 또는 발명의 실시에 의해서 학습될 수 있다. 발명의 특징들 및 장점들이, 명세서에서 설명되는 기구들, 조합들, 및 방법들에 의해서 실현되고 획득될 수 있다.
[0010] 본 명세서의 나머지 부분들 및 도면들을 참조함으로써, 본 발명의 성질 및 장점들에 대한 추가적인 이해가 실현될 수 있으며, 상기 도면들에서는 유사한 컴포넌트들을 지칭하기 위해 수개의 도면들 전반에 걸쳐 동일한 참조 번호들이 사용된다. 일부 경우들에서, 다수의 유사한 컴포넌트들 중 하나를 나타내기 위해서, 서브레이블(sublabel)이 참조 번호와 연관되고 그리고 하이픈에 후속된다. 기존 서브레이블에 대한 상술 없이 참조 번호를 언급할 때, 이는 그러한 다수의 유사한 컴포넌트들 모두를 지칭하도록 의도된 것이다.
[0011] 도 1은 발명의 실시예들에 따른, 실리콘 산화물 필름을 제조하기 위해 선택된 단계들을 설명하는 흐름도이다.
[0012] 도 2는 발명의 실시예들에 따른 기판 프로세싱 시스템을 도시한다.
[0013] 도 3a는 발명의 실시예들에 따른 기판 프로세싱 챔버를 도시한다.
[0014] 도 3b는 발명의 실시예들에 따른 가스 분배 샤워헤드를 도시한다.
[0015] 유전체 층을 형성하는 방법이 설명된다. 방법은, 국부 플라즈마를 사용하는 화학 기상 증착에 의해서, 실리콘-함유 필름을 증착한다. 실리콘-함유 필름은 낮은 기판 온도에서의 증착 동안에 유동 가능하다. 실리콘 전구체(예를 들어, 실릴아민, 더 고차의 실란 또는 할로겐화 실란)가 기판 프로세싱 영역으로 전달되고 국부 플라즈마에서 여기된다. 제 2 플라즈마 증기 또는 가스는 기판 프로세싱 영역에서 실리콘 전구체와 결합되고, 암모니아, 질소(N2), 아르곤, 수소(H2) 및/또는 산소(O2)를 포함할 수 있다. 이러한 증기/가스 결합들과 조합된, 본원에서 개시된 장비 구성들은, 상대적으로 낮은 전력을 사용하여 국부 플라즈마가 여기될 때 약 200℃ 또는 그 미만의 기판 온도들에서 유동 가능한 증착을 초래하는 것으로 발견되었다. 프로세스는, 패터닝된 기판의 갭들을 충진하기 위해서, 필름이 고체화되기 이전에, 증착 동안 유동 가능한 필름을 초래하는 천이 종을 생성한다.
[0016] 플라즈마 화학 기상 증착 또는 플라즈마-강화형 화학 기상 증착(PECVD)을 수행하는 것은, 증착을 달성하기(effect) 위해서 기판 프로세싱 영역 내에서 "국부" 플라즈마를 적용하는 것을 수반한다. 본원에서 개시된 플라즈마 증기/가스와 함께 실리콘 전구체(예를 들어, TSA와 같은 실릴아민)를 유동시키는 것은, 실리콘, 질소, 수소를 포함하는 유전체 층을 생성하는 것으로, 본 발명자들에 의해 발견되었다. 필름은 증착 동안에 유동하는 것으로 발견되었고, 이는 반도체 프로세싱 동안에 사용되는 패터닝된 기판들 상의 일반적인(common) 갭들을 충진하는 것을 용이하게 한다. 실리콘 산화물 층을 형성하는 방법들 및 시스템들에 관한 부가적인 세부 사항들이 이제 설명될 것이다.
예시적인 실리콘 산화물 형성 프로세스
[0017] 도 1은 본 발명의 실시예들에 따른, 유전체 필름들을 만드는 방법(100)의 선택된 단계들을 보여주는 흐름도이다. 예시적인 방법(100)은 기판 프로세싱 영역에 트리실릴아민을 제공하는 단계(102)를 포함한다. 방법은 기판 프로세싱 영역 내로 암모니아를 유동시키는 단계(104)를 더 포함한다. 본 발명의 실시예들에서는, 트리실릴아민 및 암모니아가 기판 프로세싱 영역 내에 동시에 유동될 수 있고, 진입 전에, 플라즈마에 의해서 여기되지 않을 수 있다. 기판 프로세싱 영역의 어느 한 쪽 측(side) 상의 용량성(capacitive) 플레이트들에 무선 주파수 전력을 인가하는 단계(106)에 의해서 플라즈마 여기가 제공된다. 예를 들어, 용량성 플레이트들이 기판 프로세싱 영역의 위와 아래에 포지셔닝될 수 있다. 암모니아와 트리실릴아민의 결합을 플라즈마 여기하는 것은, 패터닝된 기판 표면 상의 유동 가능한 유전체 층(실리콘, 질소, 수소를 포함함)의 형성을 초래한다.
[0018] 일반적으로 말해서, 트리실릴아민은 본원에서, 실릴아민을 함유하는 실리콘 전구체로서 지칭될 수 있지만, 실리콘 전구체는 또한, 탄소를 함유할 수 있다. 실리콘 전구체는 트리실릴아민, 디실릴아민 또는 모노실릴아민 중 하나를 함유할 수 있다. 실리콘 전구체는, 캐리어 가스에 의해서 운반되는 가스 또는 증기의 형태의 가스 상의 형태로 전달된다. 곧 상세히 논의되는 바와 같이, 탄소의 부재는 증착된 필름의 수축을 감소시킨다. 그러나, 개시된 실시예들에서, 실리콘 전구체 및 유전체 필름은 탄소를 함유한다. 실리콘 탄화물 및 실리콘 탄소 질화물은 일부 프로세스 흐름들에서 바람직하며, 그리고 본원에서 개시된 방법들을 사용하여 증착된 유동 가능한 유전체 층들의 정의의 범주 내에 있다. 그렇기는 하지만, 개시된 실시예들에서, 실리콘 전구체는 무-산소(oxygen-free) 및 무-탄소(carbon-free)일 수 있다. 산소의 부족은, 전구체들로부터 형성된 유동 가능한 유전체 층의 실라놀(Si-OH)기들의 더 낮은 또는 무시할만한 농도를 초래한다. 증착된 필름의 과다한 실라놀 부분들(moieties)은, 증착된 층으로부터 히드록실(-OH) 부분들을 제거하는 증착 후 단계들 동안에 증가된 다공성(porosity) 및 수축을 야기할 수 있다.
[0019] 실리콘 전구체들의 구체적인 예들은 H2N(SiH3), HN(SiH3)2, 및 N(SiH3)3 와 같은 실릴아민들을 포함할 수 있다. 실리콘 전구체는 또한, 더 고차의 실란일 수 있는데, 본원에서는 SinH2n+2 으로서 정의되고, n>=3 이다. 본 발명의 실시예들에서, 실리콘 전구체들은 또한, 할로겐화 실란들일 수 있고 그리고 염소화(chlorinated) 실란들일 수 있다. 본원에서 할로겐화 실란들은 SinH2n+2 으로서 정의되고, 개시된 실시예들에서 n>=1 또는 n>=2 이며, 수소 원자 중 적어도 하나는 제거되어 할로겐으로 대체된다. 개시된 실시예들에서, 실리콘 전구체는, 예를 들어, 헥사클로로디실란(Si2Cl6)이다. 상이한 실시예들에서, 실리콘 전구체의 유량들은 약 40sccm 또는 그 초과, 약 60sccm 또는 그 초과, 또는 약 100sccm 또는 그 초과일 수 있다. 본원에서 주어진 모든 유량들은 이중(dual) 챔버 기판 프로세싱 시스템에 관한 것이다. 단일 웨이퍼 시스템들은 이러한 유량들의 절반을 필요로 할 것이고, 다른 웨이퍼 크기들은 프로세싱된 지역에 의해서 스케일링되는(scaled) 유량들을 필요로 할 것이다. 실리콘 전구체는, 캐리어 가스들, 반응성 가스들, 또는 둘 모두로서 작용할 수 있는 부가적인 가스들과 혼합될 수 있다.
[0020] 플라즈마 증기/가스는 실리콘 전구체와 결합되어 기판 프로세싱 영역 내로 유동되거나, 실리콘 전구체와 플라즈마 증기/가스의 조합이 플라즈마에서 여기되는 경우에는, 기판 프로세싱 영역 내로 개별적으로 유동된다. 예시적인 플라즈마 증기/가스들은, 다른 가스들 중에서도, 암모니아, H2, N2, O2, H2O, O3, H2O2, N2O, NO, He, 및 Ar 을 포함한다. 플라즈마 증기/가스는 실리콘 탄화물 및 SiCN 필름들을 형성하기 위해서 탄소를 함유할 수 있고, 그러한 경우에 플라즈마 증기/가스는 메탄, 에탄, 프로판, 부탄, 아세틸렌, 또는 다른 탄화수소를 포함할 수 있다. 플라즈마 증기/가스의 유량은, 개시된 실시예들에서, 약 25sccm 또는 그 초과, 약 40sccm 또는 그 초과, 또는 약 50sccm 또는 그 초과일 수 있다. 유동성(flowability)을 증가시키기 위해서, 실시예들에서, 산소의 소스들이 포함되지 않을 수 있다.
[0021] 예에서 설명된 바와 같이, 플라즈마는 13.56MHz 부근의 무선 주파수들을 사용하는 용량 결합 플라즈마(CCP) 구성을 사용하여 점화(ignited)될 수 있다. 그러나, 더 높은 및/또는 더 낮은 무선 주파수들(예를 들어, 마이크로파 영역에서의 2.4GHz, 또는 350kHz)과 같은 다른 주파수들 및 여기 소스들이 사용될 수 있다. 유도 결합 플라즈마(ICP)가 조합하여 사용될 수 있거나 또는 CCP를 대체할 수 있다. 플라즈마 전력이 상대적으로 낮아서 필름 형성에서 유동-유도 화학 천이들(flow-inducing chemical transients)의 형성을 야기한다. 300mm 기판에 대해, 전력은 10-350W 범위에 있을 수 있다. 전력 밀도는, 본 발명의 실시예들에서 패터닝된 기판 지역의 평방 센티미터당 약 0.3W 또는 그 미만, 평방 센티미터당 약 0.25W 또는 그 미만, 평방 센티미터당 약 0.2W 또는 그 미만, 또는 평방 센티미터당 약 0.15W 또는 그 미만일 수 있다. 개시된 실시예들에서, 한편으로는 유전체 층의 형성 동안에, 전력은 펄싱되는 대신 지속적일 수 있다. 개시된 실시예들에서, 플라즈마를 타격한다는 것은 (패터닝된 표면적의 평방 센티미터당) 0.025W 내지 0.30W의 플라즈마 전력을 기판 프로세싱 영역에 인가하는 것을 의미한다. 패터닝된 표면적은 패터닝된 피쳐들을 통과하는 단일 평면 상의(일반적으로 기판의 상단 표면 상의) 지역으로서 계산된다. 플라즈마 여기는 또한, 자외선(UV) 광을 기판 프로세싱 영역 내로 비추는(shining) 것에 의해서 달성될 수 있다.
[0022] 실리콘 전구체를 유동시키는 것은 유동 가능한 유전체 층의 형성 동안 내내 기판 프로세싱 영역에 실리콘 전구체의 지속적인 유동을 공급하는 것을 포함한다. 유사하게, 플라즈마를 타격하는 것은 유동 가능한 유전체 층의 형성 동안 플라즈마 전력을 지속적으로 인가하는 것을 포함한다. 실리콘 전구체 유동 또는 플라즈마 전력 중 어느 하나(그리고, 당연하게, 양쪽 모두)를 펄싱하는 것은, 본원에서 설명되는 바람직하게 유동 가능한 유전체 필름들 대신에 등각적인(conformal) 필름을 초래한다는 것이 발견되었다. 본 발명의 실시예들에서, 유동 가능한 유전체 층의 형성 동안 내내 플라즈마 증기/가스의 유동이 또한 지속적이다.
[0023] 기판 프로세싱 영역에서, 실리콘 전구체와 플라즈마 증기/가스는 플라즈마에서 혼합되고 반응하여, 증착 기판 상에 유동 가능한 유전체 필름을 증착한다. 본 발명의 실시예들에서, 유동 가능한 유전체 필름은 실리콘, 질소, 및 수소를 함유하고, 오직 이러한 원소들로만 이루어질 수 있다. 증착된 유전체 필름은, 통상적인 실리콘 질화물(Si3N4) 필름 증착 기술들과는 달리, 유동 가능한 특성들을 갖는다. 형성 동안의 유동 가능한 특성은 필름이 고체화 이전에 좁은 피쳐들 내로 유동할 수 있게 한다. 실리콘-질소-및-수소-함유 필름의 질소는 플라즈마 증기/가스와 실리콘 전구체 양쪽 모두로부터 기원할 수 있는데, 이는 실리콘 전구체와 질소 삼불화물 양쪽 모두 질소를 함유할 수 있기 때문이다.
[0024] 실리콘-함유 필름의 유동성은, 상기 설명된 바와 같이 저 강도의 국부 플라즈마가 존재할 때 본원에서 약술된 실리콘 전구체와 플라즈마 증기/가스들을 혼합하는 것으로부터 초래되는 여러 가지 성질들에 기인할 수 있다. 이러한 성질들은, 수소 및/또는 할로겐 종단(termination)을 가질 수 있는 단쇄형(short chained) 폴리실라잔 폴리머들의 존재를 포함할 수 있다. 필름의 형성 동안에 그리고 그 후에, 이러한 단쇄들이 성장하고 네트워킹되어 더 조밀한 유전체 재료를 형성한다. 예를 들어, 증착된 필름은 실라잔-타입, Si-NH-Si 백본(backbone)(즉, 무-탄소 Si-N-H 필름)을 가질 수 있다.
[0025] 일부 실시예들에서, 기판 프로세싱 영역에서 플라즈마에 공급되는 모든 전구체들이 무-탄소이고, 따라서 증착된 실리콘-질소-불소-및-수소 함유 필름이 또한 실질적으로 무-탄소이다. 물론, "무-탄소"는, 필름이 극소량의(trace amounts) 탄소 조차도 포함하지 않는다는(lack) 것을 반드시 의미하지는 않는다. 탄소 오염물질들(contaminants)이 전구체 재료들 내에 존재할 수 있고, 그러한 전구체 재료들은 증착된 실리콘-및-질소-함유 전구체 내로의 그들의 경로(their way)를 발견한다. 그러나, 이러한 탄소 불순물들의 양은, 탄소 부분을 가지는 실리콘 전구체(예를 들어, TEOS, TMDSO, 등)에서 발견될 수 있는 것보다 훨씬 더 적다.
[0026] 전술된 실리콘 질화물 대신에 실리콘 탄소 질화물(SiCN) 또는 실리콘 탄화물을 형성하기 위해서 실리콘 전구체와 플라즈마 증기/가스가 또한 선택될 수 있다. 모든 경우들에서, 실리콘 질화물, 실리콘 탄화물 또는 SiCN은, 필름의 유동성을 보조할 수 있는 약간의 수소를 함유할 수 있다. 개시된 실시예들에서, 유동 가능한 유전체 필름은 실리콘, 탄소 및 수소로 이루어진다. 유동 가능한 유전체 필름은 또한, 실리콘 및 탄소로 이루어질 수 있다. 개시된 실시예들에서, 유동 가능한 유전체 필름은 실리콘, 질소, 및 수소로 이루어진다. 유동 가능한 유전체 필름은 또한, 실리콘 및 질소로 이루어질 수 있다. 개시된 실시예들에서, 유동 가능한 유전체 필름은 실리콘, 탄소, 질소 및 수소로 이루어진다. 유동 가능한 유전체 필름은 또한, 실리콘, 탄소 및 질소로 이루어질 수 있다.
[0027] 개시된 실시예들에서, 유동 가능한 유전체 층의 증착 동안의 기판의 온도는 약 200℃ 또는 그 미만, 약 150℃ 또는 그 미만, 약 125℃ 또는 그 미만, 약 100℃ 또는 그 미만, 약 75℃ 또는 그 미만, 약 50℃ 또는 그 미만, 또는 약 30℃ 또는 그 미만일 수 있다. 예를 들어, 기판 온도는, 실릴아민 및 플라즈마 증기/가스로부터 실리콘-질소-수소화물 필름을 성장시키는 동안 150℃ 미만일 수 있다. 다른 예를 들어, 기판 온도는, 더 고차의 실란 및 플라즈마 증기/가스로부터 실리콘-질소-수소화물 필름을 성장시키는 동안 80℃ 미만일 수 있다. 또한 개시된 실시예에서, 기판 온도는, 임의의 차수의 할로겐화 실란 및 플라즈마 증기/가스로부터 실리콘-질소-수소화물 필름을 성장시키는 동안 150℃ 미만일 수 있다.
[0028] 개시된 실시예들에서, 증착 동안에 기판 프로세싱 영역의 압력은 약 5Torr 또는 그 초과, 약 10Torr 또는 그 초과, 약 15Torr 또는 그 초과, 또는 약 20Torr 또는 그 초과일 수 있다. 개시된 실시예들에서, 증착 동안에 기판 프로세싱 영역의 압력은 약 50Torr 또는 그 미만, 약 40Torr 또는 그 미만, 약 30Torr 또는 그 미만일 수 있다. 상한선들이 하한선들과 결합하여, 본 발명의 실시예들에 따른 부가적인 압력 범위들에 도달할 수 있다. 개시된 실시예들에서, 유동 가능한 유전체 필름의 증착 레이트(rate)는 약 400Å/분 또는 그 초과, 약 500Å/분 또는 그 초과, 약 600Å/분 또는 그 초과, 또는 약 700Å/분 또는 그 초과일 수 있다. 고체화 이후에, 증착된 유전체 층의 두께는, 벌크 스핀-온 기술들(SOG, SOD)을 사용하여 가능한 것보다 훨씬 덜(less) 할 수 있다. 개시된 실시예들에서, 두께는 약 25nm 또는 그 미만, 약 20nm 또는 그 미만, 약 15nm 또는 그 미만, 또는 약 10nm 또는 그 미만일 수 있다. 이러한 두께들은, 증착된 필름의 유동 가능한 특성들이 감소된 후에, 패터닝된 기판의 주 평면에 수직으로 측정된다.
[0029] 필름을 경화하는 것(초기의 필름을 유동 가능하게 만들었지만 더이상 필요하지 않은 일부 컴포넌트들을 제거하는 것)을 돕는 선택적 단계들이 이제 설명된다. 유동 가능한 유전체 필름의 증착 이후에, 증착 기판은 휘발성 종을 제거하기 위해서, 상승된 온도에서 처리될 수 있다. 증착 기판은 경화 동안에 기판 프로세싱 영역 내에서 유지될 수 있거나, 또는 기판은 열 처리가 수행되는 다른 챔버로 이송될 수 있다. 개시된 실시예들에서, 기판의 경화 온도는 약 600℃ 또는 그 미만, 약 400℃ 또는 그 미만, 약 300℃ 또는 그 미만, 약 250℃ 또는 그 미만, 약 200℃ 또는 그 미만, 또는 약 150℃ 또는 그 미만일 수 있다. 본 발명의 실시예들에서, 기판의 온도는 약 상온(25℃) 또는 그 초과, 약 50℃ 또는 그 초과, 약 100℃ 또는 그 초과, 약 150℃ 또는 그 초과, 또는 약 200 ℃ 또는 그 초과일 수 있다. 부가적으로 개시된 실시예들에 따라서, 상부 경계들 중 임의의 경계가 하부 경계들 중 임의의 경계와 결합되어, 기판 온도에 대한 부가적인 범위들을 형성할 수 있다.
[0030] 이미 논의된 바와 같이, 경화 동작은 증착 챔버에서 일어날 수 있거나, 또는 엑스-시츄(ex-situ) 경화 챔버로 기판이 이송될 수 있다. 어떠한 경우에도, 증착 동작 및 경화 동작은, 증착-경화-증착-경화 프로세스 시퀀스들을 형성하도록 반복될 수 있다. 경화 동작 동안에 플라즈마 처리가 기판 프로세싱 영역에 존재할 수 있고, 플라즈마는 유도 결합 플라즈마(ICP)이거나 용량 결합 플라즈마(CCP)일 수 있다. 개시된 실시예들에서 플라즈마는 고밀도 플라즈마(HDP)일 수 있다. 플라즈마 처리는, 무선 주파수(RF) 범위의 주파수로(예를 들어, 13.56MHz) 또는 마이크로파 주파수 범위(예를 들어, 2.4GHz)로 전력을 인가함으로써 달성될 수 있다. 본 발명의 실시예들에서, 플라즈마는 NH3, Ar, N2, Cl2, 또는 He와 같은 다양한 플라즈마 입력 가스들로부터 형성될 수 있다.
[0031] 패터닝된 기판은 기판 상에 형성된 디바이스 컴포넌트들(예를 들어, 트랜지스터들)의 구조 및 간격을 위한 복수의 갭들을 가질 수 있다. 갭들은, 1:1보다 상당히 큰(예를 들어, 5:1 또는 그 초과, 6:1 또는 그 초과, 7:1 또는 그 초과, 8:1 또는 그 초과, 9:1 또는 그 초과, 10:1 또는 그 초과, 11:1 또는 그 초과, 12:1 또는 그 초과, 등) 높이 대 폭(즉, H/W)의 종횡비(AR)를 정의하는 높이 및 폭을 가질 수 있다. 많은 경우들에서, 큰 AR은, 약 90 nm 내지 약 22 nm 또는 그 미만(예를 들어, 90 nm 미만, 65 nm, 50 nm, 45 nm, 32 nm, 22 nm, 16 nm, 등)의 범위의 작은 갭 폭들에 기인한다. 유동 가능한 유전체 층이 바람직한데, 이는, 스핀-온 글라스(SOG) 및 스핀-온 유전체(SOD)와 같은 스핀-온 기술들을 사용한 벌크 유동 가능한 필름들 또는 비-유동 가능한 필름들보다 더 쉽게 예시적인 좁은 갭들을 유동 가능한 유전체 층이 충진할 수 있기 때문이다. 유동 가능한 재료의 얇은 층들을 증착하는 것은, 갭이 완전히 충진되기 전에 갭의 상단부를 조급하게 막아서 갭의 중간에 공극을 남기게 될 가능성을 감소시킨다. 유동 가능한 증착 이후에 유전체 층이 고체화될 수 있다. 예시적인 실리콘 산화물 증착 시스템의 설명 동안에 부가적인 파라미터들이 도입될 수 있다.
예시적인 실리콘 산화물 증착 시스템
[0032] 본 발명의 실시예들을 구현할 수 있는 증착 챔버들은, 다른 타입들의 챔버들 중에서, 고밀도 플라즈마 화학기상증착(HDP-CVD) 챔버들, 플라즈마 강화 화학기상증착(PECVD) 챔버들, 대기압-이하의 화학기상증착(sub-atmospheric chemical vapor deposition; SACVD) 챔버들, 및 열적 화학기상증착 챔버들을 포함할 수 있다. 발명의 실시예들을 구현할 수 있는 CVD 시스템들의 구체적인 예들에는, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터 입수 가능한, CENTURA ULTIMA® HDP-CVD 챔버들/시스템들, 및 PRODUCER®PECVD 챔버들/시스템들이 포함된다.
[0033] 본 발명의 예시적인 방법들과 함께 사용될 수 있는 기판 프로세싱 챔버들의 예들은, "PROCESS CHAMBER FOR DIELECTRIC GAPFILL"이라는 명칭으로 2006년 5월 30일에 출원된, Lubomirsky 외의, 본원과 양수인이 동일한 미국 가 특허 출원 제 60/803,499 호에 도시되고 설명된 챔버들을 포함할 수 있고, 상기 미국 가 특허 출원의 전체 내용들은 모든 목적들을 위해 인용에 의해 본원에 포함된다. 부가적인 예시적 시스템들은 미국 특허 제 6,387,207 호 및 6,830,624 호에 도시되고 설명된 챔버들을 포함할 수 있고, 상기 미국 특허들 또한 모든 목적들을 위해 인용에 의해 본원에 포함된다.
[0034] 증착 시스템들의 실시예들이, 집적 회로 칩들을 생산하기 위한 더 대형의 제조 시스템들 내로 통합될 수 있다. 도 2는, 개시된 실시예들에 따른 증착, 베이킹, 및 경화 챔버들로 이루어진 하나의 그러한 시스템(1001)을 도시한다. 도면에서, FOUPs(front opening unified pods)(1002)의 쌍은, 웨이퍼 프로세싱 챔버들(1008a-f) 중 하나 내로 배치되기에 앞서서, 로봇 아암들(1004)에 의해서 수용되고 저압 유지 지역(1006) 내로 배치되는 기판들(예를 들어, 300 mm 직경 웨이퍼들)을 공급한다. 제 2 로봇 아암(1010)을 이용하여, 기판 웨이퍼들을 저압 유지 지역(1006)으로부터 프로세싱 챔버들(1008a-f)로 그리고 역으로 운송할 수 있다.
[0035] 프로세싱 챔버들(1008a-f)은, 기판 웨이퍼 상에서 유동 가능한 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 또는 둘 이상의 시스템 컴포넌트들을 포함할 수 있다. 하나의 구성에서, 프로세싱 챔버의 2개의 쌍들(예를 들어, 1008c-d 및 1008e-f)을 이용하여, 유동 가능한 유전체 재료를 기판 상에 증착할 수 있고, 프로세싱 챔버들의 제 3 쌍(예를 들어, 1008a-b)을 이용하여 상기 증착된 유전체를 어닐링할 수 있다. 다른 구성에서, 프로세싱 챔버들의 동일한 2개의 쌍들(예를 들어, 1008c-d 및 1008e-f)이, 기판 상에 유동 가능한 유전체 필름을 증착도 하고 어닐링도 하도록 구성될 수 있는 한편, 챔버들의 제 3 쌍(예를 들어, 1008a-b)은, 상기 증착된 필름의 UV 또는 E-비임 경화를 위해서 이용될 수 있다. 또 다른 구성에서, 챔버들의 모든 3개의 쌍들(예를 들어, 1008a-f)이 기판 상에 유동 가능한 유전체 필름을 증착하고 경화시키도록 구성될 수 있다. 또 다른 구성에서, 프로세싱 챔버들의 2개의 쌍들(예를 들어, 1008c-d 및 1008e-f)이, 유동 가능한 유전체의 증착 및 UV 또는 E-비임 경화 모두를 위해서 이용될 수 있는 한편, 프로세싱 챔버들의 제 3 쌍(예를 들어, 1008a-b)이, 유전체 필름을 어닐링하기 위해서 이용될 수 있다. 상이한 실시예들에서, 제시된 제조 시스템으로부터 분리된 챔버(들)에 대해, 설명된 프로세스들 중 임의의 하나 또는 둘 이상이 실시될 수 있다.
[0036] 또한, 프로세스 챔버들(1008a-f) 중 하나 또는 둘 이상이 습식(wet) 처리 챔버로서 구성될 수 있다. 이러한 프로세스 챔버들은 유동 가능한 유전체 필름을 수분을 포함하는 대기 중에서 가열하는 것을 포함한다. 따라서, 증착된 유전체 필름 상에서 습식 및 건식 어닐링들 모두를 실시하기 위해서, 시스템(1001)의 실시예들이 습식 처리 챔버들(1008a-b) 및 어닐링 프로세싱 챔버들(1008c-d)을 포함할 수 있다.
[0037] 도 3a는 개시된 실시예들에 따른 기판 프로세싱 챔버(1101)이다. 원격 플라즈마 시스템(RPS)(1110)이 가스를 프로세싱할 수 있고, 이어서 가스는 가스 유입구 조립체(1111)를 통해서 이동한다. 2개의 구분된 가스 공급 채널들을 가스 유입구 조립체(1111) 내에서 볼 수 있다. 제 1 채널(1112)은 원격 플라즈마 시스템(RPS)(1110)을 통과하는 가스를 반송하는 한편, 제 2 채널(1113)은 RPS(1110)를 우회한다. 개시된 실시예들에서, 제 1 채널(1112)은 프로세스 가스를 위해서 이용될 수 있고, 제 2 채널(1113)은 처리 가스를 위해서 이용될 수 있다. 덮개(또는 전도성 상단부 부분)(1121) 및 천공형 구획부(샤워헤드(1153))가 절연 링(1124)을 사이에 두고 도시되어 있으며, 그러한 절연 링은 AC 전위가 샤워헤드(1153)에 대해서 상대적으로 덮개(1121)로 인가될 수 있게 한다. 프로세스 가스는 제 1 채널(1112)을 통해서 챔버 플라즈마 영역(1120) 내로 이동하고, RPS(1110)와 조합된 또는 단독의 챔버 플라즈마 영역(1120)에서 플라즈마에 의해서 여기될 수 있다. 챔버 플라즈마 영역(1120) 및/또는 RPS(1110)의 조합이 여기에서 원격 플라즈마 시스템으로 지칭될 수 있다. 천공형 구획부(또한 샤워헤드로도 지칭됨)(1153)는 챔버 플라즈마 영역(1120)을 샤워헤드(1153) 아래의 기판 프로세싱 영역(1170)으로부터 분리한다. 샤워헤드(1153)는, 챔버 플라즈마 영역(1120) 내에 존재하는 플라즈마가 기판 프로세싱 영역(1170) 내의 가스들을 직접적으로 여기시키는 것을 방지할 수 있게 하는 한편, 여기된 종들이 챔버 플라즈마 영역(1120)으로부터 기판 프로세싱 영역(1170) 내로 여전히 이동할 수 있게 허용한다.
[0038] 샤워헤드(1153)는 챔버 플라즈마 영역(1120)과 기판 프로세싱 영역(1170) 사이에 배치되고, 챔버 플라즈마 영역(1120) 내에서 생성된 플라즈마 유출물들(전구체들 또는 다른 가스들의 여기된 유도체들)이 플레이트의 두께를 가로지르는 복수의 관통-홀들(1156)을 통과할 수 있게 허용한다. 샤워헤드(1153)는 또한, 증기 또는 가스(이를테면, 실리콘-함유 전구체) 형태이고 그리고 작은 홀들(1155)을 통해서 기판 프로세싱 영역(1170) 내로 통과하지만, 챔버 플라즈마 영역(1120) 내로는 직접적으로 통과하지 않는 전구체로 충진될 수 있는 하나 또는 둘 이상의 중공형 용적들(1151)을 가진다. 이러한 개시된 실시예에서, 샤워헤드(1153)는 관통-홀들(1156)의 가장 작은 직경(1150)의 길이보다 더 두껍다. 챔버 플라즈마 영역(1120)으로부터 기판 프로세싱 영역(1170)까지 침투하는 여기된 종들의 상당한 농도를 유지하기 위해서, 관통-홀들의 가장 작은 직경(1150)의 길이(1126)가, 샤워헤드(1153)를 통한 중간에(part way) 관통-홀들(1156)의 더 큰 직경 부분들을 형성하는 것에 의해서 제한될 수 있다. 개시된 실시예들에서, 관통-홀들(1156)의 가장 작은 직경(1150)의 길이가 관통-홀들(1156)의 가장 작은 직경과 동일한 정도(same order)의 크기 또는 그 미만일 수 있다.
[0039] 도시된 실시예에서, 샤워헤드(1153)는 암모니아와 실리콘 전구체와 같은 플라즈마 증기/가스를 함유하는 프로세스 가스들을 (관통-홀들(1156)을 통해서) 분배할 수 있다. 실시예들에서, 전구체들이 챔버 플라즈마 영역(1120)에서 여기되지 않을 수 있는데, 이는 오직 국부 플라즈마만이 필요하기 때문이다. 이 프로세스가 기판 프로세싱 영역(1170) 내에서 국부 플라즈마에 의한 여기를 수반하기 때문에, 전구체들 중 임의의 전구체가 관통 홀들(1156)을 통해서 또는 작은 홀들(1155)을 통해서 기판 프로세싱 영역(1170)으로 공급될 수 있음을 주목한다. 프로세스 가스들은 기판 프로세싱 영역(1170)에 진입하고, 여기에서 프로세스 가스들은 국부 플라즈마로 여기되어, 패터닝된 기판 상에 유동 가능한 유전체 층을 형성한다.
[0040] 실시예들에서, 관통-홀들(1156)의 수가 약 60개 내지 약 2000개일 수 있다. 관통-홀들(1156)이 다양한 형상들을 가질 수 있으나, 가장 용이하게는 둥글게 제조된다. 개시된 실시예들에서, 관통-홀들(1156)의 가장 작은 직경(1150)이 약 0.5 mm 내지 약 20 mm 또는 약 1 mm 내지 약 6 mm일 수 있다. 또한, 관통-홀들의 횡단면 형상을 선택하는데 있어서 자유(latitude)가 있으며, 이러한 관통-홀들은 원뿔형, 원통형, 또는 2개의 형상들의 조합으로 만들어질 수 있다. 상이한 실시예들에서, 기판 프로세싱 영역(1170) 내로 가스를 도입하기 위해서 이용되는 작은 홀들(1155)의 수가 약 100개 내지 약 5000개 또는 약 500개 내지 약 2000개일 수 있다. 작은 홀들(1155)의 직경이 약 0.1 mm 내지 약 2 mm일 수 있다.
[0041] 도 3b는 개시된 실시예들에 따른 프로세싱 챔버와 함께 이용하기 위한 샤워헤드(1153)의 저면도이다. 샤워헤드(1153)는 도 3a에 도시된 샤워헤드에 상응한다. 샤워헤드(1153)의 하단부 상에 더 큰 내측-직경(ID)을 갖고 상단부에서 더 작은 ID를 갖는 관통-홀들(1156)이 도시되어 있다. 여기에서 개시된 다른 실시예들보다 더 균일한 혼합을 제공하는데 도움이 되는 관통-홀들(1156) 중에서 조차도, 작은 홀들(1155)이 샤워헤드의 표면에 걸쳐 실질적으로 균일하게 분포된다.
[0042] 샤워헤드(1153) 내의 관통-홀들(1156)을 통해서 도달하는 플라즈마 유출물들이, 중공형 용적들(1151)로부터 발원하고(originating) 작은 홀들(1155)을 통해서 도달하는 실리콘-함유 전구체와 결합될 때, 기판 프로세싱 영역(1170) 내의 받침대(미도시)에 의해서 지지되는 기판 상에서 예시적인 필름이 생성된다. 비록 기판 프로세싱 영역(1170)이 경화와 같은 다른 프로세스들을 위한 플라즈마를 지원하도록 구비될 수 있지만, 예시적인 필름의 성장 중에는 플라즈마가 존재하지 않는다.
[0043] 플라즈마는 샤워헤드(1153) 위의 챔버 플라즈마 영역(1120) 내에서 또는 샤워헤드(1153) 아래의 기판 프로세싱 영역(1170) 내에서 점화될 수 있다. 질소-및-수소-함유 가스의 유입 유동(inflow)으로부터 라디칼 질소 전구체를 생성하기 위해서, 플라즈마가 챔버 플라즈마 영역(1120) 내에 존재한다. 프로세싱 챔버의 전도성 상단부 부분(덮개(1121))과 샤워헤드(1153) 사이에, 전형적으로 무선 주파수(RF) 범위의 AC 전압이 인가되어, 증착 중에 챔버 플라즈마 영역(1120) 내에서 플라즈마를 점화한다. RF 전력 공급은 13.56 MHz의 높은 RF 주파수를 생성하지만, 또한, 다른 주파수들을 단독으로만 또는 13.56 MHz 주파수와 조합하여 생성할 수 있다.
[0044] 유전체 층의 형성 동안에 또는 기판 프로세싱 영역(1170)과 경계를 이루는 내부 표면들의 세정 중에 기판 프로세싱 영역(1170) 내에서 하단부 플라즈마가 턴 온될 때, 상단부 플라즈마가 낮은 전력으로 남아 있거나 전력이 공급되지 않고 남아 있을 수 있다. 기판 프로세싱 영역(1170) 내의 플라즈마는 샤워헤드(1153)와 받침대 또는 챔버의 하단부 사이에 AC 전압을 인가하는 것에 의해서 점화된다. 플라즈마가 존재하는 동안에, 세정 가스가 기판 프로세싱 영역(1170) 내로 도입될 수 있다.
[0045] 받침대는 열 교환 채널을 가질 수 있고, 기판의 온도를 제어하기 위해서, 열 교환 유체가 상기 열 교환 채널을 통해서 유동한다. 이러한 구성은, 비교적 낮은 온도들(실온(room temperature)으로부터 약 120 ℃ 까지)을 유지하기 위해서, 기판 온도가 냉각되거나 가열될 수 있게 한다. 열 교환 유체는 에틸렌 글리콜 및 물을 포함할 수 있다. 평행한 동심적 원들 형태의 2번의 완전한 회전들(turns)을 만들도록 구성된 매립형의 단일-루프 히터 요소를 이용하여 비교적 높은 온도들(약 120 ℃로부터 약 1100 ℃ 까지)을 달성하기 위해서, 받침대(바람직하게, 알루미늄, 세라믹, 또는 그 조합)의 웨이퍼 지지 플래터(platter)가 또한 저항식으로 가열될 수 있다. 히터 요소의 외측 부분이 지지 플래터의 둘레 근처에서 연장할 수 있을 것인 한편, 내측 부분은 더 작은 반경을 가지는 동심적인 원의 경로 상에서 연장한다. 히터 요소에 대한 배선이 받침대의 스템을 통과한다.
[0046] 기판 프로세싱 시스템은 시스템 제어기에 의해서 제어된다. 예시적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 프로세서를 포함한다. 프로세서는 단일-기판 컴퓨터(SBC), 아날로그 및 디지털 입/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템의 여러 가지 부분들이, 보드, 카드 케이지, 및 연결부 치수들 및 타입들을 정의하는 Versa Modular European(VME) 표준을 따른다. VME 표준은 또한, 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가지는 것으로 버스 구조를 정의한다.
[0047] 시스템 제어기는 증착 시스템의 모든 활동들을 제어한다. 시스템 제어기는, 컴퓨터-판독가능 매체 내에 저장된 컴퓨터 프로그램인, 시스템 제어 소프트웨어를 실행한다. 바람직하게, 상기 매체가 하드 디스크 드라이브이나, 그러한 매체가 또한 다른 종류들의 메모리일 수 있다. 컴퓨터 프로그램은, 특별한 프로세스의 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨들, 서셉터 위치, 및 다른 매개변수들을 지시하는 명령들의 세트들을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적합한 드라이브를 포함하는 다른 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 또한 시스템 제어기로 지시하기 위해서 이용될 수 있다.
[0048] 기판 상에 필름 적층체(stack)를 증착하기 위한, 필름을 실리콘 산화물로 변환하기 위한 프로세스, 또는 챔버를 세정하기 위한 프로세스가, 시스템 제어기에 의해서 실행되는 컴퓨터 프로그램 제품을 이용하여 구현될 수 있다. 컴퓨터 프로그램 코드가 임의의 통상적인 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들로 작성될 수 있다. 적합한 프로그램 코드가 통상적인 텍스트(text) 편집기를 이용하여 단일 파일, 또는 복수 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 이용가능 매체 내에 저장되거나 구현된다. 만약 입력된 코드 텍스트가 하이 레벨 언어라면, 코드가 컴파일링되고, 이어서 결과적인 컴파일러 코드가 미리 컴파일링된 Microsoft Windows® 라이브러리 루틴들의 목적 코드와 링크된다. 링크되고, 컴파일링된 목적 코드를 실행하기 위해서, 시스템 사용자가 목적 코드를 호출하여, 컴퓨터 시스템으로 하여금 코드를 메모리 내에 로딩하게 한다. 이어서, CPU가 코드를 판독하고 실행하여, 프로그램 내에서 식별된 과제들을 실시한다.
[0049] 사용자와 제어기 사이의 인터페이스는 평판 터치-감응형 모니터를 경유한다. 바람직한 실시예에서, 2개의 모니터들이 이용되고, 하나는 운영자들을 위해서 청정실 벽에 장착되고 다른 하나는 서비스 기술자들을 위해서 벽 뒤에 장착된다. 2개의 모니터들이 동일한 정보를 동시적으로 디스플레이할 수 있고, 그러한 경우에 한번에 하나 만이 입력을 수용한다. 특별한 스크린 또는 기능을 선택하기 위해서, 운영자는 터치-감응형 모니터의 지정 지역을 터치한다. 터치된 지역은 그 지역의 강조된 색채로 변화되거나, 새로운 메뉴 또는 스크린이 디스플레이되어, 운영자와 터치-감응형 모니터 사이의 통신을 확인한다. 키보드, 마우스, 또는 다른 포인팅 또는 통신 디바이스와 같은 다른 디바이스들이 터치-감응형 모니터 대신에 또는 그에 부가적으로 이용되어, 사용자가 시스템 제어기와 통신하게 허용할 수 있다.
[0050] 본원에서 사용되는 바와 같이, "기판"은, 층들이 위에 형성되거나 또는 형성되지 않은 지지 기판일 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있고, 예를 들어, 집적 회로들의 제조에서 사용되는 타입의 반도체 기판일 수 있다. "실리콘 산화물"의 층은 질소, 수소, 탄소, 등과 같은 다른 원소 구성성분들의 적은 농도들을 포함할 수 있다. 일부 실시예들에서, 실리콘 산화물은 본질적으로 실리콘 및 산소로 이루어진다. "전구체"라는 용어는, 표면 상에 재료를 증착하거나 또는 표면으로부터 재료를 제거하기 위해 반응에 참여하는 임의의 프로세스 가스를 지칭하기 위해 사용된다. "여기된 상태"의 가스는, 가스 분자들의 적어도 일부가 진동적으로-여기된, 분리된 및/또는 이온화된 상태들에 있는 가스를 설명한다. 가스(또는 전구체)는 둘 또는 셋 이상의 가스들(또는 전구체들)의 조합일 수 있다. "라디칼 전구체"는, 표면으로부터 재료를 제거하거나 표면 상에 재료를 증착하기 위한 반응에 참여하는 플라즈마 유출물들(플라즈마를 빠져나가는 여기 상태의 가스)을 설명하기 위해서 이용된다. "라디칼-질소 전구체"는 질소를 포함하는 라디칼 전구체이고, "라디칼-수소 전구체"는 수소를 포함하는 라디칼 전구체이다. "불활성 가스"라는 문구는, 에칭할 때 또는 필름 내로 통합될 때 화학적 결합들을 형성하지 않는 임의의 가스를 지칭한다. 예시적인 불활성 가스들은 희가스들을 포함하지만, (전형적으로) 극소량이 필름 내에 포획될 때 화학적 결합들이 형성되지 않기만 한다면, 다른 가스들을 포함할 수 있다.
[0051] "트렌치"라는 용어는, 에칭된 기하형태가 큰 수평적 종횡비를 가진다는 것을 암시하지 않고 전반적으로 사용된 것이다. 표면의 위에서부터 볼 때, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들로 보일 수 있다. "비아"라는 용어는, 수직 전기 연결부를 형성하기 위해서 금속으로 충진될 수 있거나 충진되지 않을 수 있는 저 종횡비 트렌치를 지칭하기 위해서 사용된 것이다. 여기에서 사용된 바와 같이, 등각적인 층은 표면과 동일한 형상의 표면 상의 재료의 대체로 균일한 층을 지칭하고, 다시 말해서 커버된 표면 및 층의 표면은 대체로 평행하다. 당업자는, 증착된 재료가 아마도 100% 등각적일 수 없으며 그에 따라 "대체로"라는 용어가 수용가능한 공차들을 허용한다는 것을 이해할 것이다.
[0052] 수개의 실시예들을 설명하였지만, 당업자들은, 발명의 사상으로부터 벗어나지 않고도, 여러 가지 변경들, 대안적인 구성들, 및 등가물들이 이용될 수 있다는 것을 이해할 것이다. 부가적으로, 본 발명을 불필요하게 불명료하게 하는 것을 방지하기 위해서, 많은 수의 주지의 프로세스들 및 요소들을 설명하지 않았다. 따라서, 상기 설명은 발명의 범위를 제한하는 것으로 간주되지 않아야 할 것이다.
[0053] 수치들의 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지(to the tenth) 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0054] 본원 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들("a", "an" 및 "the")은, 문맥에서 명백하게 달리 지시되어 있지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 언급은 복수의 그러한 프로세스들을 포함하며, "상기 전구체(the precursor)"라는 언급은 당업자에게 알려진 하나 또는 그 초과의 전구체들 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 마찬가지이다.
[0055] 또한, "포함하는"("comprise," "comprising," "include," "including," 및 "includes")이라는 단어들은, 본 명세서 및 이하의 청구항들에서 사용될 때, 언급된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들은 하나 또는 그 초과의 다른 특징들, 정수들, 컴포넌트들, 단계들, 작용들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (20)

  1. 패터닝된 기판 상에 유전체 층을 형성하는 방법으로서,
    상기 패터닝된 기판을 기판 프로세싱 영역 내로 이송하는 단계;
    상기 기판 프로세싱 영역 내로 실리콘 전구체를 유동시키는 단계;
    상기 기판 프로세싱 영역 내로 플라즈마 증기/가스를 유동시키는 단계 - 상기 플라즈마 증기/가스를 유동시키는 단계와 상기 실리콘 전구체를 유동시키는 단계는 동시적으로 일어남 -;
    패터닝된 기판 지역의 평방 센티미터당 약 0.3W 또는 그 미만의 플라즈마 전력을 인가하는 것에 의해서 상기 기판 프로세싱 영역의 플라즈마를 타격(strike)하는 단계; 및
    상기 패터닝된 기판 상에 상기 유전체 층을 형성하는 단계 - 상기 유전체 층은 질소 또는 탄소 중 하나 및 실리콘을 포함하고, 그리고 상기 유전체 층은 증착 동안에 유동 가능함 - 를 포함하고,
    상기 실리콘 전구체를 유동시키는 단계는 상기 유동 가능한 유전체 층의 형성 동안 내내 상기 기판 프로세싱 영역에 상기 실리콘 전구체의 지속적인 유동을 공급하는 것을 포함하고, 상기 플라즈마를 타격하는 단계는 상기 유동 가능한 유전체 층의 형성 동안 내내 플라즈마 전력을 지속적으로 인가하는 것을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 패터닝된 기판의 온도는, 상기 유동 가능한 유전체 층을 형성하는 동안 200℃ 미만인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마 증기/가스는 암모니아, 수소(H2), 아르곤, 질소(N2), 탄화수소 또는 산소(O2) 중 하나를 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 전구체는 트리실릴아민, 디실릴아민 또는 모노실릴아민 중 하나인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 전구체는 셋 또는 그 초과의 실리콘 원자들을 포함하는 더 고차의 실란을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 전구체는 할로겐-치환된 실란을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 할로겐-치환된 실란은 헥사클로로디실란인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 유전체 층은 실리콘 탄화물, 실리콘 질화물 또는 SiCN 중 하나인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 유전체 층은 실리콘, 질소, 및 수소로 이루어진,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 유전체 층은 실리콘, 탄소, 질소, 및 수소로 이루어진,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 플라즈마를 타격하는 단계는, 용량성(capacitive) 또는 유도성(inductive) 수단에 의해서 상기 기판 프로세싱 영역에 무선 주파수들(RF)을 인가하는 것을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 플라즈마를 타격하는 단계는 상기 기판 프로세싱 영역에 자외선(UV) 광을 비추는 것을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 플라즈마를 타격하는 단계는 (패터닝된 표면적의 평방 센티미터당) 0.025W 내지 0.30W의 플라즈마 전력을 상기 기판 프로세싱 영역에 인가하는 것을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 플라즈마를 타격하는 단계는 상기 유전체 층을 형성하는 동안 내내 플라즈마 전력을 지속적으로 인가하는 것을 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 기판은 패터닝되고, 약 50nm 또는 그 미만의 폭을 갖는 트렌치를 갖는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  16. 제 1 항에 있어서,
    상기 유전체 층을 형성하는 동작 이후에 상기 유전체 층을 고체화하는 단계를 더 포함하는,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  17. 제 16 항에 있어서,
    상기 고체화 단계 이후의 상기 유전체 층의 두께는 상기 패터닝된 기판의 주 평면에 수직으로 약 25nm 또는 그 미만인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  18. 제 1 항에 있어서,
    상기 실리콘 전구체, 상기 플라즈마 증기/가스 및 상기 유전체 층은 각각 무-탄소인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  19. 제 1 항에 있어서,
    상기 패터닝된 기판의 온도는 상기 유동 가능한 유전체 층을 형성하는 동안 100℃ 미만인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
  20. 제 1 항에 있어서,
    상기 패터닝된 기판의 온도는 상기 유동 가능한 유전체 층을 형성하는 동안 30℃ 미만인,
    패터닝된 기판 상에 유전체 층을 형성하는 방법.
KR1020157008954A 2012-09-11 2013-08-20 저비용의 유동 가능한 유전체 필름들 KR20150053967A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261699495P 2012-09-11 2012-09-11
US61/699,495 2012-09-11
US13/668,657 2012-11-05
US13/668,657 US8889566B2 (en) 2012-09-11 2012-11-05 Low cost flowable dielectric films
PCT/US2013/055802 WO2014042833A1 (en) 2012-09-11 2013-08-20 Low cost flowable dielectric films

Publications (1)

Publication Number Publication Date
KR20150053967A true KR20150053967A (ko) 2015-05-19

Family

ID=50233690

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157008954A KR20150053967A (ko) 2012-09-11 2013-08-20 저비용의 유동 가능한 유전체 필름들

Country Status (5)

Country Link
US (1) US8889566B2 (ko)
JP (1) JP2015534265A (ko)
KR (1) KR20150053967A (ko)
TW (1) TW201417179A (ko)
WO (1) WO2014042833A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9362111B2 (en) 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US20190035673A1 (en) * 2016-03-31 2019-01-31 Intel Corporation Flowable dielectrics from vapor phase precursors
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
EP3807446A4 (en) * 2018-06-15 2022-03-30 Versum Materials US, LLC SILOXANE COMPOSITIONS AND METHODS OF USING THE COMPOSITIONS TO DEPOSIT SILICON-CONTAINING FILMS
CN112385013A (zh) * 2018-06-20 2021-02-19 应用材料公司 碳间隙填充膜
TWI792005B (zh) * 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置

Family Cites Families (501)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS5775738U (ko) 1980-10-27 1982-05-11
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (ko) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
DE19629705A1 (de) 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
KR100538865B1 (ko) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
WO2001048800A1 (fr) 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
WO2001074957A1 (fr) 2000-04-04 2001-10-11 Asahi Kasei Kabushiki Kaisha Composition de revetement pour la production de films minces d'isolation
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (ja) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20060158101A1 (en) 2003-03-04 2006-07-20 Dow Corning Corporation Organic light-emitting diode
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4813831B2 (ja) 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
WO2006014034A1 (en) 2004-08-04 2006-02-09 Industry-University Cooperation Foundation Hanyang University Remote plasma atomic layer deposition apparatus and method using dc bias
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7271463B2 (en) * 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (ko) 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (ja) 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
TW200842950A (en) 2007-02-27 2008-11-01 Sixtron Advanced Materials Inc Method for forming a film on a substrate
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5248370B2 (ja) 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films

Also Published As

Publication number Publication date
US20140073144A1 (en) 2014-03-13
TW201417179A (zh) 2014-05-01
JP2015534265A (ja) 2015-11-26
US8889566B2 (en) 2014-11-18
WO2014042833A1 (en) 2014-03-20

Similar Documents

Publication Publication Date Title
US8889566B2 (en) Low cost flowable dielectric films
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) Dielectric film formation using inert gas excitation
US8563445B2 (en) Conformal layers by radical-component CVD
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20130217240A1 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
KR20140010434A (ko) 평탄화-후 치밀화
WO2013062756A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application