TWI516630B - 自由基組成化學氣相沉積之原位臭氧硬化之方法 - Google Patents

自由基組成化學氣相沉積之原位臭氧硬化之方法 Download PDF

Info

Publication number
TWI516630B
TWI516630B TW099146656A TW99146656A TWI516630B TW I516630 B TWI516630 B TW I516630B TW 099146656 A TW099146656 A TW 099146656A TW 99146656 A TW99146656 A TW 99146656A TW I516630 B TWI516630 B TW I516630B
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
layer
nitrogen
substrate processing
Prior art date
Application number
TW099146656A
Other languages
English (en)
Other versions
TW201134975A (en
Inventor
王琳琳
美歐里克愛柏亥吉巴蘇
英戈爾尼廷K
維卡達曼夏可
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201134975A publication Critical patent/TW201134975A/zh
Application granted granted Critical
Publication of TWI516630B publication Critical patent/TWI516630B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Description

自由基組成化學氣相沉積之原位臭氧硬化之方法
本發明大體上有關於製造半導體元件的方法。
【交互參照之相關申請案】
本案主張2010年1月7日申請且發明名稱為「自由基組成化學氣相沉積之原位臭氧硬化方法(IN-SITU OZONE CURE FOR RADICAL-COMPONENT CVD)」之美國臨時申請序號61/293,082案為優先權,全文以引用方式併入本案中以供各方面參考。
自數十年前提出半導體元件起,半導體元件的幾何結構在尺寸上有著戲劇性地縮減。現代半導體製造設備常規性地製造具有45奈米(nm)、32奈米及28奈米之特徵結構尺寸的元件,且即將研發且實施新的設備,以製造具有更小幾何形狀的元件。縮減特徵結構尺寸,導致元件上的結構性特徵具有減小的空間尺寸。元件上的間隙與溝渠寬度狹窄到足以使間隙深度比上間隙寬度的深寬比高到難以用介電材料填滿該間隙。沉積的介電材料容易在完全填滿間隙之前就堵住間隙頂部,而在間隙的中段處產生孔洞或隙縫。
多年來,已研發出許多可避免間隙頂部發生介電材料 堵塞或可「閉合(heal)」已形成之孔洞或隙縫的技術。其中一種已開始採用的方法是使用高流動性前驅物材料,該材料可採液態形式施用於旋轉的基板表面上,例如旋覆玻璃層(SOG)沉積技術。這些流動性前驅物能流入且填充於非常小的基板間隙內,而不會形成孔洞或細小隙縫。然而,一旦這些高流動性材料沉積後,需將之硬化成固體介電材料。
許多情況下,該硬化製程包含加熱處理,以從已沉積的材料中移除碳基及羥基,而留下固體的介電質,例如氧化矽。不幸地,去除碳及羥物種通常會於已硬化的介電質中留下許多孔洞,該些孔洞則降低最終材料的品質。此外,硬化介電質亦易於收縮體積,而可能於介電質與周遭基板的界面處留下裂縫及空隙。在某些情況中,已硬化之介電質的體積可能縮減40%或更多。
因此,需要新的沉積製程及材料,以在已結構化的基板上形成介電材料,又不會在基板的間隙及溝渠內產生孔洞或隙縫或兩者皆產生。亦需要可硬化流動性介電材料且具有較少孔洞及較小體積縮減的方法及材料。在本申請案中係針對這些及其他需求提出方案。
本案描述形成介電層的方法。該些方法包含混合一含矽前驅物及一電漿流之步驟,以及於基板上沉積一含矽 氮層之步驟。藉著在用來沉積該含矽氮層的同一基板處理區域內於含臭氧氛圍中進行硬化,使該含矽氮層轉化成含矽氧層。另一含矽氮層可沉積於該含矽氧層上,以及該些膜層堆疊可於臭氧中再次硬化,且上述所有動作皆無需從該基板處理區域中移除該基板。待完成整數倍的沉積-硬化循環之後,可於含氧環境中在一較高溫度下退火以進行該些含矽氧層之堆疊的轉化。
本發明實施例包括於基板處理腔室內之基板處理區域中的基板上形成一含矽氧層的方法。該些方法包括於基板處理區域中的基板上形成一含矽氮層。形成該含矽氮層的步驟涉及:使含氮氫氣體流入一電漿區域中以產生自由基氮前驅物;於不含電漿的基板處理區域中合併一不含碳的含矽前驅物及該自由基氮前驅物;以及於該基板上沉積一含矽氮層。該些方法更包括於該基板處理區域內的含臭氧氛圍中硬化該含矽氮層。
額外的實施例及特徵係部分描述於以下說明內容中,且部份的額外實施例與特徵則待熟悉該項技術者於檢閱本案說明書或實施本發明時可明瞭或學得。藉由本案說明書中所描述的工具、組合方式及方法可實現及獲得本發明之特徵及優點。
以下描述形成介電層的方法。該些方法包含混合一含 矽前驅物及一電漿流之步驟,以及於基板上沉積一含矽氮層之步驟。藉著在用來沉積該含矽氮層的同一基板處理區域內於含臭氧氛圍中進行硬化,使該含矽氮層轉化成含矽氧層。另一含矽氮層可沉積於該含矽氧層上,以及該些膜層堆疊可於臭氧中再次硬化,且所有動作皆無需從該基板處理區域中移除該基板。待完成整數倍的沉積-硬化循環之後,可於一含氧環境中在一較高溫度下退火以進行該些含矽氧層堆疊的轉化。
某些細節內容的討論可有利地證明,本案請求項的涵蓋範圍不受限於該些可能不完全正確的假設機制。使剛沉積的含矽氮層暴露於臭氧中同時保持相對低的基板溫度所提高的氧含量,勝過僅在含氧環境中於相對高的基板溫度下進行退火所提高的氧含量。這可能是因為藉著混合一自由基氮前驅物與一不含碳之含矽氮前驅物來沉積含矽氮膜會產生相對開放性網狀結構之故。該開放性網狀結構允許臭氧更深入地滲入該膜層中,而使氧化物的轉化作用朝基板方向延伸。於高溫下執行轉化,可封閉靠近表面的網狀結構,因而限制該轉化作用的物理程度。
臭氧的反應性介於氧分子與氧原子之間。氧分子需要較高的溫度以活化氧化作用,而導致靠近表面處的開放性矽氮網狀結構封閉。此種不希望發生的封閉作用限制了該含矽氮層更深部分中的氧化作用。氧原子則太容易於低溫下反應,且同樣會封閉該網狀結構。發現到臭氧 可穩定地滲透深入該開放性網狀結構中,同時不需要高溫來促進氧化作用。現將描述有關形成氧化矽層之方法及系統的更多細節。
根據臭氧理論上取代氫及氮的能力,顯示可能只需要少量加熱或無需加熱便可執行臭氧硬化反應,而能於沉積區域內發生臭氧硬化反應。現將說明有關於使用整合式臭氧硬化反應形成氧化矽之方法與系統的更多細節。
示範性之氧化矽形成製程
第1圖是一流程圖,顯示根據本發明實施例製造氧化矽膜之方法100中的選定步驟。方法100包括提供一不含碳的矽前驅物至一基板處理區域102。該不含碳的矽前驅物可例如是矽氮前驅物、矽氫前驅物或含矽氮氫前驅物、以及其他類型的矽前驅物。該矽前驅物除了不含碳之外,也可不含氧。缺乏氧會導致由該些前驅物所形成之矽氮層中的矽醇基(Si-OH)濃度較低。沉積膜層中的過量矽醇基可在沉積之後從沉積層中移除羥基(-OH)的步驟過程中導致收縮及孔隙度提高。
不含碳之矽前驅物的具體範例可包括矽烷胺類(silyl-amine),例如H2N(SiH3)、HN(SiH3)2及N(SiH3)3,以及其他矽烷胺類。不同實施例中,矽烷胺的流率(flow rate)可約200sccm或更高、約300sccm或更高,或約500sccm或更高。本文中所提供的所有流率係參照雙腔室式基板處理系統。單晶圓系統可能需要上述流率的一 半量,且其他的晶圓尺寸可能需要根據處理面積而依比例增減流率。這些矽烷胺可與附加氣體混合,該些附加氣體可做為載氣、反應性氣體或同時做為載氣與反應性氣體。該些附加氣體的範例可包括氫氣(H2)、氮氣(N2)、氨(NH3)、氦氣(He)及氬氣(Ar)、以及其他氣體。不含碳之矽前驅物的範例亦可包括單獨含甲矽烷(SiH4),或含甲矽烷且混合其他含矽氣體(例如N(SiH3)3)、含氫氣體(例如H2)及/或含氮氣體(例如N2、NH3)。不含碳之矽前驅物亦可包括二矽烷、三矽烷,甚至更高階的矽烷類及氯化矽烷類,且該些矽烷類可單獨使用、彼此組合使用或與前述不含碳之矽前驅物組合使用。一般而言,亦可使用含碳的矽前驅物,但需提醒該些膜層可比使用不含碳之矽前驅物時要收縮得更厲害。
自由基氮前驅物亦可供應至該基板處理區域104。該自由基氮前驅物是一種含有氮自由基的前驅物,該含有氮自由基的前驅物是在該基板處理區域外部由較穩定的氮前驅物所生成。例如,可在該處理腔室外部的一腔室電漿區域或一遠端電漿系統(RPS)中活化含有NH3、H2及/或N2的穩定性氮前驅化合物以形成該自由基氮前驅物,隨後輸送該自由基氮前驅物至該基板處理區域內。不同實施例中,該穩定性氮前驅物的流率可約300sccm或更高、約500sccm或更高,或約700sccm或更高。在該腔室電漿區域中產生的該自由基氮前驅物可為‧N、‧NH、‧NH2等類之自由基的其中一者或多者,且 亦可伴有電漿中所形成的已離子化物種。
一般而言,其他自由基前驅物可用以產生含矽氮層。該些自由基前驅物可包含氮或不含氮。若該自由基前驅物中不含氮,將由該含矽前驅物提供氮。在本發明之實施例中,氮可出現在該自由基前驅物及含矽前驅物兩者中。由於具此靈活性,自由基前驅物更常稱為電漿流。同樣地,因為可含氮或不含氮,因此在本文中通常將流入該電漿區域中用以產生電漿流的穩定性氮前驅物稱為穩定氣體。
在採用腔室電漿區域的實施例中,是在該基板處理區域中與一沉積區域分隔開的一區段內產生該自由基氮前驅物,且該些前驅物係在該沉積區域中混合及反應以於一沉積基板上(例如,半導體晶圓)沉積該含矽氮層。該自由基氮前驅物亦可伴有一載氣,例如氫氣(H2)、氮氣(N2)、氦氣,等等。本文中,該基板處理區域在含矽氮層成長過程中以及於低溫臭氧硬化過程中可描述成「不含電漿(plasma-free)。「不含電漿」不必然代表該區域缺乏電漿。腔室電漿區域中的電漿邊界難以界定,且可透過噴淋頭內的孔隙而侵入基板處理區域。在感應耦合電漿的情況中,小量的離子化反應可直接在基板處理區域內作用。再者,可在不消除該形成膜之可流動性質的情況下,於該基板處理區域內產生低強度電漿。於產生自由基氮前驅物的過程中形成離子密度遠低於腔室電漿區域之電漿皆不偏離本文中之用語「不含電漿 (plasma-free)」的範圍。
在該基板處理區域中,不含碳之矽前驅物與自由基氮前驅物混合且反應,以於沉積基板106上沉積一含矽氮膜。可使用實施例中某些製法組合使所沉積的含矽氮膜共形地沉積。其他實施例中,不同於習知氮化矽膜(Si3N4)沉積技術,所沉積的含矽氮膜具有可流動性。形成時的流動性允許該膜流入基板之沉積表面上的狹窄間隙、溝渠及其他結構。一般而言,較高的自由基氮流(radical nitrogen fluxes)產生共形沉積,而較低的自由基流產生產生可流動性沉積。
流動性可能是由混合自由基氮前驅物及不含碳之矽前驅物產生的各種性質所造成。該些性質可包括所沉積之膜中有大量的氫含量及/或存在短鏈的聚矽氮烷聚合物(polysilazane)。於形成該膜期間及形成之後,這些短鏈會成長且形成網狀結構,而產生更緻密的介電材料。例如,所沉積之膜可具有矽烷胺類「Si-NH-Si」的骨架,即是Si-N-H膜。當矽前驅物及自由基氮前驅物兩者均不含碳時,所沉積的含矽氮膜亦實質不含碳。當然,「不含碳(carbon-free)」不必然代表該膜甚至連微量的碳都缺乏。碳污染可能存在於該些前驅物材料中,而尋得途徑進入已沉積的矽氮前驅物中。然而,這些碳雜質的量遠遠少於含碳基之矽前驅物(例如,TEOS、TMDSO,等等)中所含的碳含量。
於該含矽氮層沉積之後,可於含臭氧氛圍中硬化該沉 積基板(步驟108)。沉積後的基板留在用於硬化的同一個基板處理區域中。基板之硬化溫度可約與形成含矽氮膜期間的基板溫度相同,以維持產率。或者,在硬化操作期間,可藉著升高該基板使基板靠近已加熱的面板或噴淋頭而提高溫度。不同實施例中,硬化操作期間的基板溫度可低於120℃、低於100℃、低於90℃、低於80℃或低於70℃。該基板溫度可高於沉積期間的基板溫度,在不同實施例中,可高於50℃、高於60℃、高於70℃或高於80℃。根據所揭露的附加實施例,任一個上述上限可與任一個上述下限組合而形成附加的基板溫度範圍。
該基板處理區域在硬化操作期間可不含電漿,以避免產生相對高濃度的氧原子。氧原子的存在可能過早封閉該含矽氮層的相對開放網狀結構。在實施例中,該基板處理區域中不存在電漿,以避免產生可能封閉靠近表面處之網狀結構且阻礙表面下之氧化作用的氧原子。於硬化步驟期間流入該基板處理區域內的臭氧流率可約200sccm或更高、約300sccm或更高,或約500sccm或更高。硬化步驟期間的臭氧分壓可約10托耳(Torr)或更高、約20托耳或更高,或約40托耳或更高。在某些條件下(例如,基板溫度介於約100℃至約200℃),發現該轉化作用實質完全,因此實施例中可無需在含氧環境進行相對高溫的退火。
在同一個基板處理區域中執行硬化操作,能實施多次 沉積-硬化循環,並減少每個循環所要求的厚度。此實施例中,該硬化操作僅需轉化一已減少厚度的含矽氮層。如此可減輕對該含臭氧環境的要求、容許較高的氧原子濃度,以及放寬該基板溫度的製程視窗(process window)。一些實施例中,在沉積-硬化循環的硬化期間存在電漿。其他實施例中,該基板處理區域不存在電漿,且該含臭氧環境僅含有小濃度的氧原子。不同實施例中,該含矽氮層於硬化前的膜層厚度可低於1500Å、低於1000Å、低於750Å或低於500Å。在實施例中,結合多次沉積-硬化循環所產生之所有含矽氧層的堆疊厚度可介於400Å至10,000Å間,其主要取決於應用用途。
於步驟109決定是否已達到目標總厚度。若未達目標,接著完成另一次沉積操作(步驟102至106)及另一次硬化操作(步驟108),且隨後再次將該含矽氧層之厚度與目標厚度作比較。一旦達到目標,基板可留在基板處理腔室中,或從基板處理腔室中移出且傳送至退火腔室以進一步轉化成氧化矽。
完成整數倍的沉積-硬化循環之後,可於含氧氛圍中退火該沉積基板(步驟110)。當引入含氧氛圍時,該沉積基板可留在用於硬化的同一個基板處理區域內,或者該基板可傳送至一引入含氧氛圍的不同腔室中。含氧氛圍可包含一或多種含氧氣體,例如,氧分子(O2)、臭氧(O3)、水蒸氣(H2O)、過氧化氫(H2O2)及氮氧化物(例如NO、NO2,等等)、以及其他含氧氣體。含氧氛圍亦可包含自 由基氧及羥基物種,例如氧原子(O)、氫氧化物(OH)等等,可在遠處產生並輸送至該基板腔室中。亦可存在含氧物種之離子。不同實施例中,基板的氧退火溫度可為約1100℃或更低、約1000℃或更低、約900℃或更低,或約800℃或更低。不同實施例中,基板的溫度可為約500℃或更高、約600℃或更高、約700℃或更高,或約800℃或更高。再一次根據所揭露的附加實施例,任一個上述上限可與任一個上述下限組合而形成附加的基板溫度範圍。
於氧退火期間,該基板處理區域中可存在或不存在電漿。進入CVD腔室的含氧氣體可包含一或多種化合物,該些化合物在進入基板處理區域之前已經活化,例如已自由基化、已離子化,等等。例如,該含氧氣體可包含自由基氧物種、自由基羥基物種等等,該些物種係藉著使較穩定之前驅化合物通過一遠端電漿源或通過利用噴淋頭而與基板處理區域分隔開的腔室電漿區域而活化。該些較穩定之前驅物可包括水蒸氣及過氧化氫(H2O2),而產生羥基(OH)之自由基與離子,以及該些較穩定之前驅物可包括氧分子及/或臭氧,而產生氧原子(O)之自由基與離子。
硬化及氧退火的含氧氛圍提供氧,以使含矽氮膜轉化成氧化矽膜(SiO2)。如前述,含矽氮膜中缺乏碳,會使最終的氧化矽膜中產生顯著較少的孔洞。亦使該膜在轉化成氧化矽的過程中產生較少的體積縮減(即,收縮)。例 如,由含碳之矽前驅物形成的矽-氮-碳層在轉化成氧化矽時,可收縮40體積%或更多,而實質不含碳的含矽氮膜收縮約15體積%或更少。
現參閱第2圖,另一流程圖顯示根據本發明實施例用以於基板間隙內形成氧化矽膜之方法200中的選定步驟。方法200可包括傳送一含有間隙的基板至一基板處理區域中(步驟202)。該基板可具有多個間隙,用於已形成在基板上之該些元件構件(例如,電晶體)之結構或分隔(spacing)。該些間隙可具有高度及寬度,且其高度比上寬度(即,H/W)所定義的深寬比(AR)顯著大於1:1,例如5:1或更多、6:1或更多、7:1或更多、8:1或更多、9:1或更多、10:1或更多、11:1或更多、12:1或更多,等等。許多情況中,高深寬比是因小的間隙寬度所造成,該間隙寬度的範圍約90奈米至約22奈米或更小,例如約90奈米或更小、65奈米或更小、45奈米或更小、32奈米或更小、28奈米或更小、22奈米或更小、16奈米或更小,等等。
不含碳之矽前驅物與一自由基氮前驅物在該基板處理區域內混合(步驟204)。一可流動的含矽氮層可沉積在該基板上(步驟206)。由於該層可流動,故該層能填入具有高深寬比的間隙中,而不會在填充材料的中心附近產生孔洞或細小隙縫。例如,沉積中的可流動性材料於完全填滿間隙之前,很少會因過早堵塞間隙的頂部而於間隙的中段內留下孔洞。
隨後,可在用以執行步驟204至206之沉積作用的同一個基板處理區域內硬化剛沉積的含矽氮層(步驟208)。在圖示的實施例中,未顯示出多次沉積-硬化循環,但可採用類似於第1圖所描述及顯示的重複方式執行多次沉積-硬化循環。若轉化不完全,可於含氧氛圍中退火該已部分轉化的含矽氧層(步驟210),以使該含矽氮層轉化成氧化矽。進一步的退火(未顯示)可於惰性環境中在較高的基板溫度下執行,以緻密化該氧化矽層。
於含氧氛圍中硬化及退火該剛沉積的含矽氮層以在該基板(包括基板間隙)上形成氧化矽層(步驟208)。在實施例中,步驟208及210的處理參數係與參照第1圖所述之步驟108及110具有相同範圍。如上述,相較於由含碳前驅物所形成的類似膜層(在熱處理步驟之前該層中存在有顯著量的碳)而言,上述氧化矽層具有較少孔洞及較少體積縮減。許多例子中,體積縮減要夠小(例如,約15體積%或更少),以避免於在用以填充、修復或消除形成於間隙內之空間的後續熱處理步驟中造成氧化矽收縮。
第3圖是從根據本發明實施例之含矽膜所獲得的傅立葉轉換紅外線光譜(FTIR)。剛沉積的含矽氮膜305顯示在908cm-1至933cm-1附近具有一強峰值,且在835cm-1至860cm-1附近有一強峰值,這顯示存在氫及氮。亦顯示在基板處理區域外部進行臭氧硬化之含矽氮層的FTIR光譜(310),以及在基板處理區域內部進行臭氧硬化 之含矽氮層的FTIR光譜(315)。在這兩種情況中,與氫相關的峰值皆減小,且其他峰值亦有相符的近似行為。這表示原位硬化的低溫硬化的效果與在專用腔室中進行硬化的效果類似。亦顯示經8次沉積-硬化循環處理之氧化矽的FTIR光譜320,該光譜320顯示該膜中殘留非常少的氫和氮。該多次沉積-硬化循環的相關FTIR光譜還顯示在1100cm-1附近有一個非常強的氧峰值,這表示Si-H-N良好地轉化成氧化矽。
示範性氧化矽沉積系統
可實施本發明實施例的沉積腔室尤其包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增強化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室及熱化學氣相沉積腔室、以及其他種類的腔室。可實施本發明實施例之CVD系統的具體範例包括CENTURA ULTIMA® HDP-CVD腔室/系統及PRODUCER® PECVD腔室/系統,可購自美國加州聖大克勞拉市(Santa Clara)的應用材料公司。
能與本發明示例性方法併用的基板處理腔室範例可包括如Lubomirsky等人於2006年5月30日申請發明名稱為「用於介電間隙填充之製程腔室(PROCESS CHAMBER FOR DIELECTRIC GAPFILL)」且共同受讓之美國專利臨時申請案60/803,499號中所顯示及描述的該些腔室,該案全文係以引用方式納入本文中以供各方面 參考。額外的示範系統可包括如美國專利案6,387,207號及6,830,624號中所顯示及描述之該些系統,此兩案亦採引用方式納入本文中以供各方面參考。
沉積系統之實施例可併入用以製造積體電路晶片的更大型製造系統中。第4圖顯示根據所揭露之實施例以沉積腔室、烘烤腔室及硬化腔室所構成的此類系統400。圖中,一對前開式晶圓盒(FOUPs)402提供基板(例如,直徑300毫米之晶圓),在置入晶圓處理腔室408a至408f其中一者之前,該些晶圓係由機械手臂404接收且放入一低壓保存區406中。第二機械手臂410可用以將基板晶圓從保存區406傳送至該些處理腔室408a至408f,以及將基板晶圓從該些該些處理腔室408a至408f傳回保存區。
該些處理腔室408a至408f可包含一或多個系統構件,用以沉積、退火、硬化及/或蝕刻基板晶圓上的可流動性介電膜。在一種配置中,兩對處理腔室(例如,腔室408c至408d及408e至408f)可用以在基板上沉積可流動性介電材料,以及第三對處理腔室(例如,腔室408a至408b)可用以退火所沉積之介電質。在另一種配置中,同樣的兩對處理腔室(例如,腔室408c至408d及408e至408f)可建構用來沉積及退火基板上的可流動性介電質,而第三對腔室(例如,腔室408a至408b)可用來執行所沉積之膜層的UV或電子束硬化。在又另一種配置中,三對腔室(例如,腔室408a至408f)全設計用以沉積及硬 化該基板上的可流動性介電膜,並且每一個腔室可設置成具有多個升降銷(lift pins)用以升高該基板使之朝向一已加熱噴淋頭,從而升高「整合」硬化步驟(「integrated curing operation」的溫度。在又另一種配置中,兩對處理腔室(例如,腔室408c至408d及408e至408f)可用於該可流動性介電質的沉積與UV或電子束硬化作用,且第三對處理腔室(例如,腔室408a至408b)可用以退火該介電膜。可在與不同實施例所示之製造系統分隔開的腔室中執行任何上述的一或多種製程。
此外,一或多個上述處理腔室408a至408f可建構作為溼式處理腔室。這些處理腔室包括於含有濕氣的氛圍中加熱該可流動性介電膜。因此,系統400的多個實施例可包括溼式處理腔室408a至408b及退火處理腔室408c至408d,以於所沉積的介電膜上執行溼式退火及乾式退火。
第5A圖顯示根據所揭露之實施例的基板處理腔室500。遠端電漿系統(RPS)510可處理一氣體,且該氣體隨後通過一氣體入口組件511。該氣體入口組件511內可看見兩個不同的氣體供應通道。第一通道512攜帶一氣體通過該遠端電漿系統(RPS)510,同時第二通道513則繞過該遠端電漿系統510。在所揭露的實施例中,該第一通道512可供製程氣體使用,該第二通道513則可供處理氣體(treatment gas)使用。且在蓋子(或導電性頂部)521及有孔隔板553之間顯示具有一絕緣環524,該 絕緣環524容許相對於該穿孔隔板553而施加交流(AC)電壓於蓋子521。該製程氣體行經第一通道512而進入腔室電漿區域520,且單獨利用腔室電漿區域520中的電漿或結合使用遠端電漿系統(RPS)510激發該製程氣體。腔室電漿區域520及/或遠端電漿系統510之結合可稱為在本文中的遠端電漿系統。該有孔隔板(亦稱噴淋頭)553將腔室電漿區域520與噴淋頭553下方的基板處理區域570隔開。噴淋頭553容許電漿存在於腔室電漿區域520中,以避免直接激發基板處理區域570中的氣體,同時仍容許已激發的物種從腔室電漿區域520行進至基板處理區域570中。
噴淋頭553設置於腔室電漿區域520與基板處理區域570之間,且容許在腔室電漿區域520內所創造出的電漿流(前驅物或其他氣體的已激發衍生物)通過多個貫穿該板厚度的通孔556。噴淋頭553亦具有一或多個中空體積551,該些體積551可填充蒸汽或氣體形態的前驅物(例如,含矽前驅物),且可通過多個小孔555而進入基板處理區域570但不直接進入腔室電漿區域520。在此揭露的實施例中,噴淋頭553的厚度大於該些通孔556之最小直徑550的長度。為使從腔室電漿區域520滲入基板處理區域570中的已激發物種維持有效濃度,該些通孔之最小直徑550的長度526可能受限於貫穿噴淋頭553途中所形成之該些通孔556的較大直徑部分。在所揭露的實施例中,該些通孔556之最小直徑550的長度 可能相當於或小於該些通孔556的最小直徑。
在所顯示的實施例中,噴淋頭553可經由該些通孔556分配含氧氣、氫氣及/或氮氣的製程氣體,及/或分配此類製程氣體經腔室電漿區域520中之電漿所激發的電漿流。實施例中,經由第一通道512引入遠端電漿系統510及/或腔室電漿區域520中的製程氣體可包含一或多種下述氣體:氧氣(O2)、臭氧(O3)、氧化亞氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、氨(NH3)、NxHy(包括N2H4)、甲矽烷、二矽烷、TSA及DSA。製程氣體亦包含一載氣,例如氦氣、氬氣、氮氣(N2),等等。第二通道513亦可輸送一製程氣體及/或一載氣,及/或膜硬化氣體以用於從正在成長或剛沉積之膜中移除不想要的組成(components)。電漿流可包含製程氣體的已離子化或中性衍生物,且亦在本文中亦可指自由基氧前驅物及/或自由基氮前驅物,該些前驅物係指所引入之製程氣體的原子狀要素。可採電阻方式或使用通過一包埋式通道的熱傳流體直接加熱噴淋頭553,或由腔室電漿區域520中的電漿間接加熱噴淋頭553。不論哪種方式,可於整合式硬化步驟期間藉著升高基板使基板接近該已加熱之噴淋頭553而升高基板溫度,且一旦硬化操作完成,隨後降低基板。
實施例中,該些通孔556的數目可介於約60至約2000個。通孔556可具有各種形狀,但最易製成圓形。所揭露的實施例中,該些通孔556的最小直徑550可介於約 0.5毫米(mm)至約20毫米,或介於約1毫米至約6毫米。亦可自由選擇通孔556的剖面形狀,該剖面形狀可製成錐形、柱形或兩種形狀之組合。不同實施例中,用以引導氣體進入基板處理區域570中的小孔555數目可介於約100至約5000個,或介於約500至約2000個。該些小孔555之直徑可介於約0.1毫米至約2毫米間。
第5B圖是噴淋頭553的底視圖,其用於與根據揭示實施例之處理腔室併用。噴淋頭553對應於第5A圖中所顯示的噴淋頭。該些通孔556繪示成在噴淋頭553底部處具有較大內直徑(ID)且在頂部處具有較小內直徑。小孔555實質平均地分佈在噴淋頭的整個表面上,甚至分佈在該些通孔556之間,以幫助提供更平均的混合。
當通過噴淋頭553中的該些通孔556的電漿流與來自中空體積551且通過該些小孔556之含矽前驅物相混合時,於基板處理區域570中藉由一基座(未顯示)所支撐的基板上產生一示範性膜。雖然可裝備基板處理區域570以承受用於其他製程(例如硬化)的電漿,但在該示範性膜的成長期間不存在電漿。
可在噴淋頭553上方的腔室電漿區域520或噴淋頭553下方的基板處理區域570中點燃電漿。電漿出現在腔室電漿區域520中,以從含氮氫氣體的流入氣流製造出自由基氮前驅物。在該處理腔室之導電性頂部521與噴淋頭553之間施加一典型介於射頻(RF)範圍內的交流(AC)電壓,以於沉積過程中在腔室電漿區域520內點燃電 漿。射頻功率供應器產生13.56MHz的高射頻頻率,但亦可產生單獨的其他頻率,或產生他種頻率與13.56MHz頻率之組合。
當基板處理區域570中的底部電漿啟動以硬化薄膜或清潔圍繞著基板處理區域570的內部表面時,該頂部電漿可能保持低功率或無功率。藉著在噴淋頭553與基座或腔室底部之間施加AC電壓而點燃在基板處理區域570內之電漿。當電漿存在時,可引導清潔氣體進入基板處理區域570內。
基座可具有一熱交換通道,熱交換流體可流經該熱交換通道以控制基板溫度。此種配置容許冷卻或加熱基板溫度以保持相對低溫(約從室溫至約120℃)。熱交換流體可包括乙二醇及水。基座的晶圓支撐盤(較佳為鋁、陶瓷或鋁和陶瓷之組合)亦可使用包埋式單圈加熱器元件採電阻性加熱以達到相對高溫(約120℃至約1100℃),包埋式單圈加熱器元件係建構成具有兩個完整的平行同心圓狀迴圈。該加熱器元件的外側部分可緊鄰支撐盤的周長而延伸,同時該加熱器元件的內側部分則沿著具有較小半徑之同心圓的路徑延伸。連接至加熱器元件的接線則通過該基座之軸桿(stem)。
利用一系統控制器控制該基板處理系統。一示範性實施例中,該系統控制器包含一硬碟機(hard disk drive)、一軟碟機(floppy disk drive)及一處理器。該處理器包含單板電腦(SBC)、類比及數位輸入/輸出板、介面卡 (interface board)及步進馬達控制器板(stepper motor controller board)。CVD系統的各個部件符合彈性開端式匯流排系統標準(Versa Modular European(VME)standard),該標準界定各種卡、卡籠及連接器的尺寸及類型。該VME標準亦定義匯流排結構具有一16位元之資料匯流排及一24位元之位址匯流排。
該系統控制器控制CVD機器的所有行動。該系統控制器執行系統控制軟體,該軟體係儲存在電腦可讀媒體中的電腦程式。較佳地,該媒體為硬碟機,但該媒體亦可為他種記憶體。該電腦程式包含多組指令,其指示一特定製程的時序、氣體混合、腔室壓力、腔室溫度、射頻功率大小、基座位置及其他參數。亦可使用儲存於他種記憶裝置(包括如軟碟機或其他適當驅動裝置)中的其他電腦程式對該系統控制器下達命令。
可利用該系統控制器執行一電腦軟體產品,以實施用以在基板上沉積膜層堆疊之製程,或實施用以清潔腔室之製程。能以任何習知的電腦可讀編輯語言撰寫該電腦軟體編碼,例如68000組合語言、C、C++、Pascal、Fortran或其他語言。使用習知文字編輯器將適合的程式編碼輸入於單個或多個檔案中,且儲存或內建於一電腦可用的媒體內,例如該電腦的記憶系統。若已輸入的編碼內容是高階語言,該編碼係經編譯,且所產生的編譯碼隨後與已預編譯之微軟視窗®常式庫的目標碼連結。為了執行該已連結且經編譯的目標碼,系統使用者啟用該目標 碼,使得該電腦系統載入記憶體中的編碼。隨後CPU讀取且執行該編碼以執行程式中確認的工作。
使用者與控制器之間的聯繫係透過平板觸控感應式監視器。在較佳實施例中,使用兩個監視器,一個安裝在清潔室壁中以供操作者使用,另一個安裝在室壁後方以供維修技術員使用。該兩個監視器可同時顯示相同資訊,此種情況下,每次只有一台監視器能接受輸入信息。操作者可觸碰該觸控感應式監視器的一指定區域,以選擇特定的螢幕或功能。所觸碰的區域改變其強調色或顯示新的項目單或螢幕,以確認操作者與觸控感應式監視器之間的通信。除觸控感應監視器之外,亦可額外使用諸如鍵盤、滑鼠或其他指示或通信裝置之其他裝置,或使用上述裝置來取代觸控感應式監視器,以容許使用者與系統控制器溝通。
文中所使用「基板(substrate)」一詞可能是上方具有或不具有膜層的支撐基板。該支撐基板可能是具各種摻雜濃度及摻雜模式(profile)的絕緣體或半導體,且可能例如是用於製造積體電路類型的半導體基板。「氧化矽層」可能包含少量濃度的其他元素組成,例如氮、氫、碳等等。在本發明的一些實施例中,氧化矽在本質上是由矽及氧所構成。處於「已激發狀態」的氣體係指一氣體中至少有一部份的氣體分子處在振動激發、解離及/或離子化狀態。氣體(或前驅物)可能是由兩種或多種氣體(前驅物)所構成之組合物。「溝渠(trench)」一詞用於全文中無疑 是指具有高水平深寬比的已蝕刻幾何結構。從表面上方看來,該些溝渠呈圓形、卵形、多角形、矩形或其他各種形狀。「通孔(via)」一詞係用以代表低深寬比之溝渠,可填充或尚未填充金屬以形成垂直的電性連結。「前驅物(precursor)」一詞係用以代表任何製程氣體或蒸發的液體液滴,其參與反應以移除一表面上的材料或沉積材料於表面上。
數個實施例已說明如上,熟悉該項技術者將理解可在不偏離本發明精神的情況下作出各種修飾、替代構造及等效物。此外,文中未描述許多已知的製程及元件,以避免無謂地混淆本發明。因此,上述內容不應用以限制本發明範圍。
應瞭解,當提出一數值範圍時,除文中另有明確指示,否則介於該範圍之上下限值之間的每個中間值(intervening value,至該下限值單位的十分之一)亦屬明確揭示。介於一所述範圍中的任何所述值或中間值與所述範圍內的任何其他所述值或中間值之間的每個較小範圍亦被涵蓋。這些較小範圍的上下限值可獨立地包含在該範圍內或排除於該範圍之外,且該些含有其中一個限值、不含限值或包含兩限值的各個小範圍亦為本發明所涵蓋,取決於所述範圍中是否有任何特別排除的限值。所述範圍可包含其中一個限值或兩限值均包含在內,且排除任一個限值或兩個限值皆排除的範圍亦為本發明所涵蓋。
除非上下文中另有清楚指示,否則本文及後附申請專利範圍中使用之單數型用語「一」、「一個」及「該」包括複數之意。因此,例如提及「一製程」時,包括多個此類製程;提及「該前驅物」時,包括一或多個前驅物及熟悉該項技術者已知的該些前驅物之等效物,及依此類推。
又,當用於本案說明書及後附申請專利範圍中時,「包括(comprise)」、「包含(comprising)」、「含有(include)」、「具有(including)」及「有(includes)」之用語係意欲載明所述之特徵、整數、組成或步驟的存在,但不排除存在或附加一或多個其他特徵、整數、組成、步驟、動作或基團。
100‧‧‧方法
102、104、106、108、109、110‧‧‧步驟
200‧‧‧方法
202、204、206、208、210‧‧‧步驟
305、310、315、320‧‧‧傅立葉轉換紅外線光譜
400‧‧‧處理系統
402‧‧‧前開式晶圓
404‧‧‧機械手臂
406‧‧‧低壓保持區域
408a、408b、408c、408d、408e、408f‧‧‧處理腔室
410‧‧‧第二機械手臂
500‧‧‧基板處理腔室
510‧‧‧遠端電漿系統
511‧‧‧氣體進入組件
512‧‧‧第一通道
513‧‧‧第二通道
520‧‧‧腔室電漿區
521‧‧‧蓋
524‧‧‧絕緣環
526‧‧‧通孔長度
550‧‧‧通孔之最小直徑
551‧‧‧中空體積
553‧‧‧多孔隔板
555‧‧‧小孔
556‧‧‧通孔
570‧‧‧基板處理區域
參照附圖及本案說明書其餘部份之內容,可進一步瞭解本發明之本質及優點,且於數個附圖中皆使用類似的元件符號來代表相似構件。在某些情況中,元件符號係以連字號連接一次標號,以表示多個相似構件中的其中一個構件。當一元件符號未載明現有的次標號時,則該元件符號係欲代表全體此類的相似元件。
第1圖係一流程圖,顯示根據本發明實施例之用以製造氧化矽膜的選定步驟。
第2圖係另一流程圖,顯示根據本發明實施例之用以 於基板間隙中形成氧化矽膜的選定步驟。
第3圖係一傳立葉轉換紅外線(FTIR)光譜,該光譜係獲自根據本發明實施例之含矽膜。
第4圖顯示根據本發明之實施例的基板處理系統。
第5A圖顯示根據本發明之實施例的基板處理腔室。
第5B圖顯示根據本發明實施例之基板處理腔室的噴淋頭。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
109‧‧‧步驟
110‧‧‧步驟

Claims (19)

  1. 一種於一基板處理腔室內之基板處理區域中的一基板上形成一含矽氧層之方法,該方法包括:形成一含矽氮層於該基板處理區域中的該基板上,其中形成該含矽氮層的步驟包括:使一穩定氣體流入一電漿區域,以產生電漿流;於不含電漿的基板處理區域中合併一含矽前驅物與該電漿流,其中該含矽前驅物係不含碳;及沉積一含矽氮層於該基板上;以及於該基板處理區域內的一含臭氧氛圍中硬化該含矽氮層,以形成該含矽氧層。
  2. 如申請專利範圍第1項所述之方法,更包括於該基板處理區域內的該基板上形成一第二含矽氮層,其中形成該第二含矽氮層之步驟包括:使該穩定氣體流入該電漿區域,以產生電漿流;於該基板處理區域中合併一含矽前驅物與該電漿流;及沉積該第二含矽氮層於該基板處理區域內的該基板上;以及於該基板處理區域內的一含臭氧氛圍中硬化該第二含矽氮層。
  3. 如申請專利範圍第1項所述之方法,其中該基板處理 區域在形成該含矽氮層的操作期間係不含電漿,以避免該含矽前驅物的直接電漿激發作用。
  4. 如申請專利範圍第1項所述之方法,其中該基板處理區域在硬化該含矽氮層的操作期間係不含電漿。
  5. 如申請專利範圍第1項所述之方法,其中該基板溫度於該硬化操作期間係高於沉積該含矽氮層之操作期間,但不高於超過50℃。
  6. 如申請專利範圍第1項所述之方法,其中在沉積該含矽氮層之操作期間的一基板溫度係低於100℃。
  7. 如申請專利範圍第1項所述之方法,其中在該硬化操作期間的一基板溫度係低於200℃。
  8. 如申請專利範圍第1項所述之方法,其中該含矽氮層之厚度小於1500Å或約1500Å。
  9. 如申請專利範圍第1項所述之方法,其中該基板被升高並朝向一已加熱之噴淋頭,以於該硬化操作期間加熱該基板。
  10. 如申請專利範圍第1項所述之方法,其中該穩定氣 體係一含氮氫氣體,且該電漿流包括一自由基氮前驅物。
  11. 如申請專利範圍第10項所述之方法,其中該含氮氫氣體包括氨。
  12. 如申請專利範圍第1項所述之方法,其中該含矽前驅物包括一含矽氮前驅物。
  13. 如申請專利範圍第1項所述之方法,其中該含矽前驅物包括N(SiH3)3
  14. 如申請專利範圍第1項所述之方法,其中該含矽氮層包括一不含碳的Si-N-H層。
  15. 如申請專利範圍第1項所述之方法,更包括在硬化操作之後,於一含氧氛圍中升高該基板的溫度至高於600℃或約600℃的一氧退火溫度。
  16. 如申請專利範圍第15項所述之方法,其中該含氧氛圍包含選自下列所組成之群組中的一或多個氣體:氧原子、臭氧及水蒸汽(H2O)。
  17. 如申請專利範圍第1項所述之方法,其中該基板經 圖案化且具有一間隙,該間隙之寬度約45奈米或更小,以及其中形成於該間隙內的該氧化矽層係實質無孔。
  18. 如申請專利範圍第1項所述之方法,其中該電漿區域是位於一遠端電漿系統中。
  19. 如申請專利範圍第1項所述之方法,其中該電漿區域係該基板處理腔室的一分隔區域,藉由一噴淋頭而與該無電漿基板處理區分隔開。
TW099146656A 2010-01-07 2010-12-29 自由基組成化學氣相沉積之原位臭氧硬化之方法 TWI516630B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29308210P 2010-01-07 2010-01-07
US12/972,711 US8304351B2 (en) 2010-01-07 2010-12-20 In-situ ozone cure for radical-component CVD

Publications (2)

Publication Number Publication Date
TW201134975A TW201134975A (en) 2011-10-16
TWI516630B true TWI516630B (zh) 2016-01-11

Family

ID=44306083

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099146656A TWI516630B (zh) 2010-01-07 2010-12-29 自由基組成化學氣相沉積之原位臭氧硬化之方法

Country Status (7)

Country Link
US (1) US8304351B2 (zh)
JP (1) JP2013516788A (zh)
KR (1) KR101837648B1 (zh)
CN (1) CN102714156A (zh)
SG (1) SG182333A1 (zh)
TW (1) TWI516630B (zh)
WO (1) WO2011084752A2 (zh)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
WO2011090626A2 (en) * 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG183873A1 (en) * 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
CN106908990A (zh) * 2016-03-16 2017-06-30 宁波长阳科技股份有限公司 一种量子点膜及其制备方法
US9986753B2 (en) 2016-10-06 2018-06-05 Alfonso Campalans Quad apparatus, method and system
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN117524976A (zh) 2017-05-13 2024-02-06 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US12094709B2 (en) 2021-07-30 2024-09-17 Applied Materials, Inc. Plasma treatment process to densify oxide layers

Family Cites Families (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
TW200715376A (en) 2005-07-08 2007-04-16 Aviza Tech Inc Method for depositing silicon-containing films
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR101304726B1 (ko) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 화학적 증착에 의한 질화규소 필름 및/또는 산화질화규소 필름의 침착 방법
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011090626A2 (en) 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality

Also Published As

Publication number Publication date
US8304351B2 (en) 2012-11-06
KR20120125623A (ko) 2012-11-16
JP2013516788A (ja) 2013-05-13
US20120003840A1 (en) 2012-01-05
CN102714156A (zh) 2012-10-03
SG182333A1 (en) 2012-08-30
TW201134975A (en) 2011-10-16
WO2011084752A2 (en) 2011-07-14
KR101837648B1 (ko) 2018-04-19
WO2011084752A3 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
TWI516630B (zh) 自由基組成化學氣相沉積之原位臭氧硬化之方法
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TWI463566B (zh) 低溫氧化矽轉換
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
TWI517298B (zh) 經控制之氣隙的形成
JP2013516763A (ja) フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
TW201308432A (zh) 降低釋氣的覆蓋層
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
TW201417179A (zh) 低成本流動性介電質薄膜
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
TW201323649A (zh) 遠端電漿燒入處理
KR20120084758A (ko) 평탄화-후 치밀화
KR20120091235A (ko) 인장성 막들에 대한 응력 관리
TW201137976A (en) Chemical vapor deposition improvements through radical-component modification