CN111095524B - 用于使用保护阻挡物层制造半导体结构的设备和方法 - Google Patents

用于使用保护阻挡物层制造半导体结构的设备和方法 Download PDF

Info

Publication number
CN111095524B
CN111095524B CN201880058936.6A CN201880058936A CN111095524B CN 111095524 B CN111095524 B CN 111095524B CN 201880058936 A CN201880058936 A CN 201880058936A CN 111095524 B CN111095524 B CN 111095524B
Authority
CN
China
Prior art keywords
layer
liner layer
annealing
chamber
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880058936.6A
Other languages
English (en)
Other versions
CN111095524A (zh
Inventor
P·曼纳
A·B·玛里克
K·莱斯彻基什
S·文哈弗贝克
江施施
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111095524A publication Critical patent/CN111095524A/zh
Application granted granted Critical
Publication of CN111095524B publication Critical patent/CN111095524B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Abstract

提供形成包括含硅(Si)层或硅锗(SiGe)层的半导体结构的方法。此方法包括在半导体结构之上沉积保护阻挡物(例如,衬垫)层,在衬垫层之上形成可流动介电层,以及将可流动介电层暴露于高压蒸气。一种群集系统包括配置以形成半导体结构的第一沉积腔室、配置以执行衬垫沉积工艺以形成衬垫层的第二沉积腔室、配置以在衬垫层之上形成可流动介电层的第三沉积腔室、配置以将可流动氧化物层暴露于高压蒸气的退火腔室。

Description

用于使用保护阻挡物层制造半导体结构的设备和方法
背景技术
技术领域
本公开内容的实施例总体上涉及用于使用保护阻挡物(例如,衬垫)层制造半导体结构的方法和设备。
对相关技术的描述
形成在半导体器件中的沟槽的宽度已经变窄至使得沟槽深度比上沟槽宽度的深宽比变得高到足以使得以介电材料填充沟槽成为具有挑战性。在低温下沉积并在高压蒸气下退火的可流动介电材料(诸如硅氧化物(SiOx))可以用有质量的氧化物材料来填充高深宽比沟槽,而不形成任何缝隙或孔洞。然而,暴露至蒸气的任何下方材料(诸如硅(Si))可以转变为氧化物材料,这影响下方层的品质。转变的氧化物的厚度可为数埃例如,当暴露于高压蒸气时,硅锗(SiGe)材料可能特别地易于遭受氧化。
因此,本领域中有着对于解决上述问题的制造方法和设备的需求。
发明内容
本公开内容的实施例总体上涉及用于使用保护阻挡物(例如,衬垫)层来制造半导体的方法和设备。
在一个实施例中,提供一种用于处理基板的方法。此方法包括在基板上形成半导体结构,其中半导体结构包括含硅(Si)层或硅锗(SiGe)层。此方法也包括执行衬垫沉积工艺以在半导体结构之上形成衬垫层。此方法也包括执行可流动层沉积工艺以在衬垫层之上沉积可流动层。此方法也包括通过将可流动层的表面暴露于高压蒸气来执行退火工艺,其中衬垫层防止在退火工艺期间的下方含Si层或SiGe层的氧化,衬垫层的至少一部分通过在退火工艺期间的氧化从而逐渐减少。
在另一实施例中,提供一种能处理基板的群集系统。此群集系统包括第一沉积腔室,所述第一沉积腔室配置以在基板上形成半导体结构,其中半导体结构包括含硅(Si)层或硅锗(SiGe)层。第二沉积腔室配置以在半导体结构之上形成衬垫层。第三沉积腔室配置以在衬垫层之上形成可流动层。退火腔室配置以通过将可流动氧化物层暴露于高压蒸气来执行退火工艺,其中衬垫层防止在退火工艺期间的下方含Si层或SiGe层的氧化。衬垫层的至少一部分通过在退火工艺期间氧化从而逐渐减少。
附图说明
为了可详细地理解本公开内容的上述特征,可通过参照实施例,某些实施例示出在附图中,从而获得简短总结于上的本公开内容的更具体的说明。然而,将注意到附图仅示出本公开内容的典型实施例,且因而不被认为限制本公开内容的范围,因为本公开内容可允许其他等效的实施例。
图1示出显示根据本公开内容的实施例的在半导体结构之上形成可流动介电层的制造工艺的流程图。
图2A至图2F示出根据本公开内容的实施例的在图1的每一个制造操作执行之后的半导体结构的一部分的示意性剖面视图。
图3示出根据本公开内容的实施例的在执行退火工艺之后具有多个层的各种组合沉积于其上的半导体结构的示意性剖面视图。
图4为根据本公开内容的实施例的可用于执行参照图1所描述的制造工艺的集群系统的示意性顶视图。
为了易于理解,已经尽可能地使用相同的附图标记指示附图中共通的相同元件。料想到公开在一个实施例中的元件可以有利地使用在其他实施例中而无需特定叙述。
具体实施方式
本公开内容的实施例总体上涉及用于使用保护阻挡物(例如,衬垫)层来制造半导体结构的方法和设备。特别地,本文所呈现的方法包括:形成包括含硅(Si)层或硅锗(SiGe)层的半导体结构;在半导体结构之上沉积衬垫层;在衬垫层之上形成可流动层;以及将可流动层暴露于高压蒸气,其中衬垫层在退火工艺期间防止下方含Si层或SiGe层的氧化,且衬垫层的至少一部分通过在退火工艺期间的氧化而逐渐减少。
图1示出显示根据本公开内容的实施例的用于在半导体结构之上形成可流动介电层的制造工艺100。制造工艺100可为半导体器件(例如,包括平坦结构、鳍式场效应晶体管(FinFET)结构或水平环绕式栅极(horizontal gate-all-around;hGAA)结构)的多操作制造工艺的一部分。制造工艺100的各操作可表示代码的模块、区段、或部分,其包括一个或多个可执行指令以实施(多个)特定的逻辑功能。在某些实施例中,制造工艺的操作可同时地发生、实质上同时地发生、或以不同于图1所示的次序而发生。制造工艺100的各操作以及操作的组合可通过特殊用途的基于硬件的系统来实施,此特殊用途的基于硬件的系统执行特定的功能或动作、或特殊用途的硬件和计算机指令的组合。
制造工艺开始于操作102,其中半导体结构形成在基板上。半导体结构包括一层或多层含硅材料,诸如硅(Si)材料或含硅锗(SiGe)材料。含Si层或SiGe层可外延生长在基板的表面之上。
基板可为能具有沉积于其上的材料的任何的基板,诸如硅基板,例如硅(掺杂或未掺杂)、结晶硅、氧化硅、掺杂或未掺杂的多晶硅、或类似物、锗基板、硅锗(SiGe)基板、III-V化合物基板,诸如砷化镓基板、碳化硅(SiC)基板、图案化或未图案化的绝缘体上半导体(SOI)基板、碳掺杂的氧化物、氮化硅、诸如液晶显示器(LCD)之类的显示器基板、等离子体显示器、电致发光(EL)灯显示器、太阳能阵列、太阳能面板、发光二极管(LED)基板、玻璃、蓝宝石、或任何其他材料,诸如金属、金属合金、以及其他导电材料。一个或多个电子器件(诸如各种N型金属氧化物半导体(NMOS)和/或P型金属氧化物半导体(PMOS)器件,诸如晶体管、电容器、电阻器、二极管、光二极管、保险丝等)可形成在基板中。料想基板不局限于任何特定尺寸或形状。例如,基板可为圆形基板,具有200mm直径、300mm直径、或其他直径,诸如450mm等。基板也可为任何多边形、方形、矩形、弯曲、或者非圆形的工件。
在操作104处,半导体基板被图案化和蚀刻以在基板上形成特征,诸如沟槽或间隙。例如,半导体基板可在平版印刷术系统中图案化和在蚀刻腔室中蚀刻。在一个实施例中,诸如极紫外光图案化工艺之类的光刻工艺可用于处理半导体结构。在一个实施例中,被蚀刻进半导体结构中的沟槽或间隙的深宽比为约1:1、约2:1、约3:1、约5:1、约10:1、约15:1、约20:1、约30:1、约50:1、约100:1、或更大。
在一个实施例中,沟槽或间隙的深宽比在约10:1与约30:1之间,例如约15:1。术语“深宽比”指代例如形成在基板中的沟槽或间隙之类的特定特征的高度尺寸比上宽度尺寸的比例。
在操作106处,保护阻挡物(例如,衬垫)层形成在半导体结构的侧壁上,同时基板定位在沉积腔室中。在一个实施例中,例如通过化学气相沉积、原子层沉积、或者外延沉积来沉积衬垫层。在另一实施例中,衬垫层通过合适工艺来形成(即,生长),诸如热氧化工艺或热氮化工艺。衬垫层防止在可流动介电层沉积的沉积期间和退火工艺期间半导体结构或基板的下方层(例如,含Si层或SiGe层)的氧化。
在一个实施例中,衬垫层可由氧化物材料、氮化物材料、或氮氧化物基材料来形成。例如,衬垫材料可为氧化硅(SiO2)、氮化硅(Si3N4,也简称SiN)、或硅氮氧化物(SiOxNy),诸如SiON或Si2N2O。在一个实施例中,使用沉积腔室通过可流动化学气相沉积(CVD)工艺来沉积氧化物材料。合适的沉积腔室可包括高密度等离子体CVD腔室、等离子体增强CVD腔室、次大气压CVD腔室等等。可适于形成可流动氧化物或氮化物层的合适设备的示例包括系统或/>系统,两者皆可由加利福利亚州圣克拉拉的应用材料公司获得。料想也可使用其他合适的沉积腔室,包括那些来自其他制造者的腔室。
在操作108处,可流动介电层形成在半导体结构的衬垫层之上。本公开内容的可流动介电层可包括任何介电层。在一个实施例中,介电层是含硅层,其可包括但不限于SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON或SiN。在一个示例中,为了形成可流动介电层,含硅前驱物、氧基自由基前驱物、以及氮基自由基前驱物被导入沉积腔室,以在基板之上形成可流动介电层。额外地或可替换地,可流动介电层可不含有可追踪的量的碳(即,是无碳的或基本上无碳的)。
可流动介电层可沉积在基板的暴露表面上且沉积进入形成于基板中的沟槽或间隙中。介电层的可流动性可至少部分地由于沉积层中的短链聚硅氮烷聚合物的存在。例如,沉积层可具有硅氮烷型Si—NH—Si主干(即,Si—N—H层)。允许短链聚合物的形成和可流动性的氮可源自于自由基前驱物或含硅前驱物。介电层的可流动性使得介电层能够填充具有高深宽比的沟槽或间隙,而不在沟槽中创造孔隙。尤其是,可流动介电层以在沟槽的侧壁上最少的沉积以由下往上的方式填充沟槽。介电层的可流动性随着可流动介电层的沉积而减少。介电层的可流动性在随后的退火工艺期间被移除。
在一个实施例中,合适的含硅前驱物包括有机硅化合物,其具有约0至约6的氧比上硅原子的比例。合适的有机硅化合物可包括硅氧烷化合物、包括一个或多个卤素部分(例如,氟、氯、溴、或碘)的卤化硅氧烷化合物,诸如四氯硅烷、二氯二乙氧基硅氧烷(dichlorodiethoxysiloxane)、氯三乙氧基硅氧烷(chlorotriethoxysiloxane)、六氯二硅氧烷(hexachlorodisiloxane)、和/或八氯三硅氧烷(octachlorotrisiloxane)、以及氨基硅烷,诸如三硅烷胺(trisilylamine;TSA)、六甲基二硅氮烷(hexamethyldisilazane;HMDS)、杂氮硅三环(silatrane)、四(二甲基氨基)硅烷(tetrakis(dimethylamino)silane)、双(二乙基氨基)硅烷(bis(diethylamino)silane)、三(二甲氨基)氯硅烷(tris(dimethyl-amino)chlorosilane)、以及甲基杂氮硅三环(methylsilatrane)。也可使用其他含硅前驱物,诸如硅烷、卤化硅烷、有机硅烷、以及前述物的任何组合。硅烷可包括甲硅烷(SiH4)以及具有实验式SixH(2x+2)的更高阶硅烷,诸如乙硅烷(Si2H6)、丙硅烷(Si3H8)、以及丁硅烷(Si4H10)、或其他更高阶硅烷,诸如聚氯硅烷(polychlorosilane)。
氧基自由基前驱物可包括氧自由基,其由以下物质形成:氧(O2)、臭氧(O3)、氮氧化合物(诸如NO、NO2、或N2O)、氢氧化合物(诸如水或过氧化物)、碳氧化合物(诸如一氧化碳或二氧化碳)、和其他含氧前驱物、以及前述物的任何组合。氧自由基可远程地产生并与含硅前驱物一起导入。在导入沉积腔室之前,可例如使用远程等离子体源(其可具有CCP(电容耦合等离子体)或ICP(感应耦合等离子体)配置)来活化氧基自由基前驱物。
氮基自由基前驱物可包括氮自由基,其由以下物质形成:氮(N2)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、氨(NH3)、以及前述物的任何组合。氮自由基可远程地产生并与含硅前驱物和氧基自由基前驱物一起导入。在导入沉积腔室之前,可例如使用远程等离子体源(其可具有CCP(电容耦合等离子体)或ICP(感应耦合等离子体)配置)来活化氮基自由基前驱物。
在某些实施例中,氧基自由基前驱物以第一容积流率流入沉积腔室,且含硅前驱物以第二容积流率流入沉积腔室。在一个实施例中,第一容积流率比上第二容积流率的比率在约0.3:1与约0.9:1之间,诸如约0.5:1至约0.7:1之间,例如约0.6:1。
在某些实施例中,氮基自由基前驱物以第一容积流率流入沉积腔室,且含硅前驱物以第二容积流率流入沉积腔室。在一个实施例中,第一容积流率比上第二容积流率的比率在约0.2:1与约0.8:1之间,诸如约0.4:1至约0.6:1之间,例如约0.5:1。
料想若使用含有氧和氮自由基两者的自由基前驱物,则可省略氧基自由基前驱物或氮基自由基前驱物。
含硅前驱物、氧基自由基前驱物、以及氮基自由基前驱物可流入沉积腔室并在约0摄氏度与约100度(例如,约65摄氏度)之间的温度下反应。在可流动介电层的形成期间,沉积腔室的压力可维持在约0.1托与约10托之间,例如约0.5托与约6托之间。
在操作110处,半导体结构在退火腔室中经受高压退火工艺。在退火工艺之后,可流动介电层展现更高的密度、更佳的稳定性、以及可耐受更高的温度。在一个实施例中,在退火工艺之前可执行可选的固化工艺。
在高压退火工艺110期间,将退火气体导入具有基板定位于其中的退火腔室。在一个实施例中,退火气体包括氧成分。退火气体也可包括氢成分。在一个实施例中,退火气体包括蒸气和/或蒸气与氧的混合物中的一者。在一个实施例中,退火气体进一步包括以下物质中的一者:臭氧、氧、水蒸气、重水、过氧化物、含氢氧化物化合物、氧同位素(14、15、16、17、18等)、以及氧的非同位素和/或水。过氧化物可为气态的过氧化氢。在某些实施例中,退火气体是包括氢氧离子的氧化剂,诸如但不限于水蒸气或蒸气形式(例如,蒸气)的重水。
在一个示例中,退火气体是干燥蒸气或过热蒸气。干燥蒸气当进入退火腔室时变成过热蒸气。在处理半导体基板的退火腔室的内部表面的温度被维持以防止退火气体的凝结。例如,暴露于退火气体的退火腔室的表面的温度维持在约200摄氏度与约600摄氏度之间。
在退火工艺期间,在退火腔室内的退火气体的压力维持在约1巴与约60巴之间。例如,在退火腔室内的处理气体的压力维持在约2巴以上,诸如例如大于约10巴。在另一示例中,在退火腔室内的退火气体维持在约10巴与约60巴之间的压力下,诸如约20巴与约50巴之间。退火工艺110的处理时间(例如,持温时间)可在约5分钟与约120分钟之间,诸如约30分钟与90分钟之间。
图2A至图2F示出根据本公开内容的实施例的在各制造操作被执行之后的半导体结构的一部分的示意性剖面视图。
图2A示出在多层沉积在基板202之上之后的半导体结构200A的一部分的示意性剖面视图。在一个实施例中,基板202可为块体半导体基板,其中基板包括半导体材料。块体半导体基板可包括任何合适的半导体材料和/或半导体材料的组合,以用于形成半导体结构。在一个实施例中,基板202的半导体材料包括硅材料。在某些实施例中,基板202的半导体材料是掺杂材料,诸如n掺杂的硅(n-Si)或p掺杂的硅(p-Si)。
半导体结构200A包括多层。在一个实施例中,半导体结构200A包括第一层204、第二层206、以及第三层208。第二层206可由至少一种III-V材料所形成,诸如硅锗(SiGe)材料。在一个示例中,第二层206具有锗含量为约10%与约50%之间,诸如约20%与约40%之间。第二层206的硅含量可为约50%与90%之间,诸如约60%与约80%之间。在一个实施例中,使用外延化学气相沉积工艺来沉积第二层206。
在一个实施例中,第一层204由含硅材料所形成,而第三层208由二氧化硅所形成。在另一实施例中,当半导体结构200A由含SiGe材料所制造时,第一层204、第二层206以及第三层208中的每一者为含SiGe层。在又另一实施例中,第一层204和第三层208由任何合适材料所形成,这取决于半导体结构的功能。
图2B示出半导体结构200B的一部分的示意性剖面视图。在图2B中所示的半导体结构200B对应于在执行图案化工艺与蚀刻工艺之后的在图2A中所示的半导体结构200A。半导体结构200B的边缘已被蚀刻。因此,沟槽或间隙可形成在相邻半导体结构之间,诸如半导体结构200B和相邻半导体结构。
在一个实施例中,诸如极紫外光图案化工艺之类的光刻工艺可用于蚀刻半导体结构200A。在另一实施例中,可使用自对准双重或四重图案化工艺以图案化半导体结构200A。
用以蚀刻半导体结构200A的示例蚀刻工艺是反应性离子蚀刻(RIE)工艺。料想可使用类似和其他的蚀刻工艺。在一个实施例中,可使用氯、溴、或氟基化学品执行RIE工艺以各向异性地蚀刻半导体结构200A。
图2C示出半导体结构200C的一部分的示意性剖面视图。半导体结构200C类似于图2B中的半导体结构200B,但半导体结构200C包括经由衬垫沉积工艺被沉积在半导体结构200B之上的衬垫层210。衬垫层210可由硅氮化物(SiN)或硅氮氧化物(SiOxNy)所形成,诸如SiON或Si2N2O。
对衬垫层210执行退火工艺,退火工艺将衬垫层210逐渐地转变成氧化物。衬垫层210至氧化物的转变速率取决于各种因素,诸如退火温度、蒸气的压力、可流动介电层的性质(例如,材料类型和厚度)、退火氧化剂的性质(例如,氧化剂类型和浓度)、和/或退火时间。衬垫层210的厚度在退火工艺期间可改变。退火工艺的性质可影响衬垫层210的厚度。例如,随着退火温度、蒸气的压力、退火时间和/或可流动介电层厚度的增加,衬垫层210的厚度可增加。此外,随着退火温度、蒸气的压力、退火时间、和/或可流动介电层厚度的减少,衬垫层210的厚度可减少。
若整个衬垫层210在退火工艺之前完全地氧化,下方的第三层208和第二层206可开始氧化,造成第三层208和第二层206的缩减品质。因此,将沉积的衬垫层210的厚度被确定以在后续工艺期间(诸如,可流动氧化物沉积工艺和退火工艺)提供下方的第三层208和第二层206对于氧化的足够防护。另一方面,衬垫层210的厚度应足够薄以满足半导体集成电路的密度。
可基于留存在退火工艺的结束处的衬垫210的厚度来确定衬垫层210的厚度。在一个实施例中,衬垫层210的厚度可留存为零(0)或基本上接近于零(0)。在另一实施例中,留存的衬垫层210的厚度可在特定范围中,例如,在约与约/>之间,这取决于半导体集成电路的尺寸要求和/或性能要求,诸如功率消耗、操作速度或密度。
在一个实施例中,衬垫层201的初始宽度可在约与约/>之间,诸如约/>与约/>之间,例如,约/>料想衬垫层210可适用于在后续退火工艺期间防止层204、206、208的氧化。因此,衬垫层210应沉积具有一厚度,所述厚度在后续工艺期间(诸如,可流动氧化物沉积工艺和/或退火工艺)提供下方的含Si层或SiGe层对于氧化的足够保护。又,衬垫层210的厚度应确定为使得在后续工艺之后的衬垫层210的厚度达到半导体集成电路的尺寸要求。在一个实施例中,可基于如之后参照图3所述的衬垫层210的所得厚度来确定衬垫层210的厚度。
图2D示出基板202和半导体结构200D的一部分的示意性剖面视图。半导体结构200D是在介电材料层212沉积之后的在图2C中示出的半导体结构200C。在一个实施例中,介电材料层212是可流动介电层。可流动介电层由介电材料形成,诸如氧化硅材料。介电材料层212可使用高密度等离子体CVD系统、等离子体增强CVD系统、和/或次大气压CVD系统等其他系统来形成。可适于形成介电材料层212的CVD的示例包括ULTIMA HDP系统和ETERNA/>系统,两者可从加利福尼亚州圣克拉拉的应用材料公司获得。料想也可使用来自其他制造者的其他合适CVD系统。
图2E和2F分别示出半导体结构200E和200F的一部分的示意性剖面视图。半导体结构200E和200F对应于在执行退火工艺之后的图2D中所示的半导体结构200D。执行退火工艺以将介电材料层212致密成目标层的组成和品质。在退火工艺期间,衬垫层210逐渐地转变成氧化物。当发生衬垫层210的氧化时,衬垫层210的厚度和宽度减少。在一个实施例中,在退火工艺之后,一部分的衬垫层210留下,如图2E中所示。在另一实施例中,整个衬垫层210被氧化,如图2F中所示。
在一个实施例中,退火工艺包括干燥蒸气退火工艺。蒸气退火工艺可在约200摄氏度与约600摄氏度之间下被执行,诸如约400摄氏度与约500摄氏度之间。蒸气退火工艺可执行持续约5分钟与约120分钟之间的时间量,例如,约100分钟。在一个实施例中,可执行干法退火工艺持续约60分钟。
在另一实施例中,可使用湿法蒸气退火工艺和干法退火工艺两者。在此实施例中,可在湿法蒸气退火工艺之后执行干法退火工艺。
图3示出根据本公开内容的实施例的在执行退火工艺之后具有多个层沉积于其上的半导体结构350、352、354、356、358、以及360的剖面视图。执行在半导体结构350、352、354、356、358、以及360上的退火工艺的结果可用于确定衬垫层的厚度,其在后续退火工艺期间提供下方的含Si层或SiGe层对于氧化的足够保护。
半导体结构350、352、以及354示出在400摄氏度的温度、30巴的压力、1小时的处理时间、以及小于2.5的湿法蚀刻速率比率(WERR)下实行的退火工艺的结果。半导体结构350包括暴露于上述退火工艺的SiO层302和SiGeOx层304。在退火工艺之前,SiO层302具有约的厚度而SiGe层具有约/>的厚度。在退火工艺之后,SiGe层转变成SiGe氧化(SiGeOx)层304。
半导体结构352包括设置在具有约的厚度的氮化硅(SiN)层308上的具有约厚度的SiO层306。SiN层308设置在具有约/>的厚度的SiGe层310上。在退火工艺之后,小部分的SiN层308被氧化。然而,相较于半导体结构350的SiGeOx层304,SiN层308基本上减少SiGe层310的氧化量,从而使得SiGe层310基本上没有发生氧化。
半导体结构354包括设置在具有约的厚度的SiN层314上的具有约/>的厚度的SiO层312。SiN层设置在具有约/>的厚度的SiGe层316上。在退火工艺之后,小部分的SiN层314被氧化。然而,相较于半导体结构350的SiGeOx层304,SiN层314基本上减少SiGe层316的氧化量,从而使得SiGe层316基本上没有发生氧化。
缺少在半导体结构352上的SiGe层310的氧化以及缺少在半导体结构354上的SiGe层316的氧化指示出具有约或更大厚度的SiN层在上述的退火工艺期间基本上减少拥有具有约/>的厚度的SiO层的半导体结构的下方SiGe层的氧化。
半导体结构356、358、以及340示出在450摄氏度的温度、30巴的压力、1小时的处理时间、以及小于2.0的WERR下实行的退火工艺的结果。半导体结构356包括设置在具有约的厚度的SiN层322上的具有约/>的厚度的SiO层320。SiN层322设置在具有约/>的厚度的SiGe层324上。在退火工艺之后,小部分的SiN层322被氧化。然而,SiGe层324保持完整而基本上没有氧化。
半导体结构358包括设置在具有约的厚度的SiN层328上的具有约/>厚度的SiO层326。SiN层328设置在具有约/>的厚度的SiGe层330上。在退火工艺之后,整个SiN层328被氧化。然而,SiGe层330基本上保持完整而基本上没有氧化。
半导体结构360包括设置在具有约的厚度的SiN层334上的具有约/>的厚度的SiO层332。SiN层334设置在具有约/>的厚度(在退火工艺之前)的SiGe层上。在退火工艺之后,大部分的SiN层334被氧化。此外,具有约/>的厚度的SiGe层的一部分(即,SiGeOx层336)被氧化。未被氧化的SiGe层338的其余部分具有约/>的厚度。
缺少分别氧化为半导体结构356和358的SiGe层324和330、以及关于SiGeOx层304的小SiGeOx层336指示出具有约或更大厚度的SiN层在上述的退火工艺期间基本上减少包括具有约/>的厚度的SiO层的半导体结构的下方SiGe层的氧化。
图4为根据本公开内容的实施例的可用于执行图1所示的制造工艺的群集系统480的示意性顶视图。群集系统480为包括多个腔室(例如,工艺腔室490A-490D、服务腔室491A-491B等)的模块系统,所述多个腔室执行各种功能,包括:基板中心找寻和定位、除气、退火、沉积、蚀刻等。
群集系统480的工艺腔室490A-490D包括沉积腔室、蚀刻腔室、等离子体腔室、以及退火腔室,其配置以执行制造工艺100的至少部分,且可进一步包括诸如离子植入腔室之类的腔室等。
腔室490A-490D包括处理腔室,其包括在腔室中形成工艺容积的腔室壁、用于在工艺容积内支撑基板的基板支撑件、用于在工艺容积中调节压力的压力调节器、用于将气体提供到工艺容积的气体入口、以及从工艺容积排出气体的气体出口。
等离子体腔室包括至少一个电极,以将功率提供到等离子体腔室封闭,以用于在其中产生和维持等离子体。等离子体腔室也包括电耦接到至少一个电极的至少一个RF功率源。
蚀刻腔室包括蚀刻气体源,以将蚀刻气体供给至处理腔室中。沉积腔室包括前驱物气体源,以将反应气体引入处理腔室。退火腔室包括退火气体源以将退火气体引入处理腔室。离子植入腔室包括电弧腔室、定位在电弧腔室内的灯丝、以及定位在灯丝与电弧腔室之间的推斥极(repeller)结构。
实践本公开内容的实施例的群集系统480包括第一沉积腔室,其配置以在基板上形成半导体结构,其中半导体结构包括含硅(Si)层或硅锗(SiGe)层。群集系统480也包括:蚀刻腔室,所述蚀刻腔室配置以蚀刻图案化半导体结构;和第二沉积腔室,所述第二沉积腔室配置以执行衬垫沉积工艺以在半导体结构之上形成衬垫层。群集系统480的第三沉积腔室配置以执行可流动层沉积工艺以在衬垫层之上形成可流动层。群集系统480的退火腔室配置以通过将可流动层暴露于高压蒸气以执行退火工艺。群集系统480进一步包括平版印刷术装置以使用极紫外光来图案化半导体结构。
群集系统480的多个腔室490A-490D安装到中央真空传送腔室488,中央真空传送腔室488容纳适用于在腔室490A-490D之间传送基板的机器人489。真空传送腔室488保持在真空状态下并提供用于将基板从一个腔室传送到另一腔室和/或传送到定位在群集系统480的前端处的装载锁定腔室484的中间阶段。前端环境483定位以选择性地连通装载锁定腔室484。定位在前端环境483中的舱装载器485能线性和旋转移动(箭头482)以在装载锁定腔室484与安装在前端环境483上的多个舱487之间传送基板盒。
群集系统480也包括控制器481,其被编程以执行在群集系统880中实施的各种处理方法。例如,控制器481可配置以控制来自气体源的各种前驱物和工艺气体的流动并控制与材料沉积或蚀刻工艺关联的处理参数。控制器481包括可编程中央处理单元(CPU)479,其可与耦接到群集系统480的各种部件的存储器477、以及大容量存储装置、输入控制单元、以及显示器单元(未示出)(诸如功率供应、时钟、高速缓存、输入/输出(I/O)电路等)一起操作,以助于控制基板处理。控制器481也包括通过群集系统480中的传感器来监测基板处理的硬件。测量诸如基板温度、腔室大气压力等的系统参数的其他感测器也可向控制器481提供信息。
为了促进对上述群集系统480的控制,CPU 479可为可用在工业环境中的任何形式的通用计算机处理器中的一种,诸如可编程逻辑控制器(PLC),以用于控制各种腔室和子处理器。存储器477耦接到CPU 479且存储器477为非暂态的并可为一种或多种易于获得的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘驱动、硬盘或任何其他形式的数字存储(本地的或远程的)。支持电路475耦接到CPU 479,以用于以常规方式来支持处理器。沉积、蚀刻、退火、以及其他工艺通常典型地作为软件程序被存储在存储器477中。软件程序也可被第二CPU(未示出)存储和/或执行,第二CPU位于被CPU 479控制的硬件的远程。
存储器477为计算机可读存储介质的形式,其含有当通过CPU 479被执行时促进群集系统480的操作的指令。存储器477中的指令为程序产品的形式,诸如实施本公开内容的方法的程序。程序代码可遵照若干不同程序语言中的任意一种。在一个示例中,本公开内容可被实施作为存储在用于与计算机系统一起使用的计算机可读存储介质上的程序产品。程序产品的(多个)程序包括实施例的功能(包括本文所述的方法)。说明性计算机可读存储介质包括但不限于:(i)不可写存储介质(例如,计算机内的只读存储器装置,诸如可通过CD-ROM机读取的CD-ROM盘、闪存、ROM芯片或任何类型的固态非易失性半导体存储器),在其上的信息被永久存储;以及(ii)可写存储介质(例如,盘存储或硬盘驱动或者任何类型的固态随机存取半导体存储器),在其上存储可变动信息。当实施指示本文所述的方法的功能的计算机可读指令时,此种计算机可读存储介质是本公开内容的实施例。
尽管前述内容涉及本公开内容的实施例,但是在不背离本公开内容的基本范围的情况下,可构想到本公开内容的其他和进一步实施例,且本公开内容的范围通过所附权利要求所确定。

Claims (20)

1.一种处理基板的方法,包括:
在所述基板上形成半导体结构,其中所述半导体结构包括含硅(Si)层或硅锗(SiGe)层;
执行衬垫沉积工艺以在所述半导体结构之上形成衬垫层,其中所述衬垫层形成在所述半导体结构的顶表面和侧壁上;
执行可流动层沉积工艺以在设置在所述半导体结构的顶表面和侧壁上的所述衬垫层之上沉积可流动介电层;以及
通过将所述可流动介电层的表面暴露于高压蒸气从而执行退火工艺,其中所述衬垫层的至少一部分通过在所述退火工艺期间的氧化从而逐步地减少。
2.如权利要求1所述的方法,其中基于在所述退火工艺完成之后保留的所述衬垫层的厚度从而确定所述衬垫层的厚度。
3.如权利要求1所述的方法,其中基于退火时间、退火温度以及所述可流动介电层的厚度中的至少一者从而确定所述衬垫层的厚度,并且其中所述衬垫层的所述厚度为零。
4.如权利要求1所述的方法,其中所述衬垫层由氮化硅或氮氧化硅形成。
5.如权利要求1所述的方法,其中所述可流动层沉积工艺包括在0摄氏度与100摄氏度之间的温度以及在1托与10托之间的腔室压力下使得含硅前驱物和氧基自由基前驱物反应,其中所述含硅前驱物包括三甲硅烷胺。
6.如权利要求1所述的方法,其中所述退火工艺包括在从1巴至60巴的压力下、在200摄氏度至600摄氏度之间的温度下、在5分钟与120分钟之间的时间期间,在退火腔室中将所述可流动介电层暴露于高压蒸气。
7.如权利要求1所述的方法,其中所述退火工艺是高压、干燥蒸气退火工艺。
8.一种处理基板的方法,包括:
在所述基板上形成半导体结构,其中所述半导体结构包括含硅(Si)层或硅锗(SiGe)层;
执行衬垫沉积工艺以在所述半导体结构之上形成衬垫层,其中所述衬垫层形成在所述半导体结构的顶表面和侧壁上;
执行可流动层沉积工艺以在设置在所述半导体结构的顶表面和侧壁上的所述衬垫层之上沉积可流动介电层;以及
通过将所述可流动介电层的表面暴露于高压蒸气从而执行退火工艺,其中所述衬垫层防止在退火工艺期间的所述含硅(Si)层或所述硅锗(SiGe)层的氧化,所述衬垫层的至少一部分通过在所述退火工艺期间的氧化从而逐步地减少。
9.如权利要求8所述的方法,其中基于在所述退火工艺完成之后保留的所述衬垫层的厚度从而确定所述衬垫层的厚度。
10.如权利要求8所述的方法,其中基于退火时间、退火温度以及所述可流动介电层的厚度中的至少一者从而确定所述衬垫层的厚度。
11.如权利要求8所述的方法,其中所述衬垫层的厚度被确定成使得在所述退火工艺完成之后保留的所述衬垫层的厚度等于零,并且其中所述衬垫层由氮化硅或氮氧化硅形成。
12.如权利要求8所述的方法,其中所述可流动层沉积工艺包括在0摄氏度与100摄氏度之间的温度下、在1托与10托之间的腔室压力下使得含硅前驱物和氧基自由基前驱物反应,其中所述含硅前驱物包括三甲硅烷胺。
13.如权利要求8所述的方法,其中所述退火工艺包括在从1巴至60巴的压力下、在200摄氏度至600摄氏度之间的温度下、在5分钟与120分钟之间的时间期间,在退火腔室中将所述可流动介电层暴露于高压蒸气。
14.如权利要求8所述的方法,其中所述退火工艺是高压、干燥蒸气退火工艺。
15.一种配置以处理基板的群集系统,包括:
中央真空传送腔室;
第一沉积腔室,所述第一沉积腔室耦接至所述中央真空传送腔室并配置以处理基板;
第二沉积腔室,所述第二沉积腔室耦接至所述中央真空传送腔室并配置以处理所述基板;
第三沉积腔室,所述第三沉积腔室耦接至所述中央真空传送腔室并配置以处理所述基板;
退火腔室,所述退火腔室耦接至所述中央真空传送腔室并配置以处理所述基板;
机器人,所述机器人设置在所述中央真空传送腔室中,所述机器人配置以在所述第一沉积腔室、所述第二沉积腔室、所述第三沉积腔室、所述退火腔室以及所述中央真空传送腔室中的每一者之间传送所述基板;以及
控制器,所述控制器电耦接至所述机器人、所述第一沉积腔室、所述第二沉积腔室、所述第三沉积腔室、所述退火腔室以及所述中央真空传送腔室,所述控制器配置以使所述群集系统:
在所述第一沉积腔室中,在所述基板上沉积含硅(Si)层或硅锗(SiGe)层;
经由所述机器人,将所述基板从所述第一沉积腔室传送至所述第二沉积腔室;
在所述第二沉积腔室中,在所述含硅(Si)层或所述硅锗(SiGe)层之上沉积衬垫层,其中所述衬垫层形成在半导体结构的顶表面和侧壁上;
经由所述机器人,将所述基板从所述第二沉积腔室传送至所述第三沉积腔室;
在所述第三沉积腔室中,在设置在所述半导体结构的顶表面和侧壁上的所述衬垫层之上形成可流动介电层;
经由所述机器人,将所述基板从所述第三沉积腔室传送至所述退火腔室;以及
通过在所述退火腔室中将所述可流动介电层暴露于高压蒸气,来在所述退火腔室中执行退火工艺。
16.如权利要求15所述的群集系统,其中所述第二沉积腔室配置以将所述衬垫层沉积成一厚度,以使得所述衬垫层的最小部分保留直到所述退火工艺的结束为止。
17.如权利要求15所述的群集系统,其中所述第二沉积腔室配置以沉积具有一厚度的所述衬垫层,其中所述衬垫层的所述厚度基于在所述退火工艺的结束时的保留的衬垫层的厚度来确定。
18.如权利要求15所述的群集系统,其中所述第二沉积腔室配置以沉积具有一厚度的所述衬垫层,其中所述衬垫层的所述厚度进一步基于退火时间、退火温度和所述可流动介电层的厚度中的至少一者来确定。
19.如权利要求15所述的群集系统,其中所述第二沉积腔室配置以沉积具有一厚度的所述衬垫层,其中所述衬垫层的所述厚度被确定,以使得在所述退火工艺的结束时的保留的衬垫层的厚度等于零。
20.如权利要求15所述的群集系统,其中所述衬垫层由氮化硅或氮氧化硅形成。
CN201880058936.6A 2017-09-12 2018-09-11 用于使用保护阻挡物层制造半导体结构的设备和方法 Active CN111095524B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762557501P 2017-09-12 2017-09-12
US62/557,501 2017-09-12
PCT/US2018/050464 WO2019055415A1 (en) 2017-09-12 2018-09-11 APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER

Publications (2)

Publication Number Publication Date
CN111095524A CN111095524A (zh) 2020-05-01
CN111095524B true CN111095524B (zh) 2023-10-03

Family

ID=65724027

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880058936.6A Active CN111095524B (zh) 2017-09-12 2018-09-11 用于使用保护阻挡物层制造半导体结构的设备和方法

Country Status (6)

Country Link
US (1) US11177128B2 (zh)
JP (1) JP7274461B2 (zh)
CN (1) CN111095524B (zh)
SG (1) SG11202001450UA (zh)
TW (1) TWI697050B (zh)
WO (1) WO2019055415A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111261634A (zh) * 2020-02-10 2020-06-09 无锡拍字节科技有限公司 一种存储器件的制造设备及其方法
US11791155B2 (en) 2020-08-27 2023-10-17 Applied Materials, Inc. Diffusion barriers for germanium
TWI749955B (zh) * 2020-09-28 2021-12-11 天虹科技股份有限公司 減少非輻射復合的微發光二極體的製作方法及製作機台
WO2023026329A1 (ja) * 2021-08-23 2023-03-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100536809B1 (ko) * 2004-06-22 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
CN101065834A (zh) * 2004-08-24 2007-10-31 应用材料股份有限公司 以等离子体增强化学气相沉积制造具低应力的低k值介电质的低温工艺
CN101330035A (zh) * 2007-06-18 2008-12-24 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制造方法
CN102214609A (zh) * 2010-04-07 2011-10-12 中国科学院微电子研究所 一种半导体器件及其制造方法
CN105244269A (zh) * 2014-07-09 2016-01-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
KR20170075854A (ko) * 2015-12-23 2017-07-04 에스케이하이닉스 주식회사 소자분리구조 및 그 제조 방법

Family Cites Families (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6906761B2 (en) 2001-09-19 2005-06-14 Keiwa Inc. Reflection sheet and backlight unit using the same
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP4006993B2 (ja) * 2001-12-17 2007-11-14 ソニー株式会社 薄膜トランジスタの製造方法,液晶表示装置の製造方法,エレクトロルミネッセンス表示装置の製造方法
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
AU2002368383A1 (en) 2002-11-25 2004-06-18 Koyo Thermo Systems Co., Ltd. Electric heater for semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
KR100914087B1 (ko) 2003-05-13 2009-08-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버의 개구를 밀봉하기 위한 방법 및 장치
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
JP2007522649A (ja) 2003-12-23 2007-08-09 ジョン シー. シューマカー、 半導体反応器用の排気調整システム
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
JP2005347636A (ja) * 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
KR101229100B1 (ko) 2005-06-10 2013-02-15 오브듀캇 아베 중간 스탬프를 갖는 패턴 복제
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
JP5024047B2 (ja) 2005-10-07 2012-09-12 株式会社ニコン 微小構造体の製造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7790587B2 (en) * 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
KR101749044B1 (ko) 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP5883652B2 (ja) 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011062043A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
JP2012231007A (ja) * 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
TWI575631B (zh) 2011-06-28 2017-03-21 Dynamic Micro Systems 半導體儲存櫃系統與方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
US20150197455A1 (en) 2011-12-08 2015-07-16 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
CN105247664B (zh) 2013-05-31 2018-04-10 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及炉口盖体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
KR101825673B1 (ko) 2013-08-21 2018-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 박막 제조들에서의 가변 주파수 마이크로파(vfm) 프로세스들 및 애플리케이션들
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
WO2015195081A1 (en) 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
JP6871161B2 (ja) 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
SG10202012631SA (en) 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
JP6826044B2 (ja) 2015-04-20 2021-02-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッファチャンバのウエハ加熱機構と支持ロボット
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9401397B1 (en) 2015-05-11 2016-07-26 International Business Machines Corporation Reduction of defect induced leakage in III-V semiconductor devices
TWI825991B (zh) * 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
KR20180006496A (ko) 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
KR20190047139A (ko) 2016-09-30 2019-05-07 어플라이드 머티어리얼스, 인코포레이티드 자가-정렬 비아들을 형성하는 방법들
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
KR20190133276A (ko) 2017-04-21 2019-12-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
JP7235678B2 (ja) 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
KR102306675B1 (ko) 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
SG11202006237RA (en) 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100536809B1 (ko) * 2004-06-22 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
CN101065834A (zh) * 2004-08-24 2007-10-31 应用材料股份有限公司 以等离子体增强化学气相沉积制造具低应力的低k值介电质的低温工艺
CN101330035A (zh) * 2007-06-18 2008-12-24 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制造方法
CN102214609A (zh) * 2010-04-07 2011-10-12 中国科学院微电子研究所 一种半导体器件及其制造方法
CN105244269A (zh) * 2014-07-09 2016-01-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
KR20170075854A (ko) * 2015-12-23 2017-07-04 에스케이하이닉스 주식회사 소자분리구조 및 그 제조 방법

Also Published As

Publication number Publication date
KR20200042009A (ko) 2020-04-22
TWI697050B (zh) 2020-06-21
TW201923901A (zh) 2019-06-16
WO2019055415A1 (en) 2019-03-21
JP7274461B2 (ja) 2023-05-16
JP2020533803A (ja) 2020-11-19
US20200388486A1 (en) 2020-12-10
SG11202001450UA (en) 2020-03-30
US11177128B2 (en) 2021-11-16
CN111095524A (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
US10269571B2 (en) Methods for fabricating nanowire for semiconductor applications
CN110622298B (zh) 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN111095524B (zh) 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102509036B1 (ko) 수평 게이트 올 어라운드 및 finfet 디바이스 격리
KR101850666B1 (ko) 저온에서 얇은 에피택셜 필름들을 성장시키는 방법
TWI755596B (zh) 半導體製程與半導體結構
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
TWI729385B (zh) 半導體裝置及其製造方法
TWI737007B (zh) 積體電路裝置及其形成方法
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
US20190267229A1 (en) Semiconductor device and method of manufacturing the same
TW202035773A (zh) 用於金屬矽化物沉積的方法及設備
KR20210123404A (ko) 희생 층을 사용한 반도체 마스크 재성형
JP2006520540A (ja) 歪みシリコンプロセス用にシャロウトレンチ分離を形成するプロセス
KR102659317B1 (ko) 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
TW202217985A (zh) 形成半導體元件的方法
US11935740B2 (en) Dual gate dielectric layers grown with an inhibitor layer
US20240014076A1 (en) Selective capping of contact layer for cmos devices
TW202343548A (zh) 用於cmos裝置的觸點形成處理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant