KR101850666B1 - 저온에서 얇은 에피택셜 필름들을 성장시키는 방법 - Google Patents

저온에서 얇은 에피택셜 필름들을 성장시키는 방법 Download PDF

Info

Publication number
KR101850666B1
KR101850666B1 KR1020177014249A KR20177014249A KR101850666B1 KR 101850666 B1 KR101850666 B1 KR 101850666B1 KR 1020177014249 A KR1020177014249 A KR 1020177014249A KR 20177014249 A KR20177014249 A KR 20177014249A KR 101850666 B1 KR101850666 B1 KR 101850666B1
Authority
KR
South Korea
Prior art keywords
precursor gas
epitaxial film
facet
seconds
substrate
Prior art date
Application number
KR1020177014249A
Other languages
English (en)
Other versions
KR20170061724A (ko
Inventor
아비섹 두브
후아 청
젠-유에 왕
쉐빈 리
이-챠우 황
슈베르트 에스. 추
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170061724A publication Critical patent/KR20170061724A/ko
Application granted granted Critical
Publication of KR101850666B1 publication Critical patent/KR101850666B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02516Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

본 개시내용의 구현예들은 일반적으로 에피택셜 필름 상에서의 실리콘 재료의 에피택셜 성장을 위한 방법들에 관한 것이다. 일 구현예에서, 방법은 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -; 및 약 375℃ 내지 약 450℃의 온도, 및 약 5 Torr 내지 약 20 Torr의 챔버 압력에서, 하나 이상의 실란을 포함하는 제1 프리커서 가스, 및 하나 이상의 염소처리된 실란을 포함하는 제2 프리커서 가스에 최상부면을 교대로 노출시킴으로써 에피택셜 필름의 적어도 최상부면 상에 에피택셜 층을 형성하는 단계를 포함한다.

Description

저온에서 얇은 에피택셜 필름들을 성장시키는 방법{METHOD TO GROW THIN EPITAXIAL FILMS AT LOW TEMPERATURE}
본 개시내용의 구현예들은 일반적으로 반도체 제조 프로세스 및 디바이스의 분야에 관한 것이고, 더 구체적으로는, 에피택셜 필름 상에서의 실리콘 재료의 에피택셜 성장을 위한 방법에 관한 것이다.
차세대 디바이스들에 대하여 회로 밀도가 증가함에 따라, 상호접속부들, 예컨대 비아들, 트렌치들, 컨택트들, 게이트 구조물들, 및 다른 피쳐들은 물론, 그들 사이의 유전체 재료들의 폭은 22nm 이하의 치수들로 감소하는 반면, 유전체 층들의 두께는 실질적으로 일정하게 유지되어, 피쳐들의 종횡비를 증가시키는 결과를 낳았다. 최근에, 상보적 금속 산화물 반도체(CMOS: complementary metal oxide semiconductor) FinFET 디바이스들이 다수의 로직 및 다른 응용들에서 널리 사용되었고, 다양한 상이한 유형의 반도체 디바이스에 통합된다.
전형적으로, FinFET 디바이스들은 높은 종횡비를 갖는 반도체 핀들(semiconductor fins)을 포함하며, 트랜지스터를 위한 채널 및 소스/드레인 영역들이 그 반도체 핀들에 걸쳐서 형성된다. 다음으로, 더 빠르고 더 신뢰가능하며 더 양호하게 제어되는 반도체 트랜지스터 디바이스들을 생성하기 위해, 게이트 전극이 핀 디바이스들의 일부분에 걸쳐서, 그리고 그 일부분의 측면을 따라 형성되어, 채널 및 소스/드레인 영역들의 증가된 표면적의 이점을 이용한다. FinFET의 추가의 이점들은 단채널 효과(short channel effect)를 감소시키는 것, 및 더 높은 전류 흐름을 제공하는 것을 포함한다.
트랜지스터 성능을 향상시키기 위해, 스트레서 재료들(stressor materials)이 소스/드레인 영역들을 채울 수 있고, 스트레서 재료들은 에피택시에 의해 소스/드레인 영역들에서 성장할 수 있다. 에피택셜 필름은 {111} 평면들에 의해 패시트화되고(faceted), 트랜지스터 채널 방향을 따라 다이아몬드 형상을 갖는다. 트랜지스터들의 축소와 함께, FinFET들을 형성하기 위한 향상된 방법들이 항상 필요하다.
본 개시내용의 구현예들은 일반적으로 에피택셜 필름 상에서의 실리콘 재료의 에피택셜 성장을 위한 방법에 관한 것이다. 일 구현예에서, 방법은 기판 상에 형성된 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 에피택셜 필름은 제1 패시트(facet) 및 제2 패시트를 갖는 최상부면을 포함함 -; 및 약 375℃ 내지 약 450℃ 이하의 온도, 및 약 5 Torr 내지 약 20 Torr의 챔버 압력에서, 하나 이상의 실란을 포함하는 제1 프리커서 가스, 및 하나 이상의 염소처리된 실란(chlorinated silanes)을 포함하는 제2 프리커서 가스에 최상부면을 교대로 노출시킴으로써 에피택셜 필름의 적어도 최상부면 상에 에피택셜 층을 형성하는 단계를 포함한다.
다른 구현예에서, 방법은 반도체 구조물을 처리 챔버 내에 로딩하는 단계 - 반도체 구조물은 기판, 기판 상에 형성된 복수의 반도체 핀, 및 기판 상에서 반도체 핀들 사이에 배치된 유전체 재료를 포함함 -; 복수의 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 각각의 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -; 및 약 450℃ 미만의 온도, 및 약 5 Torr 내지 약 20 Torr의 챔버 압력에서, 하나 이상의 실란을 포함하는 제1 프리커서 가스, 및 하나 이상의 염소처리된 실란을 포함하는 제2 프리커서 가스에 최상부면을 교대로 노출시킴으로써 에피택셜 필름의 최상부면 상에 실리콘 층을 형성하는 단계를 포함한다.
또 다른 구현예에서, 방법은 (a) 기판 상에 형성된 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 각각의 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -; (b) 약 450℃ 미만의 온도 및 약 5 Torr 내지 약 20 Torr의 챔버 압력에서, 실란(SiH4) 또는 디실란(Si2H6)을 포함하는 제1 프리커서 가스에 에피택셜 필름을 노출시키는 단계; (c) (b)단계 후에, 제1 프리커서 가스를 제1 기간 동안 펄싱하는 단계; (d) (c)단계 후에, 퍼지 가스를 처리 챔버 내에 도입하는 단계; (e) (d)단계 후에, 약 450℃ 미만의 온도, 및 약 5 Torr 내지 약 20 Torr의 챔버 압력에서, 하나 이상의 염소처리된 실란을 포함하는 제2 프리커서 가스에 에피택셜 필름을 노출시키는 단계; (f) (e)단계 후에, 제1 프리커서 가스를 제2 기간 동안 펄싱하는 단계; 및 (g) (f)단계 후에, 퍼지 가스를 처리 챔버 내에 도입하는 단계를 포함한다. 다양한 예들에서, 방법은 에피택셜 필름의 최상부면 상에 원하는 두께의 실리콘 층이 성장될 때까지, (b)단계 내지 (g)단계를 약 10 사이클 또는 300 사이클 반복하는 단계를 더 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 구현들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 구현예들에 따라 반도체 구조물을 제조하기 위한 예시적인 방법을 도시하는 흐름도이다.
도 2a 내지 도 2c는 도 1의 흐름도에 따른 제조의 특정 스테이지들 동안의 단순화된 반도체 구조물의 단면도들을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 구현예에 개시된 구성요소들은 구체적인 언급 없이도 다른 구현예들에서 유리하게 이용될 수 있을 것으로 생각된다.
도 1은 본 개시내용의 구현예들에 따라 반도체 구조물을 제조하기 위한 예시적인 방법을 도시하는 흐름도이다. 도 2a 내지 도 2c는 도 1의 흐름도에 따른 제조의 특정 스테이지들 동안의 단순화된 반도체 구조물의 단면도들을 도시한다. 본 기술분야의 통상의 기술자는 반도체 디바이스 및 관련된 구조물들을 형성하기 위한 완전한 프로세스가 도면들에 도시되거나 본 명세서에 설명되지는 않는다는 것을 더 알 것이다. 대신에, 단순함 및 명료함을 위해, 반도체 디바이스 및 관련된 구조물을 형성하기 위한 프로세스 중에서 본 개시내용에 고유하거나 본 개시내용의 이해에 필요한 만큼의 프로세스만이 도시되고 설명된다. 추가로, 다양한 단계들이 도면들에 도시되고 본 명세서에 설명되지만, 그러한 단계들의 순서, 또는 중간 단계들의 존재 또는 부재에 관한 한정이 암시되지는 않는다. 순서대로 도시되거나 설명된 단계들은 명시적으로 지정되지 않는 한은 설명의 목적으로만 그렇게 되어 있는 것이고, 각각의 단계들이 완전히는 아니더라도 적어도 부분적으로, 실제로 동시에 또는 겹치는 방식으로 수행될 가능성을 배제하지 않는다.
방법(100)은 블록(102)에서 반도체 구조물(200)을 프로세스 챔버에 로딩하는 것에 의해 시작된다. 도 2a에 도시된 바와 같이, 반도체 구조물(200)은 기판(202), 복수의 반도체 핀(203)(2개만이 도시되어 있음), 및 기판(202) 상에서 반도체 핀들(203) 사이에 배치된 유전체 재료(206)를 포함한다. 프로세스 챔버는 원자 층 에피택시(atomic layer epitaxy: ALE) 또는 원자 층 퇴적(atomic layer deposition: ALD), 화학적 기상 퇴적(chemical vapor deposition: CVD), 또는 플라즈마 보조 프로세스(plasma assisted process)의 기술분야에 공지되어 있는 임의의 적절한 퇴적 프로세스 챔버일 수 있다.
본 명세서에서, "기판"이라는 용어는 프로세스 챔버 내에서 처리될 수 있는 임의의 객체를 광범위하게 포함하도록 의도된다. 예를 들어, 기판(202)은 실리콘 기판, 예를 들어 (도핑되거나 도핑되지 않은) 실리콘, 결정질 실리콘(예를 들어, Si <100> 또는 Si <111>), 실리콘 산화물, 응력이 가해진 실리콘(strained silicon), 도핑되거나 도핑되지 않은 폴리실리콘 또는 그와 유사한 것, 게르마늄, Ⅲ-Ⅴ 화합물 기판, 실리콘 게르마늄(SiGe) 기판, 실리콘 게르마늄 카바이드(SiGeC) 기판, 실리콘 게르마늄 산화물(SiGeO) 기판, 실리콘 게르마늄 산화질화물(SiGeON) 기판, 실리콘 카바이드(SiC) 기판, 실리콘 탄화질화물(SiCN) 기판, 실리콘 탄화산화물(SiCO), epi 기판, SOI(silicon-on-insulator) 기판, 탄소 도핑된 산화물, 실리콘 질화물, 디스플레이 기판, 예컨대 액정 디스플레이(LCD), 플라즈마 디스플레이, EL(electro luminescence) 램프 디스플레이, 솔라 어레이(solar array), 솔라 패널, LED(light emitting diode) 기판, 패터닝되거나 패터닝되지 않은 반도체 웨이퍼, 글래스, 사파이어, 또는 금속들, 금속 합금들 및 다른 전도체 재료와 같은 임의의 다른 재료와 같이, 그 위에 퇴적된 재료를 가질 수 있는 임의의 기판일 수 있다. 기판(202)은 평탄한 기판, 또는 패터닝된 기판일 수 있다. 패터닝된 기판들은 기판의 처리 표면 내에 또는 처리 표면 상에 형성된 전자 피쳐들을 포함하는 기판들이다. 기판(202)은 복수의 층을 포함할 수 있거나, 예를 들어 트랜지스터, 플래시 메모리 디바이스 및 그와 유사한 것과 같은 부분적으로 제조된 디바이스들을 포함할 수 있다.
일 구현예에서, 기판(202)은 단결정질 실리콘, 예컨대 P 도핑된 실리콘이다. 반도체 핀들(203)은 기판(202)과 동일하거나 상이한 재료를 포함할 수 있다. 도시된 것과 같은 구현예에서, 반도체 핀들(203) 및 기판(202)은 동일한 재료로 형성된다. 유전체 재료(206)는 격리 영역들(isolation regions), 예컨대 STI(shallow trench isolation) 영역들을 형성할 수 있고, SiO, SiN, SiCN, 또는 임의의 적절한 유전체 재료를 포함할 수 있다.
반도체 핀들(203)은 나중의 스테이지들에서 FinFET 트랜지스터를 위한 채널들을 형성하는 데에 이용될 수 있다. 각각의 반도체 핀(203)은 유전체 재료(206)의 표면(209)과 동일 평면인 표면(207)을 갖는 제1 부분(204), 및 제1 부분(204)으로부터 상향 돌출되는 제2 부분(205)을 포함할 수 있다. 제2 부분(205)은 소스 또는 드레인 영역으로서 기능할 수 있다. 그러므로, 반도체 구조물(200)의 최상부면은 하나 이상의 반도체 영역, 즉 반도체 핀들(203)의 제1 부분(204) 및/또는 제2 부분(205), 및 하나 이상의 유전체 영역, 즉 유전체 재료(206)를 포함한다.
블록(104)에서, 트랜지스터 성능을 향상시키기 위해, 에피택셜 스트레서 필름(epitaxial stressor film)(214, 215)이 제2 부분(205)(즉, 소스/드레인 영역) 상에서 각각의 반도체 핀(203)에 걸쳐 성장된다. 에피택셜 스트레서 필름(214, 215)은 소스 또는 드레인 영역의 일부일 수 있다. 도 2a에 도시된 바와 같이, 에피택셜 스트레서 필름(214, 215)은 반도체 핀(203)의 제2 부분(205)의 노출된 표면들을 둘러싸거나 커버할 수 있다. 대안적으로, 반도체 핀(203)의 제2 부분(205)은 제거될 수 있고, 에피택셜 스트레서 필름(214, 215)은 반도체 핀(203)의 제1 부분(204) 상에 형성될 수 있다.
에피택셜 스트레서 필름(214, 215)은 Si:P, SiGe, SiGe:B, Si:CP, 또는 다른 적절한 반도체 재료를 포함할 수 있다. 일 구현예에서, 에피택셜 스트레서 필름(214, 215)은 SiGe 재료를 포함한다. 예를 들어, 7nm 이상 성장되는 전도성 노드들에서 이용되는 pMOS 소스 및 드레인 재료들과 같이, 고농도의 게르마늄이 요구되는 일부 응용들에서, 실리콘 내의 게르마늄의 농도는 약 30% 초과, 예를 들어 약 45% 이상, 예컨대 약 70% 내지 약 100%일 수 있다.
에피택셜 스트레서 필름(214, 215)은 선택적 퇴적 프로세스를 이용하여 형성될 수 있고, 그에 의해 에피택셜 스트레서 필름(214, 215)은 유전체 재료(206) 상에는 성장되지 않고 반도체 핀들(203) 상에 성장되게 된다. 결과적인 에피택셜 스트레서 필름(214)은 단결정질 구조물을 가질 수 있다. 선택적 퇴적 프로세스는 에천트를 프리커서 가스들과 함께 퇴적 챔버 내에 공동 유동(co-flowing)시킴으로써 달성될 수 있다. 에천트의 예들은 HCl, Cl2, 또는 임의의 적절한 할로겐 가스일 수 있다. 프리커서 가스들은 임의의 적절한 실리콘 함유 가스, 예컨대 실란(silane), 디실란(disilane), 유기 실란(organosilane), 또는 할로실란(halosilane), 및 임의의 적절한 게르마늄 함유 가스, 예컨대 게르만(germane)을 포함할 수 있다.
에피택셜 스트레서 필름(214, 215)은 반도체 핀(203) 상에 에피택셜 성장될 수 있고, 상이한 표면 평면들 상에서의 상이한 성장 속도로 인해 패시트들이 형성되어, 에피택셜 스트레서 필름(214, 215)이 트랜지스터 채널 방향[채널은 반도체 핀(203)의 최상부 및 대향 측벽들을 따라 연장됨]을 따라 관측될 때 다이아몬드 형상을 갖게 할 수 있다. 에피택셜 스트레서 필름(214, 215)은 최상부 코너 및 측벽 코너들에서 고정되는(pinned) {111} 평면들에 의해 패시트화된다. 예를 들어, 에피택셜 스트레서 필름(214)은 복수의 패시트(216, 218, 220, 222)를 포함할 수 있다[명확함을 위해, 반도체 핀(203) 중 하나만이 도 2a에 레이블되어 있음]. 패시트들(216, 218)은 반도체 핀(203)과 접촉할 수 있다. 패시트(216) 및 패시트(220)가 서로 접촉할 수 있고, 접촉 지점에 코너(224)가 형성될 수 있다. 패시트(218) 및 패시트(222)가 서로 접촉할 수 있고, 접촉 지점에 코너(226)가 형성될 수 있다. 패시트(220) 및 패시트(222)가 서로 접촉할 수 있고, 접촉 지점에 코너(228)가 형성될 수 있다.
블록(106)에서, 에피택셜 스트레서 필름(214, 215)의 부분들은 측방향 차원(lateral dimension)에서 선택적으로 제거된다. 구체적으로, 반도체 핀 상의 에피택셜 스트레서 필름(214)과 인접 반도체 핀 상에 성장되는 에피택셜 스트레서 필름(215) 사이의 거리를 증가시키기 위해, 에피택셜 스트레서 필름(214)의 대향 측들 상의 부분들이 제거된다. 도 2b에 도시된 바와 같이, 접촉 지점에 있는 코너(228)의 일부분이 선택적으로 제거될 수 있다. 트랜지스터들의 축소와 함께, 핀 피치(2개의 인접한 핀 사이의 거리)는 점점 작아지고 있다. 따라서, 소스/드레인 상에 성장되는 에피택셜 스트레서 필름은 접촉되거나(touched) 병합될(merged) 수 있다. 병합이 발생하고 나면, (트랜지스터 채널 상의 스트레인에 대한) 스트레서의 효과가 감소되고, 병합된 영역의 접합부에서 결함이 쉽게 형성되며, 이것은 반도체 구조물의 성능에 부정적인 영향을 주고, 따라서 트랜지스터 성능에도 부정적인 영향을 준다. 인접한 에피택셜 필름들 사이의 거리 증가로 인해, 에피택셜 스트레서 필름(214)과 인접 스트레서 필름(215)이 서로 접촉되거나 서로 병합되는 것이 방지된다. 에피택셜 스트레서 필름들의 일부분들의 이러한 선택적인 제거는 에칭, 연마, 또는 다른 적절한 제거 프로세스에 의해 달성될 수 있다. 에칭 프로세스는 퇴적을 위한 것과 동일한 챔버 내에서, 또는 별개이지만 통합된 챔버 내에서 수행될 수 있다.
블록(108)에서, 후속 층들, 예를 들어 게이트 유전체(예컨대, 실리콘 이산화물, 탄소 도핑된 실리콘 산화물, 실리콘 게르마늄 산화물, 또는 고-k 유전체 재료)가 반도체 핀(203)의 일부분에 걸쳐 쉽게 형성될 수 있도록 에피택셜 스트레서 필름(214, 215)을 패시베이션하기 위해, 실리콘 캡 층(217, 219)이 에피택셜 스트레서 필름(214, 215) 상에 각각 형상추종적으로(conformally) 형성된다. 실리콘 캡 층은 스트레서 필름(214, 215)의 적어도 최상부면[즉, 패시트들(220, 222)] 상에 형성될 수 있다. 실리콘 캡 층(217, 219)은 화학적 기상 증착(CVD) 프로세스, 원자 층 에피택시(ALE), 또는 원자 층 적층(ALD) 프로세스에 의해 성장될 수 있다. 일 구현예에서, 실리콘 캡 층(217, 219)은 ALE 프로세스에 의해 형성된다. ALE는 순차적인 사이클들에서 가열된 기판 표면 상에 프리커서 분자들을 전달하기 위해 화학흡착 기술들(chemisorption techniques)을 이용하는 순환적 퇴적 프로세스(cyclical deposition process)이다. 다양한 구현예들에서, 에피택셜 스트레서 필름들(214, 215)은 제1 프리커서 가스, 퍼지 가스, 제2 프리커서 가스, 및 퍼지 가스에 순차적으로 노출된다. 제1 및 제2 프리커서 가스는 에피택셜 스트레서 필름들(214, 215)의 표면 상에 필름으로서의 화학적 화합물을 형성하도록 반응한다. 이러한 사이클은 실리콘 캡 층(217, 219)을 레이어-바이-레이어 방식(layer-by-layer fashion)으로 원하는 두께에 도달할 때까지 성장시키도록 반복된다. 하부의 SiGe 에피택셜 스트레서 필름(214, 215)이 약 3-6nm 두께인 일 구현예에서, 실리콘 캡 층(217, 219)은 약 1nm 내지 약 5nm, 예를 들어 약 2nm 내지 약 3nm의 두께를 가질 수 있다. 실리콘 캡 층의 퇴적은 에피택셜 스트레서 필름(214, 215)의 퇴적을 위한 것과 동일한 챔버 내에서, 또는 별개이지만 통합된 챔버 내에서 수행될 수 있다.
다양한 구현예들에서, 제1 프리커서 가스 및 제2 프리커서 가스는 실리콘 함유 가스들일 수 있다. 적절한 실리콘 함유 가스는 실란들, 할로겐화 실란들, 또는 유기 실란 중 하나 이상을 포함할 수 있다. 실란들은 실란(SiH4), 및 경험식 SixH(2x+2)를 갖는 고차 실란, 예컨대 디실란(Si2H6), 트리실란(Si3H8), 및 테트라실란(Si4H10), 또는 폴리클로로실란과 같은 다른 고차 실란을 포함할 수 있다. 할로겐화된 실란들은 헥사클로로디실란(Si2Cl6), 테트라클로로실란(SiCl4), 디클로로실란(Cl2SiH2) 및 트리클로로실란(Cl3SiH)과 같은 경험식 X'ySixH(2x+2-y) - 여기에서 X' = F, Cl, Br 또는 I - 을 갖는 화합물들을 포함할 수 있다. 유기실란들은 경험식 RySixH(2x+2-y)을 갖는 화합물들 - R = 메틸, 에틸, 프로필 또는 부틸 - , 예컨대 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메틸디실란((CH3)Si2H5), 디메틸디실란((CH3)2Si2H4) 및 헥사메틸디실란((CH3)6Si2)을 포함할 수 있다. 적절한 게르마늄 함유 가스들은 게르만(GeH4), 디게르만(Ge2H6), 트리게르만(Ge3H8), 또는 그들 중 둘 이상의 조합을 포함할 수 있지만, 그에 한정되지는 않는다. 일부 구현예들에서, 테트라에틸 오르쏘실리케이트(TEOS)는 또한 제1 또는 제2 프리커서 가스로서 이용될 수 있다.
하나의 예시적인 구현예에서, 제1 프리커서 가스는 실란(SiH4), 또는 경험식 SixH(2x+2)를 갖는 고차 실란, 예컨대 디실란(Si2H6), 트리실란(Si3H8), 또는 테트라실란(Si4H10)과 같은 실리콘계 프리커서 가스이다. 원한다면, 제1 프리커서 가스는 본 명세서에서 설명되는 실리콘계 프리커서 가스들 중 하나 이상을 포함할 수 있다. 제2 프리커서 가스는 할로겐화 실란, 예들 들어 염소처리된 실란, 예컨대 모노클로로실란(SiH3Cl, MCS), 디클로로실란(Si2H2Cl2, DCS), 트리클로로실란(SiHCl3, TCS), 헥사클로로디실란(Si2Cl6, HCDS), 옥타클로로트리실란(Si3Cl8, OCTS), 또는 사염화 실리콘(STC)이다. 원한다면, 제2 프리커서 가스는 본 명세서에 설명된 할로겐화된 실란들 중 하나 이상을 포함할 수 있다. 적절한 퍼지 가스는 헬륨, 아르곤, 질소, 수소, 형성 가스(forming gas), 또는 그들의 조합을 포함할 수 있다.
ALE 프로세스를 이용하는 하나의 모범적인 예에서, 제1 프리커서 가스는 디실란이고, 제2 프리커서 가스는 HCDS이다. ALE 프로세스는 약 350℃ 내지 약 550℃, 예컨대 약 375℃ 내지 약 450℃, 예를 들어 약 425℃의 온도 범위, 및 약 1 Torr 내지 약 40 Torr, 예컨대 약 5 Torr 내지 약 20 Torr, 예를 들어 약 10 Torr의 챔버 압력에서 수행된다. 하부 에피택셜 스트레서 필름(214, 215)이 실리콘 내에 고농도의 Ge(예를 들어, 30% 이상, 예컨대 40% 이상)를 갖는 경우들에서는, 에피택셜 스트레서 필름의 변형을 회피하기 위해 더 낮은 퇴적 온도들(예를 들어, 약 425℃ 이하, 예컨대 350℃ 내지 약 375℃)을 이용하는 것이 유리할 수 있다.
동작 시에, 에피택셜 스트레서 필름들(214, 215)은 디실란을 이용하는 제1 프리커서 가스에 노출된다. 제1 프리커서 가스는 약 5 sccm 내지 약 35 sccm, 예컨대 약 10 sccm 내지 약 25 sccm, 예를 들어 약 20 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 제1 프리커서 가스는 약 5초 내지 약 25초, 예를 들어 약 15초 동안 펄싱된다. 다음으로, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 에피택셜 스트레서 필름들(214, 215)은 HCDS를 이용하는 제2 프리커서 가스에 노출된다. 제2 프리커서 가스는 약 250 sccm 내지 약 550 sccm, 예컨대 약 350 sccm 내지 약 450 sccm, 예를 들어 약 400 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 제2 프리커서 가스는 약 1 SLM 내지 약 30 SLM, 예를 들어 약 3 SLM의 유량으로 프로세스 챔버 내로 유동되는 질소 또는 수소 캐리어 가스 내에 희석된다. 다음으로, 제2 프리커서 가스는 약 5초 내지 약 25초, 예를 들어 약 15초 동안 펄싱된다. 그 후에, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 실리콘 캡 층은 상술한 프로세스 조건들에서 디실란과 HCDS를 교대시킴으로써 에피택셜 스트레서 필름 상에 형상추종적으로 균일하게 성장된다. 헥사클로로디실란(Si2Cl6, HCDS)과의 도싱(dosing)은 표면에서 H 리간드를 Cl 말단(termination)으로 교환하고, 그 위에 추가의 실리콘 층을 형성한다. 이러한 프로세스는 원하는 두께에 도달하도록 약 0.1Å/사이클의 성장 속도로 약 300 사이클 반복될 수 있다.
ALE 프로세스를 이용하는 다른 모범적인 예에서, 제1 프리커서 가스는 여전히 디실란이고, 제2 프리커서 가스는 여전히 HCDS이다. 그러나, 더 긴 펄스 시간이 적응된다. 이러한 예에서, ALE 프로세스는 약 350℃ 내지 약 550℃, 예컨대 약 375℃ 내지 약 450℃, 예를 들어 약 425℃의 온도 범위, 및 약 1 Torr 내지 약 40 Torr, 예컨대 약 5 Torr 내지 약 20 Torr, 예를 들어 약 10 Torr의 챔버 압력에서 수행된다. 하부 에피택셜 스트레서 필름(214, 215)이 실리콘 내에 고농도의 Ge(예를 들어, 30% 이상, 예컨대 40% 이상)를 갖는 경우들에서는, 에피택셜 스트레서 필름의 변형을 회피하기 위해 더 낮은 퇴적 온도들(예를 들어, 약 425℃ 이하, 예컨대 350℃ 내지 약 375℃)을 이용하는 것이 유리할 수 있다.
동작 시에, 에피택셜 스트레서 필름들(214, 215)은 디실란을 이용하는 제1 프리커서 가스에 노출된다. 제1 프리커서 가스는 약 5 sccm 내지 약 35 sccm, 예컨대 약 10 sccm 내지 약 25 sccm, 예를 들어 약 20 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 제1 프리커서 가스는 약 350초 내지 약 550초, 예를 들어 약 450초 동안 펄싱된다. 다음으로, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 에피택셜 스트레서 필름들(214, 215)은 HCDS를 이용하는 제2 프리커서 가스에 노출된다. 제2 프리커서 가스는 약 250 sccm 내지 약 550 sccm, 예컨대 약 350 sccm 내지 약 450 sccm, 예를 들어 약 400 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 제2 프리커서 가스는 약 1 SLM 내지 약 30 SLM, 예를 들어 약 3 SLM의 유량으로 프로세스 챔버 내로 유동되는 질소 또는 수소 캐리어 가스 내에 희석된다. 다음으로, 제2 프리커서 가스는 약 350초 내지 약 550초, 예를 들어 약 450초 동안 펄싱된다. 그 후에, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 단층(monolayer) 실리콘은 상술한 프로세스 조건들에서 디실란과 HCDS를 교대시킴으로써 에피택셜 스트레서 필름 상에 형상추종적으로 균일하게 성장된다. 이러한 프로세스는 원하는 두께에 도달하도록 약 0.1Å/사이클의 성장 속도로 약 10 사이클 반복될 수 있다.
ALE 프로세스를 이용하는 또 다른 모범적인 예에서, 제1 프리커서 가스는 실란이고, 제2 프리커서 가스는 HCDS이다. 실란은 반도체 핀(203)의 측벽 상에서 더 적은 측방향 필름 성장을 보이므로, 일부 응용들에서는 유리한 것으로 관측되었다. 이러한 예에서, ALE 프로세스는 약 350℃ 내지 약 550℃, 예컨대 약 375℃ 내지 약 450℃, 예를 들어 약 425℃의 온도 범위, 및 약 1 Torr 내지 약 40 Torr, 예컨대 약 5 Torr 내지 약 20 Torr, 예를 들어 약 10 Torr의 챔버 압력에서 수행된다. 하부 에피택셜 스트레서 필름(214, 215)이 실리콘 내에 고농도의 Ge(예를 들어, 30% 이상, 예컨대 40% 이상)를 갖는 경우들에서는, 에피택셜 스트레서 필름의 변형을 회피하기 위해 더 낮은 퇴적 온도들(예를 들어, 약 425℃ 이하, 예컨대 350℃ 내지 약 375℃)을 이용하는 것이 유리할 수 있다.
동작 시에, 에피택셜 스트레서 필름들(214, 215)은 실란을 이용하는 제1 프리커서 가스에 노출된다. 제1 프리커서 가스는 약 25 sccm 내지 약 55 sccm, 예컨대 약 30 sccm 내지 약 45 sccm, 예를 들어 약 40 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 제1 프리커서 가스는 약 650초 내지 약 1200초, 예를 들어 약 900초 동안 펄싱된다. 다음으로, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 다음으로, 에피택셜 스트레서 필름들(214, 215)은 HCDS를 이용하는 제2 프리커서 가스에 노출된다. 제2 프리커서 가스는 약 250 sccm 내지 약 550 sccm, 예컨대 약 350 sccm 내지 약 450 sccm, 예를 들어 약 400 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 제2 프리커서 가스는 약 1 SLM 내지 약 30 SLM, 예를 들어 약 3 SLM의 유량으로 프로세스 챔버 내로 유동되는 질소 또는 수소 캐리어 가스 내에 희석된다. 다음으로, 제2 프리커서 가스는 약 350초 내지 약 550초, 예를 들어 약 450초 동안 펄싱된다. 그 후에, 퍼지 가스는 약 5 sccm 내지 약 25 sccm, 예컨대 약 10 sccm 내지 약 20 sccm, 예를 들어 약 15 sccm 범위의 유량으로 프로세스 챔버에 도입된다. 단층 실리콘은 상술한 프로세스 조건들에서 실란과 HCDS를 교대시킴으로써 에피택셜 스트레서 필름 상에 형상추종적으로 성장되고, 균일하게 성장된다. 이러한 프로세스는 원하는 두께에 도달하도록 약 0.1Å/사이클의 성장 속도로 약 10 사이클 반복될 수 있다.
원하는 두께를 갖는 실리콘 캡 층들(217, 219)이 에피택셜 스트레서 필름들(214, 215) 상에 성장되고 난 후, 게이트 유전체 층(도시되지 않음)이 실리콘 캡 층(217, 219)에 걸쳐 형성될 수 있다. 다음으로, 게이트 전극이 반도체 핀들(203)의 일부분에 걸쳐서 그 일부분에 나란히 형성되어, FinFET의 일반적인 구조물을 형성한다.
본 개시내용의 구현예들에 설명된 개념은 다른 에피택셜 재료들에도 적용가능하다. 몇몇 예들은 로직 및 메모리 응용들에서 이용될 수 있는 Si:CP, 순수 Ge, GeSn, GeP, GeB, 또는 GeSnB 등을 포함할 수 있다. 그러한 경우들에서, 가능한 실리콘 프리커서들은 할로겐화된 실리콘 화합물, 및 선택적으로는 위에서 설명된 것들과 같은 실리콘 함유 화합물들을 포함할 수 있고, 가능한 게르마늄 프리커서들은 할로겐화된 게르마늄 화합물, 및 선택적으로는 위에서 설명된 것들과 같은 게르마늄 함유 화합물들을 포함할 수 있다. 예를 들어, 실리콘 게르마늄이 캡 층으로서 이용되는 경우, 실리콘 게르마늄의 에피택셜 성장은 에피택셜 스트레서 필름을 본 개시내용에 설명된 실리콘 함유 가스들 중 하나 이상을 포함하는 제1 프리커서 가스, 및 염소처리된 게르만 가스, 게르마늄 함유 가스 또는 실리콘 함유 가스와 같은 할로겐화된 게르마늄 가스들 중 하나 이상을 포함하는 제2 프리커서 가스에 교대로 노출시킴으로써 달성될 수 있다. 퍼지 가스 및 임의의 원하는 도펀트 가스는 실리콘 캡 층에 관하여 위에서 논의된 것과 같은 방식으로 프로세스 챔버 내에 도입될 수 있다. 하나의 예시적인 구현예에서, 제1 프리커서 가스는 실란 또는 디실란일 수 있고, 제2 프리커서 가스는 게르마늄 테트라클로라이드(GeCl4), 디클로로게르만(GeH2Cl2), 또는 게르만(GeH4)과 같은 염소처리된 게르만 가스일 수 있다.
본 개시내용의 이점들은 실란들을 포함하는 제1 프리커서 가스 및 염소처리된 실란들을 포함하는 제2 프리커서 가스를 이용하는 원자 층 에피택시(ALE) 프로세스에 의해 SiGe 에피택셜 스트레서 층 상에 얇은 실리콘 캡 층을 직접 성장시키는 것을 포함한다. 실란 또는 디실란과 HCDS를 교대시킴으로써 SiGe를 포함하는 에피택셜 스트레서 필름 상에 실리콘 캡 층을 성장시키는 것은 더 낮은 성장 온도들에서 달성될 수 있음이 관측되었다. 구체적으로, 실리콘 캡 층은 자기 제한적인(self-limiting) 레이어-바이-레이어 방식을 이용하는 ALE 프로세스에 의해 성장되므로, 실리콘 캡 층은 실리콘 산화물들 및 실리콘 질화물들과 같은 유전체들에 대한 성장의 선택성을 잃지 않고서 에피택셜 스트레서 필름 상에 균일하게 형상추종적으로 성장될 수 있다. 스트레서 필름들을 패시베이션하기 위한 실란들 및 염소처리된 실란들을 이용한 실리콘의 에피택셜 성장은 후속하는 게이트 유전체들의 더 양호한 성장을 허용하고, 그에 의해 FinFET들을 위한 에피택셜 재료의 우수한 집적 및 표면 형태(morphology)를 야기한다.
상술한 것은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 구현들 및 추가의 구현들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (20)

  1. 처리 챔버 내에서 기판을 처리하는 방법으로서,
    상기 기판 상에 형성된 반도체 핀(semiconductor fin)에 걸쳐서 에피택셜 필름을 형성하는 단계 - 상기 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -; 및
    550℃ 이하의 온도, 및 5 Torr 내지 20 Torr의 챔버 압력에서, 하나 이상의 실란을 포함하는 제1 프리커서 가스, 및 하나 이상의 염소처리된 실란(chlorinated silanes)을 포함하는 제2 프리커서 가스에 상기 최상부면을 교대로 노출시킴으로써 상기 에피택셜 필름의 적어도 상기 최상부면 상에 에피택셜 층을 형성하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 제1 프리커서 가스는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 또는 테트라에틸오르쏘실리케이트(tetraethylorthosilicate: TEOS)를 포함하는, 방법.
  3. 제1항에 있어서, 상기 제2 프리커서 가스는 모노클로로실란(monochlorosilane: SiH3Cl), 디클로로실란(dichlorosilane: Si2H2Cl2), 트리클로로실란(trichlorosilane: SiHCl3), 헥사클로로디실란(hexachlorodisilane: Si2Cl6), 옥타클로로트리실란(octachlorotrisilane: Si3Cl8), 또는 사염화 실리콘(silicon tetrachloride: STC)을 포함하는, 방법.
  4. 제1항에 있어서,
    상기 제1 프리커서 가스의 유동과 상기 제2 프리커서 가스의 유동 사이에서 퍼지 가스를 상기 처리 챔버 내에 도입하는 단계
    를 더 포함하는, 방법.
  5. 기판을 처리하는 방법으로서,
    반도체 구조물을 처리 챔버 내에 로딩하는 단계 - 상기 반도체 구조물은 기판, 상기 기판 상에 형성된 복수의 반도체 핀, 및 상기 기판 상에서 상기 반도체 핀들 사이에 배치된 유전체 재료를 포함함 -;
    상기 복수의 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 각각의 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -; 및
    550℃ 미만의 온도, 및 5 Torr 내지 20 Torr의 챔버 압력에서, 하나 이상의 실란을 포함하는 제1 프리커서 가스, 및 하나 이상의 염소처리된 실란을 포함하는 제2 프리커서 가스에 상기 최상부면을 교대로 노출시킴으로써 상기 에피택셜 필름의 상기 최상부면 상에 실리콘 층을 형성하는 단계
    를 포함하는 방법.
  6. 제5항에 있어서, 상기 제1 프리커서 가스는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 테트라실란(Si4H10)을 포함하는, 방법.
  7. 제6항에 있어서, 상기 제1 프리커서 가스는 실란(SiH4)을 포함하는, 방법.
  8. 제6항에 있어서, 상기 제1 프리커서 가스는 디실란(Si2H6)을 포함하는, 방법.
  9. 제5항에 있어서, 상기 제2 프리커서 가스는 모노클로로실란(SiH3Cl), 디클로로실란(Si2H2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실란(Si2Cl6), 옥타클로로트리실란(Si3Cl8), 또는 사염화 실리콘(STC)을 포함하는, 방법.
  10. 제9항에 있어서, 상기 제2 프리커서 가스는 헥사클로로디실란(Si2Cl6)을 포함하는, 방법.
  11. 제5항에 있어서, 상기 기판 및 상기 반도체 핀들은 단결정질 실리콘을 포함하는, 방법.
  12. 제5항에 있어서,
    상기 에피택셜 필름의 상기 최상부면 상에 실리콘 층을 형성하기 전에, 상기 에피택셜 필름의 일부분들을 측방향(lateral direction)으로 제거하는 단계
    를 더 포함하는, 방법.
  13. 제12항에 있어서, 상기 에피택셜 필름은 상기 제1 패시트 및 상기 반도체 핀에 접촉하는 제3 패시트, 및 상기 제2 패시트 및 상기 반도체 핀에 접촉하는 제4 패시트를 더 포함하고, 상기 에피택셜 필름의 일부분들을 측방향으로 제거하는 단계는 상기 제1 패시트, 상기 제2 패시트, 상기 제3 패시트, 및 상기 제4 패시트의 일부분을 제거하는 단계를 포함하는, 방법.
  14. 제5항에 있어서,
    상기 제1 프리커서 가스의 유동과 상기 제2 프리커서 가스의 유동 사이에서 퍼지 가스를 상기 처리 챔버 내에 도입하는 단계
    를 더 포함하는, 방법.
  15. 제14항에 있어서,
    상기 최상부면을 제1 프리커서 가스에 노출시키고 나서 상기 퍼지 가스를 상기 처리 챔버 내에 도입하기 전에, 상기 제1 프리커서 가스를 5초 내지 25초 동안 펄싱하는 단계
    를 더 포함하는, 방법.
  16. 제14항에 있어서,
    상기 최상부면을 제2 프리커서 가스에 노출시키고 나서 상기 퍼지 가스를 상기 처리 챔버 내에 도입하기 전에, 상기 제2 프리커서 가스를 350초 내지 550초 동안 펄싱하는 단계
    를 더 포함하는, 방법.
  17. 처리 챔버 내에서 기판을 처리하는 방법으로서,
    (a) 상기 기판 상에 형성된 반도체 핀에 걸쳐서 에피택셜 필름을 형성하는 단계 - 각각의 에피택셜 필름은 제1 패시트 및 제2 패시트를 갖는 최상부면을 포함함 -;
    (b) 350℃ 내지 550℃의 온도 및 5 Torr 내지 20 Torr의 챔버 압력에서, 실란(SiH4) 또는 디실란(Si2H6)을 포함하는 제1 프리커서 가스에 상기 에피택셜 필름을 노출시키는 단계;
    (c) 상기 (b)단계 후에, 상기 제1 프리커서 가스를 제1 기간 동안 펄싱하는 단계;
    (d) 상기 (c)단계 후에, 퍼지 가스를 상기 처리 챔버 내에 도입하는 단계;
    (e) 상기 (d)단계 후에, 450℃ 미만의 온도, 및 5 Torr 내지 20 Torr의 챔버 압력에서, 염소처리된 실란들을 포함하는 제2 프리커서 가스에 상기 에피택셜 필름을 노출시키는 단계;
    (f) 상기 (e)단계 후에, 상기 제1 프리커서 가스를 제2 기간 동안 펄싱하는 단계; 및
    (g) 상기 (f)단계 후에, 상기 퍼지 가스를 상기 처리 챔버 내에 도입하는 단계
    를 포함하는 방법.
  18. 제17항에 있어서, 상기 제2 프리커서 가스는 헥사클로로디실란(Si2Cl6)을 포함하는, 방법.
  19. 제17항에 있어서, 상기 제1 기간은 5초 내지 25초, 또는 350초 내지 550초이고, 상기 제2 기간은 5초 내지 25초, 또는 350초 내지 550초인, 방법.
  20. 제17항에 있어서,
    상기 에피택셜 필름의 상기 최상부면 상에 실리콘 층을 성장시키기 위해, 상기 (b)단계 내지 상기 (g)단계를 10 사이클 또는 300 사이클 반복하는 단계
    를 더 포함하는, 방법.
KR1020177014249A 2014-10-30 2015-09-30 저온에서 얇은 에피택셜 필름들을 성장시키는 방법 KR101850666B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462072937P 2014-10-30 2014-10-30
US62/072,937 2014-10-30
PCT/US2015/053195 WO2016069180A1 (en) 2014-10-30 2015-09-30 Method to grow thin epitaxial films at low temperature

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177016155A Division KR20170070281A (ko) 2014-10-30 2015-09-30 저온에서 얇은 에피택셜 필름들을 성장시키는 방법

Publications (2)

Publication Number Publication Date
KR20170061724A KR20170061724A (ko) 2017-06-05
KR101850666B1 true KR101850666B1 (ko) 2018-04-19

Family

ID=55853460

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177016155A KR20170070281A (ko) 2014-10-30 2015-09-30 저온에서 얇은 에피택셜 필름들을 성장시키는 방법
KR1020177014249A KR101850666B1 (ko) 2014-10-30 2015-09-30 저온에서 얇은 에피택셜 필름들을 성장시키는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177016155A KR20170070281A (ko) 2014-10-30 2015-09-30 저온에서 얇은 에피택셜 필름들을 성장시키는 방법

Country Status (6)

Country Link
US (2) US9530638B2 (ko)
KR (2) KR20170070281A (ko)
CN (2) CN107546108A (ko)
SG (1) SG11201703228XA (ko)
TW (2) TWI613705B (ko)
WO (1) WO2016069180A1 (ko)

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017196490A1 (en) * 2016-05-09 2017-11-16 Applied Materials, Inc. Method of selective etching on epitaxial film on source/drain area of transistor
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10515951B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI812984B (zh) * 2016-12-12 2023-08-21 美商應用材料股份有限公司 形成應變通道層的方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN109119331B (zh) * 2017-06-23 2021-02-02 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102414182B1 (ko) 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP7288432B2 (ja) 2017-09-03 2023-06-07 アプライド マテリアルズ インコーポレイテッド 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102501287B1 (ko) 2018-07-30 2023-02-21 어플라이드 머티어리얼스, 인코포레이티드 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법
US10679995B2 (en) * 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11230474B2 (en) 2018-10-11 2022-01-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US11401166B2 (en) 2018-10-11 2022-08-02 L'Air Liaquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US10752507B2 (en) 2018-10-11 2020-08-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
US11097953B2 (en) 2018-10-11 2021-08-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US20200283896A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Methods for low temperature silicide formation
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN113950736A (zh) 2019-06-12 2022-01-18 应用材料公司 用于制造器件及结构的选择性方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
WO2020263718A1 (en) * 2019-06-24 2020-12-30 Lam Research Corporation Selective carbon deposition
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
WO2021021265A1 (en) * 2019-07-26 2021-02-04 Applied Materials, Inc. Anisotropic epitaxial growth
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197307A (ja) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176109B2 (en) 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US8030108B1 (en) 2008-06-30 2011-10-04 Stc.Unm Epitaxial growth of in-plane nanowires and nanowire devices
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497177B1 (en) * 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9142633B2 (en) * 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US9812556B2 (en) * 2012-12-28 2017-11-07 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013197307A (ja) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures

Also Published As

Publication number Publication date
SG11201703228XA (en) 2017-05-30
TW201628065A (zh) 2016-08-01
WO2016069180A1 (en) 2016-05-06
CN107112213B (zh) 2021-04-16
KR20170070281A (ko) 2017-06-21
US20160126093A1 (en) 2016-05-05
US9530638B2 (en) 2016-12-27
TWI613705B (zh) 2018-02-01
KR20170061724A (ko) 2017-06-05
CN107546108A (zh) 2018-01-05
TW201735117A (zh) 2017-10-01
US20170178962A1 (en) 2017-06-22
US9929055B2 (en) 2018-03-27
CN107112213A (zh) 2017-08-29
TWI647744B (zh) 2019-01-11

Similar Documents

Publication Publication Date Title
KR101850666B1 (ko) 저온에서 얇은 에피택셜 필름들을 성장시키는 방법
KR102648942B1 (ko) 실리콘 함유 에피택셜층을 형성하기 위한 방법 및 관련 반도체 소자 구조체
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
US10205002B2 (en) Method of epitaxial growth shape control for CMOS applications
TWI677906B (zh) 選擇性磊晶的方法
US20190221483A1 (en) Single work function enablement for silicon nanowire device
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
US9530661B2 (en) Method of modifying epitaxial growth shape on source drain area of transistor
US20180061987A1 (en) Fabrication of semiconductor device
JP2007537601A (ja) 選択的堆積プロセスを使用したmosfetデバイスの作製方法
KR20060110291A (ko) 고농도로 도핑된 에피택셜 SiGe의 선택적 증착 방법
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
TWI748021B (zh) 形成應變通道層的方法
US10002759B2 (en) Method of forming structures with V shaped bottom on silicon substrate
US20180019121A1 (en) Method and material for cmos contact and barrier layer
CN111656528A (zh) 用于n型金氧半导体源极漏极应用的共掺杂处理
US20230420521A1 (en) Graded superlattice structure for gate all around devices
US20240145241A1 (en) Surface modifiers for enhanced epitaxial nucleation and wetting

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right