CN107112213A - 在低温下生长薄外延膜的方法 - Google Patents

在低温下生长薄外延膜的方法 Download PDF

Info

Publication number
CN107112213A
CN107112213A CN201580054090.5A CN201580054090A CN107112213A CN 107112213 A CN107112213 A CN 107112213A CN 201580054090 A CN201580054090 A CN 201580054090A CN 107112213 A CN107112213 A CN 107112213A
Authority
CN
China
Prior art keywords
precursor gas
silane
epitaxial film
seconds
facet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580054090.5A
Other languages
English (en)
Other versions
CN107112213B (zh
Inventor
阿布舍克·杜贝
仲华
王振宇
李学斌
黄奕樵
舒伯特·S·楚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710619906.5A priority Critical patent/CN107546108A/zh
Publication of CN107112213A publication Critical patent/CN107112213A/zh
Application granted granted Critical
Publication of CN107112213B publication Critical patent/CN107112213B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02516Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开内容的实现方式一般地涉及外延膜上的硅材料的外延生长的方法。在一个实现方式中,该方法包括于半导体鳍片(fin)之上形成外延膜,其中外延膜包括具有第一刻面与第二刻面的顶表面,以及通过在约375℃至约450℃的温度与约5Torr至约20Torr的腔室压力处将顶表面交替暴露于第一前驱物气体与第二前驱物气体而至少在外延膜的顶表面上形成外延层,第一前驱物气体包含一或多个硅烷,第二前驱物气体包含一或多个氯化硅烷。

Description

在低温下生长薄外延膜的方法
技术领域
本公开内容的实现方式大体上涉及半导体制造工艺与器件的领域,尤其是,涉及用于硅材料在外延膜上外延生长的方法。
背景技术
随着对于下一代器件的电路密度增加,互连件(诸如穿孔、沟槽、接触件、栅极结构与其他特征)的宽度以及于互连件之间的介电材料减小至22nm或更小的尺寸,但是介电层的厚度保持实质不变,而有特征的深宽比(aspect ratio)增加的结果。近来,互补式金属氧化物半导体(CMOS)FinFET器件已经广泛地用于许多逻辑与其他应用中并整合入半导体器件的各式不同类型。
FinFET器件通常包括带有高深宽比的半导体鳍片(fin),其中用于晶体管的通道与源极/漏极区域于半导体鳍片上形成。利用通道与源极/漏极区域的增加的表面积的优势而接着于鳍片(fin)器件的部分的侧面之上且沿着鳍片器件的部分的侧面形成而产生更快、更可靠的且更好控制的半导体晶体管器件。FinFETs的进一步优势包括减少短通道效应以及提供更高的电流流动。
为了改善晶体管性能,应力源(stressor)材料可填充源极/漏极区域,且该应力源材料可通过外延而于源极/漏极区域中生长。外延膜由{111}平面刻面(facet)且沿着晶体管通道方向而具有金刚石形状。随着晶体管的缩减,对于形成FinFET的改善方法总是有所需求。
发明内容
本公开内容的实现方式一般地涉及用于硅材料在外延膜上外延生长的方法。在一个实现方式中,该方法包括于半导体鳍片之上形成外延膜,该半导体鳍片在该基板上形成,其中外延膜包含具有第一刻面与第二刻面的顶表面,以及通过在约375℃至约450℃的温度与约5Torr至约20Torr的腔室压力处将顶表面交替暴露于第一前驱物气体与第二前驱物气体而至少在外延膜的顶表面上形成外延层,该第一前驱物气体包含一或多个硅烷,该第二前驱物气体包含一或多个氯化硅烷。
在另一个实现方式中,该方法包括将半导体结构装载入处理腔室中,其中半导体结构包含基板、于基板上形成的多个半导体鳍片以及设置于基板上的半导体鳍片之间的介电材料,于多个半导体鳍片上形成外延膜,其中各外延膜包括具有第一刻面与第二刻面的顶表面,及通过在小于约450℃的温度与约5Torr至约20Torr的腔室压力处将顶表面交替暴露于第一前驱物气体与第二前驱物气体而在外延膜的顶表面上形成硅层,该第一前驱物气体包含一或多个硅烷,该第二前驱物气体包含一或多个氯化硅烷。
在又另一个实现方式中,该方法包括(a)于半导体鳍片之上形成外延膜,该半导体鳍片于该基板上形成,其中各外延膜包括具有第一刻面与第二刻面的顶表面,(b)在小于约450℃温度与约5Torr至约20Torr的腔室压力处将外延膜暴露于第一前驱物气体,该第一前驱物气体包含硅烷(SiH4)或乙硅烷(Si2H6),(c)在(b)步骤之后,用脉冲输送(pulse)第一前驱物气体第一周期时间,(d)在(c)步骤之后,将净化气体引入处理腔室中,(e)在(d)步骤之后,在小于约450℃的温度与约5Torr至约20Torr的腔室压力处将外延膜暴露于第二前驱物气体,该第二前驱物气体包含一或多个氯化硅烷,(f)在(e)步骤之后,用脉冲输送第一前驱物气体第二周期时间,以及(g)在(f)步骤之后,将净化气体引入处理腔室中。在各式实施例中,该方法进一步包括重复(b)至(g)步骤约10个循环或300个循环直至所需的硅层厚度生长于外延膜的顶表面上。
附图说明
以上简要概述的本公开内容的上述详述特征能够被详细理解的方式,以及实施方式的更特定描述,可以参考实现方式而获得,实现方式中的一些实现方式绘示于附图中。然而,值得注意的是,附图只绘示了本公开内容的典型实现方式,因而不应视为对本发明范围的限制,因为本公开内容可允许其他等同有效的实现方式。
图1是根据本公开内容的实现方式的用于制造半导体结构的示例性方法的流程图。
图2A至2C图示在根据图1的流程图的制造某些阶段期间简化的半导体结构的截面图。
为了便于理解,尽可能地,使用相同的附图标号指示附图中共通的元件。考虑到,一个实现方式中公开的元件在没有特定描述下可有益地用于其它实现方式中。
具体实施方式
图1为根据本公开内容的实现方式的用于制造半导体结构的示例性方法的流程图。图2A至2C图示在根据图1的流程图的制造某些阶段期间简化的半导体结构的截面图。本领域技术人员将进一步了解用于形成半导体器件与相关结构的全部处理过程未绘示于附图中或于此发明中描述。反之,为求简单清楚,只绘示与描述了对于本公开内容独特或对于了解本公开内容必要的用于形成半导体器件与相关结构的某些处理过程。此外,虽然各式步骤绘示于附图中及描述于本说明书中,但是没有暗示对这些步骤的顺序或中间步骤存在与否有所限制。除非明确指示,否则所绘示与描述的步骤仅用作解释用途依序绘示与描述,如果没完全实施的话,不排除个别的步骤实际上以同时或重叠的方式至少部分地施行的可能性。
方法100在方块102通过将半导体结构200装载入处理腔室而开始。半导体结构200包括基板202、多个半导体鳍片203(只显示了两个)以及设置于基板202上的半导体鳍片203之间的介电材料206,如图2A所示。处理腔室可为ALE(原子层外延)或ALD(原子层沉积)、CVD(化学气相沉积)或等离子体辅助处理技术领域中公知的任何适合的沉积处理腔室。
本说明书所用术语“基板”意欲广泛涵盖可以在处理腔室中处理的任何物件。例如,基板202可为能够具有材料沉积于基板上的任何基板,诸如硅基板,例如硅(掺杂的或未掺杂的)、结晶硅(例如Si<100>或Si<111>)、氧化硅、应变硅、掺杂或未掺杂的多晶硅或类似物,锗、III-V族化合物的基板,硅锗(SiGe)基板,碳化硅锗(SiGeC)基板,氧化硅锗(SiGeO)基板,氮氧化硅锗(SiGeON)基板,碳化硅(SiC)基板,碳氮化硅(SiCN)基板,碳氧化硅(SiCO),外延基板,硅上绝缘体(SOI)基板,碳掺杂氧化物,氮化硅,例如液晶显示器(LCD)的显示基板,等离子体显示器,电致发光(EL)灯显示器,太阳能阵列,太阳能板,发光二极管(LED)基板,图案化或非图案化的半导体晶片,玻璃,蓝宝石,或任何其它材料,例如金属、金属合金和其它导电材料。基板202可为平坦基板或图案化基板。图案化基板包括在基板的处理表面中或上形成的电子特征的基板。基板202可包括多个层或包括例如部分制造的器件,诸如晶体管、快闪存储器件及其类似物)。
在一个实现方式中,基板202为单晶体硅,诸如P掺杂硅。半导体鳍片203可包括与基板202相同或不同的材料。在所示实现方式中,半导体鳍片203与基板202由相同材料形成。介电材料206可形成隔离区域,例如浅沟槽隔离(STI)区域,且可包括SiO、SiN、SiCN或任何适合的介电材料。
半导体鳍片203可实施在用于较后面阶段中的FinFET晶体管的形成通道中。各半导体鳍片203可包括第一部分204与第二部分205,第一部分204具有与介电材料206的表面209共面的表面207,第二部分205自第一部分204向上突出。第二部分205可作为源极或漏极区域的功能。因此,半导体结构200的顶表面包括一或多个半导体区域(即半导体鳍片203的第一部分204和/或第二部分205)以及一或多个介电区域(即介电材料206)。
在方块104,外延应力源膜214、215于第二部分205(即源极/漏极区域)上的各半导体鳍片203上生长以改善晶体管性能。外延应力源膜214、215可为源极或漏极区域的部分。外延应力源膜214、215可密封或覆盖半导体鳍片203的第二部分205所暴露的表面,如图2A所示。或者,半导体鳍片203的第二部分205可被移除且外延应力源膜214、215可于半导体鳍片203的第一部分204上形成。
外延应力源膜214、215可包括Si:P、SiGe、SiGe:B、Si:CP或其他合适的半导体材料。在一个实现方式中,外延应力源膜214、215包括SiGe材料。在某些应用中,其中需要高浓度的锗,例如用于导电节点先进7nm及更进一步中使用的pMOS源极与漏极材料,在硅中的锗的浓度可在约30%之上,例如约45%或更多,举例而言,约70%至约100%。
外延应力源膜214、215可使用选择性沉积处理形成,而使得外延应力源膜214、215于半导体鳍片203上生长而不是在介电材料206上生长。生成的外延应力源膜214可具有单晶体结构。可通过将蚀刻剂与前驱物气体共同流入沉积腔室而达成选择性沉积处理。蚀刻剂的实施例可为HCl、Cl2或任何适合的卤素气体。前驱物气体可包括任何适合的含硅气体,如硅烷、乙硅烷、有机硅烷或卤化硅烷,以及任何合适的含锗气体,如锗烷。
外延应力源膜214、215可于半导体鳍片203上外延生长,且由于在不同表面平面上的不同生长速率,刻面经形成以导致当沿着晶体管通道方向(该通道沿着半导体鳍片203的顶与相对侧壁延伸)观察刻面时,外延应力源膜214、215具有金刚石形状。外延应力源膜214、215由{111}平面刻面、固定在顶角落与侧壁角落处。例如,外延应力源膜214可包括多个刻面216、218、220、222(为求清楚,半导体鳍片203中只有一个标示于图2A中)。刻面216、218可接触半导体鳍片203。刻面216与刻面220可互相接触,且角(corner)224可在接触点处形成。刻面218与刻面222可互相接触,且角226可在接触点处形成。刻面220与刻面222可互相接触,且角228可在接触点处形成。
在方块106,外延应力源膜214、215的部分可选择性地在侧向维度上移除。具体言之,外延应力源膜214的相对侧上的部分经移除以增加半导体鳍片上的外延应力源膜214与邻近半导体鳍片上生长的外延应力源膜215之间的距离。接触点处的角228的部分可选择性地被移除,如图2B所示。随着晶体管缩减,鳍片间距(fin pitch,两邻近鳍片之间的距离)变得更小。因此,源极/漏极上生长的外延应力源膜可以被碰触(touched)或合并(merged)。一旦合并产生,(晶体管通道上的应变上的)应力源的效应减小且缺陷容易在合并区域的连接点处形成,这对半导体结构的性能以及晶体管性能有负面影响。由于邻近外延膜之间的距离增加,而防止外延应力源膜214与邻近应力源膜215互相碰触或合并。可通过蚀刻、研磨或其他适合的移除处理而达成外延应力源膜的部分的选择性移除。蚀刻处理可在用于沉积的相同腔室中实施,或在分开但整合的腔室中实施。
在方块108,硅帽层217、219保形地(conformally)于外延应力源膜214、215上分别形成以钝化外延应力源膜214、215,使得例如栅极介电的后续的层可以轻易地于半导体鳍片203的部分之上形成,栅极介电,诸如二氧化硅、掺杂碳的硅氧化物、硅锗氧化物、或高k介电材料。硅帽层可在应力源膜214、215的至少顶表面(即刻面220、222)上形成。硅帽层217、219可通过化学气相沉积(CVD)工艺、原子层外延(ALE)或原子层沉积(ALD)工艺而生长。在一个实现方式中,硅帽层217、219由ALE工艺形成。ALE是一种周期性沉积工艺,它运用化学吸附(chemisorption)技术以将前驱物分子依顺序周期传送于加热的基板表面上。在各式实现方式中,外延应力源膜214、215依序暴露于第一前驱物气体、净化气体、第二前驱物气体与净化气体。第一与第二前驱物气体反应以于外延应力源膜214、215的表面上形成作为膜的化学化合物。重复此周期以一层一层的方式生长硅帽层217、219直到所需的厚度达到。在一个实现方式中,其中下面的SiGe外延应力源膜214、215为约3-6nm厚度,硅帽层217、219可具有约1nm至约5nm的厚度,例如约2nm至约3nm。硅帽层的沉积可于相同腔室中实施以用于沉积外延应力源膜214、215,或是在分开但整合的腔室中实施。
在各式实现方式中,第一前驱物气体与第二前驱物气体可为含硅气体。适合的含硅气体可包括硅烷、卤化硅烷或有机硅烷中的一或多个。硅烷可包括硅烷(SiH4)与带有经验方程式SixH(2x+2)的更高阶的硅烷(higher silanes),例如乙硅烷(Si2H6)、丙硅烷(Si3H8)与四硅烷(Si4H10),或其他更高阶的硅烷,如聚氯硅烷。卤化硅烷可包括带有经验化学式的化合物X’ySixH(2x+2-y),其中X’=F,Cl,Br或I,例如六氯二硅烷(Si2Cl6)、四氯硅烷(SiCl4)、二氯硅烷(Cl2SiH2)与三氯硅烷(Cl3SiH)。有机硅烷可包括带有经验化学式RySixH(2x+2-y)的化合物,其中R=甲基、乙基、丙基或丁基,例如甲基硅烷((CH3)SiH3)、二甲基硅烷(CH3)2SiH2)、乙基硅烷(CH3CH2)SiH3)、甲基二硅烷(CH3)Si2H5)、二甲基二硅烷((CH3)2Si2H4)与六甲基二硅烷((CH3)6Si2)。合适的含锗气体可包括但不限于锗烷(GeH4)、二锗烷(Ge2H6)、三锗烷(Ge3H8)或以上两个或更多个的组合。在某些实现方式中,四乙氧基硅烷(TEOS)亦可用作第一或第二前驱物气体。
在一个示例的实现方式中,第一前驱物气体为硅基前驱物气体,诸如硅烷(SiH4)与带有经验化学式SixH(2x+2)的更高阶的硅烷如乙硅烷(Si2H6)、丙硅烷(Si3H8)或四硅烷(Si4H10)。如需要的话,第一前驱物气体可包括本发明所述的硅基前驱物气体中的一或多个。第二前驱物气体为卤化硅烷,例如氯化硅烷,如一氯硅烷(SiH3Cl,MCS)、二氯硅烷(Si2H2Cl2,DCS)、三氯硅烷(SiHCl3,TCS)、六氯二硅烷(Si2Cl6,HCDS)、八氯三硅烷(Si3Cl8,OCTS)或四氯化硅(STC)。如需要的话,第二前驱物气体可包括本发明所述的卤化硅烷中的一或多个。合适的净化气体可包括氦、氩、氮、氢、形成气体或以上各者的组合。
在使用ALE工艺的一个示例性实施例中,第一前驱物气体为乙硅烷而第二前驱物气体系HCDS。ALE工艺在约350℃至约550℃的温度范围(诸如375℃至约450℃,举例而言,约425℃)以及约1Torr至约40Torr(诸如约5Torr至约20Torr,举例而言,约10Torr)腔室压力处实施。在下面外延应力源膜214、215于硅中具有高浓度Ge(例如30%或以上,举例而言,40%或以上)的情况下,使用较低沉积温度(例如约425℃或更低,举例而言,350℃至约375℃)可我有优势的,以避免外延应力源膜的变形。
在操作中,外延应力源膜214、215暴露于使用乙硅烷的第一前驱物气体。第一前驱物气体以约5sccm至约35sccm范围的流动速率被引入处理腔室中,例如约10sccm至约25sccm,举例而言,约20sccm。接着用脉冲输送(pulse)第一前驱物气体约5秒至约25秒,如约15秒。下一步,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。下一步,外延应力源膜214、215暴露于使用HCDS的第二前驱物气体。第二前驱物气体以约250sccm至约550sccm范围的流动速率被引入处理腔室中,例如约350sccm至约450sccm,举例而言,约400sccm。第二前驱物气体稀释于氮气或氢气载体气体中,氮气或氢气载体气体以约1SLM至约30SLM的流动速率流动入处理腔室中,例如约3SLM。接着用脉冲输送(pulse)第二前驱物气体约5秒至约25秒,例如约15秒。之后,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。通过上述工艺状况交替乙硅烷与HCDS而将硅帽层保形地且均匀地生长于外延应力源膜上。加入六氯二硅烷(Si2Cl6,HCDS)而在表面处将-H配位基(ligand)换成Cl终端(termination)并在其上形成额外的硅层。此处理过程以约/周期的生长速率重复约300个周期以达到所需的厚度。
在使用ALE工艺的另一个示例实施例中,第一前驱物气体仍为乙硅烷而第二前驱物气体仍为HCDS。然而,调整为较长的脉冲时间(pulse time)。在此实施例中,ALE工艺在约350℃至约550℃的温度范围(例如约375℃至约450℃,举例而言,约425℃)以及约1Torr至约40Torr(例如约5Torr至约20Torr,举例而言,约10Torr)的腔室压力处实施。在下面的外延应力源膜214、215于硅中具有高浓度Ge(例如30%或以上,举例而言,40%或以上)的情况下,使用较低沉积温度(例如约425℃或更低,举例而言,350℃至约375℃)可为有优势的,以避免外延应力源膜的变形。
在操作中,外延应力源膜214、215暴露于使用乙硅烷的第一前驱物气体。第一前驱物气体以约5sccm至约35sccm范围的流动速率被引入处理腔室中,例如约10sccm至约25sccm,举例而言,约20sccm。接着用脉冲输送(pulse)第一前驱物气体约350秒至约550秒,例如约450秒。下一步,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。下一步,外延应力源膜214、215暴露于使用HCDS的第二前驱物气体。第二前驱物气体以约250sccm至约550sccm范围的流动速率被引入处理腔室中,例如约350sccm至约450sccm,举例而言,约400sccm。第二前驱物气体稀释于氮气或氢气载体气体中,氮气或氢气载体气体以约1SLM至约30SLM的流动速率流动入处理腔室中,例如约3SLM。接着用脉冲输送(pulse)第二前驱物气体约350秒至约550秒,例如约450秒。之后,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。通过上述工艺状况交替乙硅烷与HCDS而将单层的硅保形地且均匀地生长于外延应力源膜上。此处理过程以约/周期的生长速率重复约10个周期以达到所需的厚度。
在使用ALE工艺的又另一个示例实施例中,第一前驱物气体为硅烷而第二前驱物气体为HCDS。因为硅烷在半导体鳍片203的侧壁上显示有较少的侧向膜生长,所以观察到硅烷在某些应用中是有优势的。在此示例实施例中,ALE工艺在约350℃至约550℃的温度范围(例如375℃至约450℃,举例而言,约425℃)以及约1Torr至约40Torr(例如约5Torr至约20Torr,举例而言,约10Torr)的腔室压力处实施。在下面外延应力源膜214、215于硅中具有高浓度Ge(例如30%或以上,举例而言,40%或以上)的情况下,使用较低沉积温度(例如约425℃或更低,举例而言,350℃至约375℃)可为有优势的,以避免外延应力源膜的变形。
在操作中,外延应力源膜214、215暴露于使用硅烷的第一前驱物气体。第一前驱物气体以约25sccm至约55sccm范围的流动速率被引入处理腔室中,例如约30sccm至约45sccm,举例而言,约40sccm。接着用脉冲输送(pulse)第一前驱物气体约650秒至约1200秒,例如约900秒。下一步,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。下一步,外延应力源膜214、215暴露于使用HCDS的第二前驱物气体。第二前驱物气体以约250sccm至约550sccm范围的流动速率被引入处理腔室中,例如约350sccm至约450sccm,举例而言,约400sccm。第二前驱物气体稀释于氮气或氢气载体气体中,氮气或氢气载体气体以约1SLM至约30SLM的流动速率流动入处理腔室中,例如约3SLM。接着用脉冲输送(pulse)第二前驱物气体约350秒至约550秒,例如约450秒。之后,净化气体以约5sccm至约25sccm范围的流动速率被引入处理腔室中,例如约10sccm至约20sccm,举例而言,约15sccm。通过上述工艺状况交替硅烷与HCDS而将单层的硅保形地且均匀地生长于外延应力源膜上。此处理过程以约/周期的生长速率重复约10个周期以达到所需的厚度。
在硅帽层217、219以所需的厚度生长于外延应力源膜214、215上后,栅极介电层(未图示)可于硅帽层217、219上形成。栅极电极接着于半导体鳍片203的部分上且沿着半导体鳍片203的部分的侧面形成以形成FinFET的一般结构。
本公开内容的实现方式中所述的概念亦适用于其他外延材料。某些实施例可包括Si:CP、纯Ge、GeSn、GeP、GeB或GeSnB等,可用于逻辑与存储器的应用。在这些情况中,可能的硅前驱物可包括如上所述的卤化硅化合物与选择性的含硅化合物,而可能的锗前驱物可包括如上所述的卤化锗化合物与选择性的含锗化合物。例如,如果硅锗用作为帽层,可通过将外延应力源膜交替暴露于第一前驱物气体与第二前驱物气体而达到硅锗的外延生长,第一前驱物气体包括本公开内容中所述的含硅气体中的一或多个,第二前驱物气体包括卤化锗气体中的一或多个,卤化锗气体诸如氯化锗烷气体、含锗气体或含硅气体。净化气体与任何所需的掺杂气体可依以上相对于硅帽层所述的方式引入处理腔室中。在一个示例性实现方式中,第一前驱物气体可为硅烷或乙硅烷,而第二前驱物气体可为氯化锗烷气体,如四氯化锗(GeCl4)、二氯锗烷(GeH2Cl2)或锗烷(GeH4)。
本公开内容的好处包括通过使用第一前驱物气体与第二前驱物气体的原子层外延(ALE)而于SiGe外延应力源层上直接生长薄硅帽层,第一前驱物气体包括硅烷,第二前驱物气体包括氯化硅烷。已经观察到通过交替硅烷或乙硅烷与HCDS可以在较低生长温度达到硅帽层于包含SiGe的外延应力源膜上的生长。具体言之,因为通过使用自我限制一层一层的方式(self-limiting layer-by-layer fashion)的ALE工艺生长硅帽层,所以硅帽层可以均匀且保形地生长于外延应力源膜而不失电介质(例如硅氧化物与硅氮化物)生长的选择性。使用硅烷与氯化硅烷的硅外延生长以钝化应力源膜而允许后续栅极电介质有更好的生长,而使得用于FinFET的外延材料有更好的整合与表面形状控制(surfacemorphology)。
虽然前述针对本公开内容的实现方式,但在不背离本发明基本范围下,可设计本公开内容的其他的与进一步的实现方式,而本发明范围由以下权利要求来确定。

Claims (20)

1.一种在处理腔室中处理基板的方法,包括以下步骤:
于半导体鳍片之上形成外延膜,所述半导体鳍片在所述基板上形成,其中所述外延膜包含具有第一刻面与第二刻面的顶表面;及
通过在约550℃或小于550℃的温度与约5Torr至约20Torr的腔室压力处将所述顶表面交替暴露于第一前驱物气体与一第二前驱物气体而至少在所述外延膜的所述顶表面上形成外延层,所述第一前驱物气体包含一或多个硅烷,所述第二前驱物气体包含一或多个氯化硅烷。
2.如权利要求1所述的方法,其中所述第一前驱物气体包括硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)或四乙氧基硅烷(TEOS)。
3.如权利要求1所述的方法,其中所述第二前驱物气体包括一氯硅烷(SiH3Cl)、二氯硅烷(Si2H2Cl2)、三氯硅烷(SiHCl3)、六氯二硅烷(Si2Cl6)、八氯三硅烷(Si3Cl8)或四氯化硅(STC)。
4.如权利要求1所述的方法,进一步包括以下步骤:
将净化气体引入所述第一前驱物气体的流动与所述第二前驱物气体的流动之间的所述处理腔室中。
5.一种处理基板的方法,包括以下步骤:
将半导体结构装载入处理腔室中,其中所述半导体结构包含基板、于所述基板上形成的多个半导体鳍片以及设置于所述基板上的所述半导体鳍片之间的介电材料;
于所述多个半导体鳍片之上形成外延膜,其中各外延膜包括具有第一刻面与第二刻面的顶表面;以及
通过在小于约550℃的温度与约5Torr至约20Torr的腔室压力处将所述顶表面交替暴露于第一前驱物气体与第二前驱物气体而在所述外延膜的所述顶表面上形成硅层,所述第一前驱物气体包含一或多个硅烷,所述第二前驱物气体包含一或多个氯化硅烷。
6.如权利要求5所述的方法,其中所述第一前驱物气体包括硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)或四硅烷(Si4H10)。
7.如权利要求6所述的方法,其中所述第一前驱物气体包括硅烷(SiH4)。
8.如权利要求6所述的方法,其中所述第一前驱物气体包括乙硅烷(Si2H6)。
9.如权利要求5所述的方法,其中所述第二前驱物气体包括一氯硅烷(SiH3Cl)、二氯硅烷(Si2H2Cl2)、三氯硅烷(SiHCl3)、六氯二硅烷(Si2Cl6)、八氯三硅烷(Si3Cl8)或四氯化硅(STC)。
10.如权利要求9所述的方法,其中所述第二前驱物气体包括六氯二硅烷(Si2Cl6)。
11.如权利要求5所述的方法,其中所述基板与所述半导体鳍片包括单晶硅。
12.如权利要求5所述的方法,进一步包含以下步骤:在所述外延膜的所述顶表面上形成硅层之前,在侧向方向上移除所述外延膜的部分。
13.如权利要求12所述的方法,其中所述外延膜进一步包括接触所述第一刻面与所述半导体鳍片的第三刻面以及接触所述第二刻面与所述半导体鳍片的第四刻面,及其中在所述侧向方向上移除所述外延膜的部分的所述步骤包括移除所述第一、第二、第三与第四刻面的一部分。
14.如权利要求5所述的方法,进一步包括以下步骤:
将净化气体引入所述第一前驱物气体的流动与所述第二前驱物气体的流动之间的所述处理腔室中。
15.如权利要求14所述的方法,进一步包括以下步骤:
在将所述顶表面暴露于第一前驱物气体之后且在将所述净化气体引入所述处理腔室之前,用脉冲输送(pulse)所述第一前驱物气体约5秒至约25秒。
16.如权利要求14所述的方法,进一步包括以下步骤:
在将所述顶表面暴露于第二前驱物气体之后且在将所述净化气体引入所述处理腔室之前,用脉冲输送(pulse)所述第二前驱物气体约350秒至约550秒。
17.一种在处理腔室中处理基板的方法,包括以下步骤:
(a)于半导体鳍片之上形成外延膜,所述半导体鳍片于所述基板上形成,其中各外延膜包括具有第一刻面与第二刻面的顶表面;
(b)在约350℃至约550℃的温度与约5Torr至约20Torr的腔室压力处将所述外延膜暴露于第一前驱物气体,所述第一前驱物气体包含硅烷(SiH4)或乙硅烷(Si2H6);
(c)在(b)步骤之后,用脉冲输送所述第一前驱物气体第一周期时间;
(d)在(c)步骤之后,将净化气体引入所述处理腔室中;
(e)在(d)步骤之后,在小于约450℃的温度与约5Torr至约20Torr的腔室压力处将所述外延膜暴露于第二前驱物气体,所述第二前驱物气体包含氯化硅烷;
(f)在(e)步骤之后,用脉冲输送所述第一前驱物气体第二周期时间;以及
(g)在(f)步骤之后,将所述净化气体引入所述处理腔室中。
18.如权利要求17所述的方法,其中所述第二前驱物气体包括六氯二硅烷(Si2Cl6)。
19.如权利要求17所述的方法,其中所述第一周期时间为约5秒至约25秒或约350秒至约550秒,及所述第二周期时间为约5秒至约25秒或约350秒至约550秒。
20.如权利要求17所述的方法,进一步包括以下步骤:
重复(b)至(g)步骤约10个循环或300个循环以将硅层生长于所述外延膜的所述顶表面上。
CN201580054090.5A 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法 Active CN107112213B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710619906.5A CN107546108A (zh) 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462072937P 2014-10-30 2014-10-30
US62/072,937 2014-10-30
PCT/US2015/053195 WO2016069180A1 (en) 2014-10-30 2015-09-30 Method to grow thin epitaxial films at low temperature

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710619906.5A Division CN107546108A (zh) 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法

Publications (2)

Publication Number Publication Date
CN107112213A true CN107112213A (zh) 2017-08-29
CN107112213B CN107112213B (zh) 2021-04-16

Family

ID=55853460

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710619906.5A Pending CN107546108A (zh) 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法
CN201580054090.5A Active CN107112213B (zh) 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710619906.5A Pending CN107546108A (zh) 2014-10-30 2015-09-30 在低温下生长薄外延膜的方法

Country Status (6)

Country Link
US (2) US9530638B2 (zh)
KR (2) KR20170070281A (zh)
CN (2) CN107546108A (zh)
SG (1) SG11201703228XA (zh)
TW (2) TWI613705B (zh)
WO (1) WO2016069180A1 (zh)

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102321839B1 (ko) * 2016-05-09 2021-11-05 어플라이드 머티어리얼스, 인코포레이티드 트랜지스터의 소스/드레인 영역 상의 에피택셜 필름에 대한 선택적 식각 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10515951B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI812984B (zh) * 2016-12-12 2023-08-21 美商應用材料股份有限公司 形成應變通道層的方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN109119331B (zh) * 2017-06-23 2021-02-02 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102414182B1 (ko) 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11462630B2 (en) 2017-09-03 2022-10-04 Applied Materials, Inc. Conformal halogen doping in 3D structures using conformal dopant film deposition
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020028028A1 (en) 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US10679995B2 (en) * 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11097953B2 (en) 2018-10-11 2021-08-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
US10752507B2 (en) 2018-10-11 2020-08-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
US11401166B2 (en) 2018-10-11 2022-08-02 L'Air Liaquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US11230474B2 (en) 2018-10-11 2022-01-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US20200283896A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Methods for low temperature silicide formation
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN113950736A (zh) * 2019-06-12 2022-01-18 应用材料公司 用于制造器件及结构的选择性方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN114072544A (zh) * 2019-07-26 2022-02-18 应用材料公司 各向异性的外延生长
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1797783A (zh) * 2004-12-28 2006-07-05 富士通株式会社 半导体器件及其制造方法
CN103227200A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
JP2013197307A (ja) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20140084369A1 (en) * 2009-12-21 2014-03-27 Anand S. Murthy Semiconductor device having doped epitaxial region and its methods of fabrication
US20140183605A1 (en) * 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176109B2 (en) * 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US8030108B1 (en) 2008-06-30 2011-10-04 Stc.Unm Epitaxial growth of in-plane nanowires and nanowire devices
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8497177B1 (en) * 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US20140120678A1 (en) * 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US9142633B2 (en) 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US20150170916A1 (en) * 2013-12-17 2015-06-18 United Microelectronics Corp. Semiconductor process for manufacturing epitaxial structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1797783A (zh) * 2004-12-28 2006-07-05 富士通株式会社 半导体器件及其制造方法
US20140084369A1 (en) * 2009-12-21 2014-03-27 Anand S. Murthy Semiconductor device having doped epitaxial region and its methods of fabrication
CN103227200A (zh) * 2012-01-31 2013-07-31 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
JP2013197307A (ja) * 2012-03-19 2013-09-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20140183605A1 (en) * 2012-12-28 2014-07-03 International Business Machines Corporation Semiconductor device and method of manufacturing the semiconductor device

Also Published As

Publication number Publication date
TWI613705B (zh) 2018-02-01
US20160126093A1 (en) 2016-05-05
KR101850666B1 (ko) 2018-04-19
CN107112213B (zh) 2021-04-16
US9929055B2 (en) 2018-03-27
KR20170070281A (ko) 2017-06-21
SG11201703228XA (en) 2017-05-30
TW201735117A (zh) 2017-10-01
US20170178962A1 (en) 2017-06-22
KR20170061724A (ko) 2017-06-05
TWI647744B (zh) 2019-01-11
CN107546108A (zh) 2018-01-05
TW201628065A (zh) 2016-08-01
WO2016069180A1 (en) 2016-05-06
US9530638B2 (en) 2016-12-27

Similar Documents

Publication Publication Date Title
CN107112213A (zh) 在低温下生长薄外延膜的方法
KR102648942B1 (ko) 실리콘 함유 에피택셜층을 형성하기 위한 방법 및 관련 반도체 소자 구조체
TWI683783B (zh) 具有改進的內間隔件的奈米片電晶體
US10297664B2 (en) Nanosheet transistor with uniform effective gate length
US10205002B2 (en) Method of epitaxial growth shape control for CMOS applications
TWI677906B (zh) 選擇性磊晶的方法
TWI545769B (zh) 半導體裝置結構與其形成方法
CN103946963A (zh) 在用于cmos器件的含锗沟道上对氧化硅和高k栅极电介质的无氧化锗的原子层沉积
CN107430994B (zh) 提高选择性外延生长的生长速率的方法
CN111095524B (zh) 用于使用保护阻挡物层制造半导体结构的设备和方法
TWI544623B (zh) 磊晶層及其製作方法
CN102790014A (zh) 经受应力的半导体器件及其制造方法
US20230230833A1 (en) Method for forming a layer provided with silicon
TWI748021B (zh) 形成應變通道層的方法
US10002759B2 (en) Method of forming structures with V shaped bottom on silicon substrate
TW202318664A (zh) 用於環繞式閘極電晶體的各向異性sige:b磊晶膜生長
TW202207313A (zh) 用於n型及p型鰭式場效電晶體之不同源極/汲極輪廓

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant