KR20180006496A - 서셉터 포지션 및 회전 장치, 및 사용 방법들 - Google Patents

서셉터 포지션 및 회전 장치, 및 사용 방법들 Download PDF

Info

Publication number
KR20180006496A
KR20180006496A KR1020187000427A KR20187000427A KR20180006496A KR 20180006496 A KR20180006496 A KR 20180006496A KR 1020187000427 A KR1020187000427 A KR 1020187000427A KR 20187000427 A KR20187000427 A KR 20187000427A KR 20180006496 A KR20180006496 A KR 20180006496A
Authority
KR
South Korea
Prior art keywords
susceptor
shaft
top plate
processing chamber
assembly
Prior art date
Application number
KR1020187000427A
Other languages
English (en)
Inventor
윌리엄 티. 위버
로버트 브랜트 보팻
조셉 유도브스키
제이슨 엠. 샬러
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180006496A publication Critical patent/KR20180006496A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

배치 프로세싱 챔버들에서 대형 서셉터들을 정렬하기 위한 장치 및 방법들이 설명된다. 가스 분배 조립체에 대한 서셉터의 평행성을 제어하기 위한 장치 및 방법들이 또한 설명된다.

Description

서셉터 포지션 및 회전 장치, 및 사용 방법들
[0001] 본 개시내용은 일반적으로, 서셉터 조립체를 포지셔닝(positioning)하고 그리고/또는 회전시키기 위한 장치 및 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 다수의 축들에서 배치(batch) 프로세싱 서셉터 조립체를 이동시키기 위한 장치 및 방법들에 관한 것이다.
[0002] 몇몇 배치 프로세싱 챔버들은 프로세싱을 위한 충분한 수의 웨이퍼들을 홀딩(hold)하기 위해 비교적 큰 직경의 서셉터들(1 m 또는 그 초과)을 갖는다. 서셉터는 다른 큰 직경의 디스크-형 컴포넌트인 인젝터 플레이트에 매우 가까이에서(3 mm - 0.5 mm) 회전된다. 이들 컴포넌트들 사이의 평행성은 증착 프로세스를 제어하기 위해 튜닝된다(tuned). 현재, 이들 컴포넌트들은 수동적으로 포지셔닝되고, 이는 약 3 시간이 걸린다. 평행성은 서셉터 온도 및 챔버 압력에 대하여 변화된다. 따라서, 프로세스 파라미터들을 변화시키는 영향 및 엄격한 간격(clearance)들을 만족시키기 위해, 평행성을 제어하고, 정렬하기 위한 장치 및 방법들에 대한 필요성이 존재한다.
[0003] 본 개시내용의 하나 또는 그 초과의 실시예들은 서셉터 및 포지셔닝 시스템을 지지할 수 있는 샤프트를 포함하는 서셉터 조립체들에 관한 것이다. 포지셔닝 시스템은 바닥 플레이트, 상단 플레이트, 및 바닥 플레이트와 상단 플레이트 사이에 포지셔닝되고 바닥 플레이트 및 상단 플레이트와 접촉하는 적어도 3개의 액추에이터들을 포함한다. 액추에이터들 각각은 바디(body), 및 바디 내에 포지셔닝된 봉 단부를 갖는 봉(rod)을 갖는다. 각각의 봉은, 상단 플레이트를 바닥 플레이트에 더 근접하도록 또는 바닥 플레이트로부터 더 멀어지도록 이동시키기 위해, 바디의 축을 따라 슬라이드 가능하게 이동가능하다.
[0004] 본 개시내용의 부가적인 실시예들은 바닥을 갖는 진공 챔버를 포함하는 프로세싱 챔버들에 관한 것이고, 그 바닥은 바닥을 통하는 개구를 갖는다. 서셉터 조립체는 서셉터를 지지할 수 있는 샤프트 및 포지셔닝 시스템을 포함한다. 포지셔닝 시스템은 바닥 플레이트, 상단 플레이트, 및 바닥 플레이트와 상단 플레이트 사이에 포지셔닝되고 바닥 플레이트 및 상단 플레이트와 접촉하는 적어도 3개의 액추에이터들을 포함한다. 액추에이터들 각각은 바디, 및 바디 내에 포지셔닝된 봉 단부를 갖는 봉을 갖는다. 각각의 봉은, 상단 플레이트를 바닥 플레이트에 더 근접하도록 또는 바닥 플레이트로부터 더 멀어지도록 이동시키기 위해, 바디의 축을 따라 슬라이드 가능하게 이동가능하다. 서셉터 조립체는 샤프트가 진공 챔버의 바닥에서의 개구를 통해 연장되로고 포지셔닝된다. 서셉터는 진공 챔버 내에서 샤프트의 상단에 연결된다.
[0005] 본 개시내용의 부가적인 실시예들은 바닥을 갖는 진공 챔버를 포함하는 프로세싱 챔버들에 관한 것이고, 그 바닥은 바닥을 통하는 개구를 갖는다. 샤프트는 개구를 통해 연장되고, 진공 챔버 내에서 서셉터를 지지한다. 베어링 조립체는, 샤프트와 진공 챔버 사이에 밀봉을 형성하도록, 샤프트 주위에 포지셔닝된 구면 롤러 베어링을 포함한다.
[0006] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 개시내용의 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0007] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0008] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 사시도를 도시한다.
[0009] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0010] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0011] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0012] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 사시도를 도시한다.
[0013] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예들과 함께 사용하기 위한 v-블록의 사시도를 도시한다.
[0014] 도 8a 및 도 8b는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 구면 봉 단부들을 갖는 선형 액추에이터들을 도시한다.
[0015] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 사시도를 도시한다.
[0016] 도 10은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 사시도를 도시한다.
[0017] 도 11은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 구면 베어링 조립체의 부분적인 단면도를 도시한다.
[0018] 도 12a 및 도 12b는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 사용 시의 구면 베어링 조립체의 부분적인 단면도들을 도시한다.
[0019] 도 13은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 구면 베어링의 부분적인 단면도를 도시한다.
[0020] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구축 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고, 다양한 방식들로 실시 또는 수행될 수 있다. 설명되는 실시예들 및 예시들은 단지 예들로서 의도되고, 개시되는 장치 또는 방법을 제한하는 것으로 해석되지 않아야 한다.
[0021] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안에 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은, 제한되지 않게, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 폴리싱하고, 에칭하고, 환원시키고, 산화하고, 수산화하고, 어닐링하고, 그리고/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우에, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0022] 하나 또는 그 초과의 실시예들에 따르면, 장치 및 방법들은 원자 층 증착(ALD) 프로세스와 함께 사용될 수 있다. 그러한 실시예들에서, 기판 표면은 순차적으로 또는 실질적으로 순차적으로 전구체들(또는 반응성 가스들)에 노출된다. 본 명세서의 전체에 걸쳐 본원에서 사용되는 바와 같이, "실질적으로 순차적으로"는 전구체 노출의 지속기간의 대부분이 공동-시약(co-reagent)에 대한 노출과 겹치지 않는 것(그러나, 약간 겹칠 수 있음)을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등과 같은 용어들은 기판 표면과 반응할 수 있는 임의의 가스 종을 지칭하기 위해 교환가능하게 사용된다.
[0023] 설명되는 다양한 실시예들은 다중-축 운동이 사용되는 임의의 타입의 시스템과 함께 채용될 수 있다. 설명의 목적들을 위해, 실시예들은 공간적인 ALD 배치 프로세싱 챔버와 함께 사용되는 것으로 도시된다. 당업자는 장치 및 방법들이 다른 환경들에서 또는 다른 프로세싱 챔버들과 함께 사용하도록 적응될 수 있다는 것을 이해할 것이다. 예를 들자면, 시간-도메인 ALD 프로세싱 챔버, 화학 기상 증착 챔버가 있다.
[0024] 도 1은, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 배치 프로세싱 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 서셉터 조립체(140)를 향하여 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 외측 에지(124)를 포함하며, 도시된 실시예들에서, 외측 에지(124)는 실질적으로 둥글다.
[0025] 사용되는 가스 분배 조립체(120)의 특정한 타입은 사용되고 있는 특정한 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템과 함께 사용될 수 있다. 이원(binary) 반응에서, 복수의 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면을 향하여 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세싱 구역 밖으로 이동한다.
[0026] 몇몇 실시예들에서, 가스 분배 조립체(120)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 또는 그 초과의 실시예들에서, 도 2에서 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별적인 섹터들(예컨대, 인젝터 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들과 함께 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0027] 서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 포지셔닝된다. 서셉터 조립체(140)는 상단 표면(141), 및 상단 표면(141)에서의 적어도 하나의 오목부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 오목부(142)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 1에서 도시된 실시예에서, 오목부(142)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 변화될 수 있다. 몇몇 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처(feature)들의 존재에 따라 변화될 수 있다.
[0028] 몇몇 실시예들에서, 도 1에서 도시된 바와 같이, 서셉터 조립체(140)의 상단 표면(141)에서의 오목부(142)는 오목부(142)에서 지지되는 기판(60)이 서셉터(140)의 상단 표면(141)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ± 0.5 mm, ± 0.4 mm, ± 0.3 mm, ± 0.25 mm, ± 0.2 mm, ± 0.15 mm, ± 0.10 mm, 또는 ± 0.05 mm 내에서 동일 평면 상에 있는 것을 의미한다.
[0029] 도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 샤프트(160)를 포함한다. 서셉터 조립체는 샤프트(160)의 중심 내에 가열기, 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 샤프트(160)는 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(140)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 마이크로-조정들을 행할 수 있는 미세 튜닝 액추에이터들(162)을 포함할 수 있다. 몇몇 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 또는 약 1 mm이다.
[0030] 도면들에서 도시된 프로세싱 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 2에서 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 인젝터 유닛들(122)을 포함할 수 있으며, 각각의 인젝터 유닛(122)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(122)이 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(140) 위에 포지셔닝된 것으로 도시된다. 이러한 수의 인젝터 유닛들(122)은 예시적인 목적들만을 위해 도시된다. 더 많거나 또는 더 적은 인젝터 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 몇몇 실시예들에서, 서셉터 조립체(140)의 형상과 일치하는 형상을 형성하도록 충분한 수의 파이-형상 인젝터 유닛들(122)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(122) 각각은 다른 인젝터 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 하나의 세그먼트가 로봇으로 하여금 기판들(60)을 로딩/언로딩하기 위해 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근할 수 있게 하도록 상승될 수 있다.
[0031] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 3에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 인젝터 조립체들(30) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(140)를 회전시키는 것(17)은, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)으로 하여금, 가스 분배 조립체들(120) 아래에 점선 원으로 예시된 바와 같이, 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 인젝터 조립체들(30)로부터 멀어지도록 이동시킬 것이다. 공간적인 ALD 인젝터들로 인해, 인젝터 조립체에 대한 웨이퍼의 이동 동안에 웨이퍼 상에 막이 증착된다. 몇몇 실시예들에서, 서셉터 조립체(140)는 기판들(60)이 가스 분배 조립체들(120) 아래에서 정지하는 것을 방지하는 증분들로 회전된다. 기판들(60) 및 가스 분배 조립체들(120)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 가스 분배 조립체들의 수와 동일한 수의 프로세싱되고 있는 웨이퍼들이 존재한다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 조립체들의 수의 정수배 또는 일부분(fraction)이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우에, 4x개의 프로세싱되고 있는 웨이퍼들이 존재하며, 여기에서, x는 1과 동일하거나 또는 그 초과인 정수 값이다.
[0032] 도 3에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(또한, 인젝터 조립체들(30)이라고 호칭됨)이 존재한다. 도시된 프로세싱 챔버(100)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(120)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 2에서 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0033] 도 3에서 도시된 실시예는 로드 락 챔버(180), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예컨대, 기판들(또한, 기판들(60)이라고 지칭됨)이 챔버(100)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(100)의 측에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키도록 챔버(180)에 포지셔닝될 수 있다.
[0034] 캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되고 정지될 수 있고, 그 후에, 인젝터들 사이의 구역(84)으로 이동되고 정지될 수 있다. 예컨대, 캐러셀은 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러(또는, 인젝터 근처에 정지하고) 다음 인젝터-간 구역 상으로 이동하도록 회전할 수 있으며, 그 다음 인젝터-간 구역에서 캐러셀이 다시 멈출 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0035] 도 4는, 인젝터 유닛(122)이라고 지칭될 수 있는, 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(122)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 5에서 도시된 바와 같이, 도 4의 인젝터 유닛들(122) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않는다). 도 4의 인젝터 유닛(122)이 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135) 양자 모두를 갖지만, 인젝터 유닛(122)은 모든 그러한 컴포넌트들을 필요로 하지 않는다.
[0036] 도 4 및 도 5 양자 모두를 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(122))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 155) 및 진공 포트들(145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 155) 및 진공 포트들(145)은 가스 분배 조립체(220)의 외측 주변 에지(124)에 인접한 영역을 향하여 내측 주변 에지(123)에 인접한 영역으로부터 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(125), 제2 반응성 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0037] 도 4 또는 도 5에서 도시된 실시예들을 참조하면, 포트들이 적어도 내측 주변 구역 주위로부터 적어도 외측 주변 구역 주위로 연장되는 것으로 말하고 있지만, 포트들은 단지 반경방향으로 내측 구역으로부터 외측 구역으로 연장되는 것에 그치지 않을 수 있다. 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러싸면서 포트들이 접선방향으로 연장될 수 있다. 도 4 및 도 5에서 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(125, 135)은, 진공 포트(145)에 의해, 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하여 모든 에지들이 둘러싸인다.
[0038] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(127)를 따르면, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135), 및 진공 포트(145)를 "보게(see)'될 것이거나 또는 이들에 노출될 것이다. 따라서, 도 4에서 도시된 경로(127)의 끝에서, 기판이 제1 반응성 가스 포트(125) 및 제2 반응성 가스 포트(135)로부터의 가스 스트림들에 노출되었고, 그에 따라, 층이 형성되었다. 도시된 인젝터 유닛(122)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 5에서 도시된 가스 분배 조립체(220)는 연속하여 연결된 도 4의 인젝터 유닛들(122) 4개의 조합인 것으로 고려될 수 있다.
[0039] 도 4의 인젝터 유닛(122)은 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에서 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 반응성 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0040] 도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세싱 구역들(250)로의 분리를 형성한다. 프로세싱 구역들은 개별적인 반응성 가스 포트들(125, 135) 주위로 대략적으로 정의되며, 가스 커튼(150)이 250 사이에 있다. 도 5에서 도시된 실시예는 8개의 별개의 가스 커튼들(150)이 사이에 있는 8개의 별개의 프로세싱 구역들(250)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세싱 구역을 가질 수 있다. 몇몇 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세싱 구역들이 존재한다.
[0041] 프로세싱 동안에, 기판은 임의의 주어진 시간에서 하나 초과의 프로세싱 구역(250)에 노출될 수 있다. 그러나, 상이한 프로세싱 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 반응성 가스 포트(135)를 포함하는 프로세싱 구역에 기판의 선행 에지가 진입하는 경우에, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(125)를 포함하는 프로세싱 구역에 있을 것이다.
[0042] 예컨대 로드 락 챔버일 수 있는 팩토리 인터페이스(280)가 프로세싱 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)(또한, 가스 분배 플레이트라고 지칭됨)의 전방 표면(121) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 3 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(125) 근처에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문에, 프로세싱 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(127)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(100) 주위에서 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은 사이에 있는 모든 프로세싱 구역들을 포함하여 8번째의 프로세싱 구역(250h)을 거쳐 제1 프로세싱 구역(250a)에 노출될 것이다. 프로세싱 챔버 주위를 도는 각각의 사이클에 대해, 도시된 가스 분배 조립체를 사용하여, 기판(60)은 제1 반응성 가스와 제2 반응성 가스의 4개의 ALD 사이클들에 노출될 것이다.
[0043] 4개의 운동 축들을 갖는 정밀 선형 포지셔닝 시스템이 가스 인젝터에 매우 가까이 서셉터를 포지셔닝하기 위해 사용될 수 있다. 이는 도 6에서 볼 수 있다. 포지션 시스템은 바닥 플레이트 표면에 수직으로 견고하게 탑재된 3개의 동일하게 이격된 선형 액추에이터들을 갖는 바닥 플레이트를 사용하여 구축될 수 있다. 각각의 액추에이터는 정밀 수직 운동을 제공할 수 있고, 4-자유도(4-DOF) 조인트로 상단 플레이트에 커플링된다. 몇몇 실시예들에서, 도 8a 및 도 8b에서 도시된 바와 같이, 4-DOF 조인트는 선형 베어링에 부착된 베어링에 구면 봉을 포함할 수 있다. 몇몇 실시예들에서, 4-DOF 조인트는 상단 플레이트의 중심과 정렬된 피치(pitch), 요(yaw), 롤(roll), 및 하나의 선형 자유도를 제공하는 운동학적인(kinematic) 커플링 피처(도 9 참조)를 포함한다. 서셉터를 위한 회전 접근은 프로세싱 및 제4 운동 축을 부가하기 위해 상단 플레이트에 통합될 수 있다. 하나 또는 그 초과의 실시예들에서, 시스템은 0.005 인치 미만까지 포지션 반복성(repeatability)을 제공한다.
[0044] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 서셉터 조립체를 포함하는 프로세싱 챔버를 도시한다. 서셉터 조립체(340)는 서셉터(341)를 지지할 수 있는 샤프트(160)를 포함한다. 서셉터(340)는 평탄한 플레이트인 것으로 도시되지만, 도 2에서 도시된 것들과 같은 오목부들 또는 포켓들을 또한 포함할 수 있다.
[0045] 도 6을 다시 참조하면, 포지셔닝 시스템(300)은 서셉터(341)를 이동시키기 위해 샤프트(160)와 연통한다. 이에 대해 사용되는 바와 같이, "연통한다"라는 용어는, 컴포넌트들 중 적어도 하나가, 직접적으로 또는 간접적으로, 다른 컴포넌트와 접촉하거나, 또는 다른 컴포넌트의 포지션에 영향을 미칠 수 있는 것을 의미한다. 몇몇 실시예들의 포지셔닝 시스템(300)은 서셉터(341)를 z-축을 따라(즉, 도면들에서 위 및 아래로) 이동시킬 수 있고, 서셉터(341)가 가스 분배 조립체(320)에 대하여 기울어지게 하도록 x-축 또는 y-축을 따라 이동시킬 수 있다.
[0046] 도 6에서의 포지셔닝 시스템(300)은 바닥 플레이트(301), 상단 플레이트(302), 및 적어도 3개의 액추에이터들(310)을 포함한다. 액추에이터들(310) 각각은 바닥 플레이트(301)와 상단 플레이트(302) 사이에 포지셔닝되고, 바닥 플레이트(301) 및 상단 플레이트(302)와 접촉한다. 액추에이터들(310) 각각은 바디(311), 및 바디(311) 내에서 이동가능한 봉 단부(313)를 갖는 봉(312)을 갖는다. 봉들(312) 각각은 바디로부터 연장되는 봉의 길이가 변화될 수 있도록 바디 내에서 슬라이드 가능하게 이동가능하다. 따라서, 봉들(312)은 상단 플레이트(302)를 바닥 플레이트(301)에 더 근접하도록 또는 더 멀어지도록 이동시키기 위해 바디(311)의 축을 따라 이동될 수 있다. 본원에서 사용되는 바와 같이, 가스 분배 조립체(320)에 더 근접하게 되는 또는 더 멀어지게 되는 서셉터(341)의 이동은 z-축을 따르는 이동이라고 지칭된다.
[0047] 도 6에서 도시된 실시예는 각각의 액추에이터가 접촉하는 v-블록들(316)을 포함한다. 도 8a 및 도 8b는 v-블록(316)의 확대도를 도시한다. v-블록(316)에서의 그루브(groove)(317)는 상단 플레이트(302)의 중심에 대하여 반경방향으로 정렬된다. 이에 대해 사용되는 바와 같이, 상단 플레이트(302)의 "중심"은 액추에이터들 및 샤프트에 대한 운동의 중심(center of the motion)을 의미한다. 상단 플레이트의 형상은 중심에 대하여 동심적일 수 있거나, 또는 불규칙적일 수 있다. 이동의 중심과의 반경방향 정렬은, 봉(312)의 단부(313)가, v-블록의 그루브(317)를 따라 정의된 길이를 따라 중심을 향하여 그리고 중심으로부터 멀어지도록 슬라이드할 수 있게 한다.
[0048] 몇몇 실시예들에서, v-블록(316)은 v-블록(316)의 어느 하나의 단부 또는 양 단부 상에 포지셔닝된 단부 플레이트(318)를 더 포함한다. v-블록(316)은 단부 플레이트(318)가 v-블록(316)의 외측 단부에 있도록 포지셔닝될 수 있고, 그에 따라, 봉(312)의 단부(313)의 이동이 중심으로부터 단부 플레이트(318)보다 더 멀리 연장될 수 없게 된다.
[0049] 도 6에서 도시된 실시예는 중력 지지되고, 그에 따라, 상단 플레이트(302)와 봉(312)의 단부(313) 사이에 기계적인 연결이 전혀 존재하지 않는다. 몇몇 실시예들에서, 상단 플레이트(302)와 바닥 플레이트(301) 사이에 기계적인 연결이 존재한다. 예컨대, 도 8a 및 도 8b는 각각의 액추에이터(310)가 선형 베어링(379)과 접촉하는 기계적으로 연결된 시스템을 도시한다. 도 8a는, 봉(312)이 바디(311)의 상단으로부터 연장된, 액추에이터(310)의 정면도를 도시한다. 도시된 실시예에서, 봉 단부(313)는 소켓(375)과 연결하기 위한 구면 베어링(374)을 갖는다. 이에 대해 사용되는 "구면"이라는 용어는 봉의 단부가 볼록한 측들을 갖는 것을 의미하며, 완벽한 구를 암시하는 것이 아니다. 구면 베어링(374)의 볼록한 측들의 목적은 소켓(375)의 오목한 부분들(376)과 협력적으로 상호작용하는 것이다. 구면 베어링(374)과 소켓(375)의 협력적인 상호작용은, 봉(312)이 이동함에 따라, 베어링과 소켓의 정렬이 각도들을 변화시킬 수 있게 한다. 소켓(375)은 브래킷(377)을 가지며, 브래킷(377)은 그 브래킷(377)을 통하는 채널(378)을 갖는다. 도 8b는 도 8a의 액추에이터의 측면도를 도시한다. 브래킷(377)의 채널(378)은 선형 베어링(379)과 협력적으로 상호작용할 수 있다. 도 6의 v-블록(316)과 마찬가지로, 선형 베어링(379)은 상단 플레이트(302)에 연결될 수 있거나, 또는 상단 플레이트(302)와 일체로 형성될 수 있다. 선형 베어링(379)은 상단 플레이트(302)의 이동의 중심에 대하여 반경방향으로 정렬될 수 있다. 봉(312)의 이동은 상단 플레이트(302)가 기울어지게 할 것이고, 브래킷(377)이 선형 베어링(379)의 길이(즉, 길이방향 축)를 따라 슬라이드하게 할 것이다. 동작의 임의의 특정한 이론에 의해 구속되지 않지만, 봉 단부(313)가 v-블록(316), 선형 베어링(379), 또는 다른 베어링 타입 컴포넌트를 따라 슬라이드할 수 있게 하는 것은 컴포넌트들 상의 응력을 최소화하는 것으로 생각된다. 몇몇 실시예들의 베어링들은 운동의 적절한 범위를 가능하게 하고, 지지된 엘리먼트들의 포지티브 리텐션(positive retention)을 제공하여, 맞물림 해제하지 않으면서(v-블록들을 들어 올리지 않으면서) 엘리먼트들의 반전(inversion)을 가능하게 한다.
[0050] 각각의 액추에이터의 조합된 운동과 포지션은, 본 실시예에서는 서셉터를 포지셔닝하기 위한 정밀 피치, 롤, 및 z 운동을 제공한다. 이동은 사용되는 운동 액추에이터들의 분해능(resolution)/정확도에 따라, 매우 엄격한 허용오차들까지, 인젝터 조립체에 대해 서셉터를 정렬할 수 있다. 몇몇 실시예들에서, 이동은 약 0.05, 0.045, 0.04, 0.035, 0.03, 0.025, 0.02, 0.015, 0.01, 또는 0.005 인치 미만까지 인젝터 조립체에 대해 서셉터를 정렬할 수 있다. 포지셔닝 시스템(300)에 의해 제공되는 운동은 벨로즈 또는 립 밀봉부를 이용한 진공 챔버 내로의 수직 액추에이터 어레인지먼트의 통합을 가능하게 한다. 종래의 시스템들에서, 바닥 플레이트 상의 힌지된 액추에이터로 인해 전체 액추에이터 샤프트가 다수의 방향들로 이동하여, 진공 피드스루(vacuum feedthrough)가 설계되기 어렵게 한다.
[0051] 도 6을 다시 참조하면, 포지셔닝 시스템(300)은 진공 챔버(101) 외부에 위치될 수 있다. 여기에서, 진공 챔버(101)의 바닥은 그 바닥을 통하는 개구(102)를 갖는다. 샤프트(160)가 개구(102)를 통과하고, 진공 챔버(101) 내부에서 서셉터(341)를 지지하고, 포지셔닝 시스템(300)의 상단 플레이트(302)와 연통하거나 또는 상단 플레이트(302)에 연결된다.
[0052] 진공 챔버(101) 상의 기밀 밀봉을 유지하기 위해, 벨로즈(382)가 포함될 수 있다. 도 6의 벨로즈(382)는 상단 플레이트(302) 및 진공 챔버(101)에 연결되거나 또는 접촉한다. z-축을 따르는 샤프트(160)의 이동은 벨로즈(382)가 진공 챔버(101)에서의 진공을 파괴시키지 않으면서 팽창 또는 수축되게 한다. 벨로즈가 도시되지만, 당업자는 다른 밀봉 메커니즘들이 채용될 수 있다는 것을 이해할 것이다. 예를 들자면, 립 밀봉부들, 자기 커플링들, 또는 진공으로 선형 운동 축을 밀봉하는 임의의 다른 방법이 있다.
[0053] 도 9는 포지셔닝 시스템이 진공 챔버(101) 내에 위치된 다른 실시예를 도시한다. 여기에서, 샤프트(160)는 포지셔닝 시스템(300)의 상단 플레이트(302) 및 바닥 플레이트(301)를 통해 연장된다. 포지셔닝 시스템(300)은 진공 챔버 내에 완전히 위치될 수 있거나, 또는 진공 챔버 내에 부분적으로 위치될 수 있다. 시스템(300)의 일부가 기밀 밀봉을 유지하면서 진공 챔버(101)에서의 개구를 통과할 수 있는 것을 예시하기 위해, 도 9에서, 벨로즈(382)가 바닥 플레이트(301) 아래에 도시된다. 도 9에서 도시된 실시예는 바닥 플레이트(301) 아래에 액추에이터 밀봉부들(319)을 포함한다. 액추에이터 밀봉부들(319)은 기밀 밀봉을 유지하면서 액추에이터들이 이동하는데 충분한 공간을 제공할 수 있다.
[0054] 도 10은, 예컨대, 가스 인젝터 플레이트에 매우 가까이 서셉터를 포지셔닝하기 위해 사용될 수 있는, 4개의 운동 축들을 갖는 정밀 선형 포지셔닝 시스템(400)의 다른 실시예를 도시한다. 시스템은 진공 챔버(101)에 부착된 구면 롤러 베어링(390)을 사용하여 구축될 수 있다. 롤러 베어링(390)은 기계적인 베어링 또는 공기 베어링일 수 있다. 롤러 베어링(390)은 z-축을 중심으로 하는 회전, x-축을 중심으로 하는 회전, 및 y-축을 중심으로 하는 회전을 위한 베어링 지지를 제공한다. 벨로즈 밀봉부라고 또한 지칭되는 벨로즈(382)가, 회전 립 밀봉부의 x-축, y-축, 및 z-축을 중심으로 하는 회전을 가능하게 하면서, 프로세스 환경과 대기 사이에 배리어를 제공하도록, 롤러 베어링(390) 아래에 포함될 수 있다. 몇몇 실시예들에서, 벨로즈 아래의 스테이지식(staged) 진공 립 밀봉부(미도시)가 z-축을 중심으로 하는 회전 운동(세타(theta) 회전)을 위한 진공 격리 배리어를 제공한다. 회전 모터(세타 모터(355)라고 또한 호칭됨)가, 립 밀봉부와 통합되고 그리고 로드(load)를 지지하고 작동시키는 x, y, 및 z 스테이지들(420)을 위한 탑재 포인트를 제공하기 위해, 포지셔닝 시스템(400)의 프레임(410)에 부착될 수 있다. 세타 모터(355)/립 밀봉부를 갖는 프레임(410)은 인젝터 플레이트에 대해 서셉터(341)를 정렬하기 위한 정밀 운동을 제공하기 위해 x, y, 및 z 스테이지(420)에 부착될 수 있다.
[0055] 도 6, 도 9, 및 도 10에서 도시된 세타 모터(355)는 서셉터(341)를 회전시키도록 샤프트(160)를 회전시킨다. 세타 모터(355)는 무거운 컴포넌트들을 정확하게 그리고 지속적으로 회전시킬 수 있는 임의의 적합한 모터일 수 있다.
[0056] 도 10에서 도시된 프레임(410)은 상단 플레이트(302) 및 바닥 플레이트(301)를 포함한다. 상단 및 바닥 플레이트들은 복수의 지지 봉들(411)에 의해 연결된다. 상단 플레이트(302)와 바닥 플레이트(301) 사이의 거리는 그 사이에 위치된 컴포넌트들의 사이즈에 따라 임의의 적합한 거리일 수 있다. 예컨대, 도 10에서 도시된 실시예에서, 상단 플레이트(302)와 바닥 플레이트(301) 사이의 최소의 거리는 세타 모터(355)에 의해 점유된 공간의 양이다.
[0057] 도시된 스테이지(420)는 x-축 모터들, y-축 모터들, 및 z-축 모터들의 조합으로 구성된다. x-축 운동은 슬라이드 가능한 플랫폼(422)을 상부에 갖는 x-축 트랙(421)을 사용하여 행해질 수 있다. 플랫폼(422)은 서셉터를 기울이도록 x-축 트랙(421)의 길이를 따라 이동할 수 있다. 샤프트(160)가 진공 챔버(101)에서의 개구(102)를 통과하는 포인트는 거의 고정된 포인트로서 작용하고, 그에 따라, 플랫폼(422)을 이동시키는 것은 서셉터가 개구 위치를 중심으로 피벗(pivot)되게 한다. y-축 운동은 슬라이드 가능한 플랫폼(426)을 상부에 갖는 y-축 트랙(424)을 사용하여 행해질 수 있다. 플랫폼(426)은 x-축에 수직인 축으로 서셉터를 기울이도록 y-축 트랙(424)의 길이를 따라 이동할 수 있다. z-축 운동은 z-축을 따라 이동하는 액추에이터(429)에 연결된 z-축 모터(428)를 사용하여 행해질 수 있다. 액추에이터(429)는 도 10에서 도시된 것과 같은 플레이트를 사용하여 프레임(410)에 기계적으로 연결될 수 있다. 몇몇 실시예들에서, 액추에이터(429)는 기계적인 파스너들을 이용하지 않고 마찰 상호작용에 의해 프레임(410)과 맞물린다. 스테이지(420)는 도시된 바와 같은 스택된(stacked) 선형 트랙들을 가질 수 있거나, 또는 호(arc) 형상 트랙들을 포함하는(그러나, 이에 제한되지 않음) 다른 형상들을 가질 수 있다. 스테이지(420)는 트라이포드(tripod) 및 헥사포드(hexapod)를 포함하는(그러나, 이에 제한되지 않음) 다른 타입들의 다중-축 컴포넌트들일 수 있다.
[0058] 본 개시내용의 하나 또는 그 초과의 실시예들은 서셉터의 4-DOF 운동 및 진공 격리를 포함한다. 운동들은 x-축을 중심으로 하는 회전, y-축을 중심으로 하는 회전, z-축으로의 병진운동, 및 z-축을 중심으로 하는 회전을 포함한다. 몇몇 실시예들의 스테이지들은 로드에 거의 수직인 캐리지들의 스택의 바닥에서 로드를 핸들링할 수 있도록 포지셔닝된다. 따라서, 몇몇 실시예들은, 간단하고 신뢰가능한 통합을 위해 쉽게 분리될 수 있는 단일 컴포넌트로 베어링 지지, 운동, 및 진공 격리를 제공한다.
[0059] 도 11을 참조하면, 몇몇 실시예들은 벨로즈(382)와 진공 챔버(101) 사이에 베어링 조립체(440)를 포함한다. 도시된 베어링 조립체는 립 밀봉부(442)(또는 스테이지식 진공), 립 밀봉부(442)에 연결된 벨로즈(382), 및 벨로즈(382)와 진공 챔버(1010 사이의 연결 플레이트(444)를 포함한다. 베어링 조립체(440)는 대기와 진공 챔버(101)의 내부 사이에 진공 밀봉을 생성한다. 구역(445)은 진공 챔버(101)와 동일한 압력 하에 있을 수 있거나 또는 상이한 압력 하에 있을 수 있고, 그리고 어떠한 누설도 진공 챔버(101)에 영향을 미치지 않는 것을 보장하기 위해 스테이지식 진공을 포함할 수 있다.
[0060] 도시된 베어링 조립체(440)는, 샤프트(160) 주위에 포지셔닝되고 샤프트(160)와 진공 챔버(101) 사이에 밀봉을 형성하는 구면 롤러 베어링(450)을 포함한다. 구면 롤러 베어링(450)은 진공 챔버(101)의 개구(102)에 포지셔닝된다. 구면 롤러 베어링(450)은 2개의 주 컴포넌트들; 내측 링(452) 및 외측 링(454)을 갖는다. 샤프트(160)가 z-축을 중심으로 회전되는 경우에, 내측 링(452)이 또한 회전된다. 샤프트(160)의 회전에 대하여, 회전의 양은, 내측 링(452)의 타입에 따라, 완전히 정지된 것(즉, 회전되지 않음)에서 샤프트의 회전 속도까지 임의의 양일 수 있다. 몇몇 실시예들에서, 내측 링(452)은 샤프트(160)와 동일한 속도로 회전한다. 외측 링(454)은 제자리에 고정된 상태로 유지되고, 내측 링(452)이 x-y 평면에서, 즉 z-축을 중심으로 회전할 수 있게 한다. 부가적으로, 외측 링(454)은, 서셉터(미도시)가 기울어짐에 따라, 내측 링(452)이 x-z 및 y-z 평면들에서 회전할 수 있게 하여, 외측 링(454)의 주 평면과 직각을 이루지 않는 방향으로, 샤프트(160)가 외측 링(454)을 통과하는 것을 가능하게 할 수 있다. 도 12a는 샤프트가 외측 링(454)의 평면과 직각을 이루면서 연장되는 구면 롤러 베어링(450)의 부분적인 두면을 도시한다. 도 12b는 샤프트(160)가 외측 링(454)의 평면에 더 이상 수직이 아니도록 x-z 평면에서 기울어진 구면 롤러 베어링(450)의 부분적인 도면을 도시한다. 크로스-해칭이 상이한 컴포넌트들을 표시하기 위해 사용되고, 반드시 개별적인 컴포넌트들을 구성하는 재료들을 나타내는 것은 아니다. 예컨대, 내측 링, 외측 링, 및 샤프트가 전부 알루미늄으로 제조될 수 있거나, 또는 각각의 컴포넌트는 상이한 재료일 수 있다. 도시된 외측 링(454)은 연결 플레이트(444)에서의 갭(456)에 포지셔닝된다. 갭(456)은, 외측 링을 고정적으로 홀딩하고 진공 챔버(101)의 내부와 구역(445) 사이의 가스 누설을 방지 또는 최소화하도록 사이즈가 설정될 수 있다.
[0061] 몇몇 실시예들에서, 랩 밀봉부(442)는 샤프트(160) 상의 고정된 위치에 있고, 그에 따라, 샤프트가 상승 또는 하강되는 경우에, 립 밀봉부(442)가 z-축을 따라 샤프트(160)와 함께 이동하게 된다. 벨로즈(382)는 립 밀봉부(442)와 진공 챔버(101)의 바닥 사이의 진공 밀봉을 유지하도록 팽창 및 수축된다. 립 밀봉부(442)는 z-축을 중심으로 하는 샤프트(160)의 회전을 가능하게 한다.
[0062] 도 13은 내측 링(452) 및 외측 링(454) 양자 모두가 평면형 대신에 반원형인 다른 구면 롤러 베어링(450)을 도시한다. 다른 구면 롤러 베어링들과 같이, 외측 링(454) 내에서의 내측 링(452)의 기울어짐은 내측 및 외측 링들의 형상 및 사이즈에 따라 변화될 수 있다. 도 13의 실시예에서, 샤프트(160)에 적용될 수 있는 기울어짐의 양은 외측 링에서의 개구(458)의 사이즈에 따라 좌우된다.
[0063] 사용하는데 적합한 롤러 베어링들은, 기계적인 베어링들, 공기 베어링들, x-축, y-축, 및 z-축을 중심으로 하는 회전, 및 z-축을 따르는 병진운동을 지원하는 베어링들을 포함한다(그러나, 이에 제한되지 않는다). 스테이지식 진공 또는 립 밀봉부는 내측 링(452)과 외측 링(454) 사이에서, 그리고 내측 링(452)과 샤프트(160) 사이에서 사용될 수 있다. 이는 여전히 회전을 가능하게 하면서 진공 배리어를 제공할 수 있다.
[0064] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 개소들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0065] 여기에서 본 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 적용들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 서셉터 조립체로서,
    서셉터를 지지할 수 있는 샤프트; 및
    바닥 플레이트, 상단 플레이트, 및 상기 바닥 플레이트와 상기 상단 플레이트 사이에 포지셔닝되고(positioned) 상기 바닥 플레이트 및 상기 상단 플레이트와 접촉하는 적어도 3개의 액추에이터들을 포함하는 포지셔닝 시스템
    을 포함하며,
    상기 액추에이터들 각각은 바디(body), 및 상기 바디 내에 포지셔닝된 봉 단부를 갖는 봉(rod)을 갖고, 각각의 봉은, 상기 상단 플레이트를 상기 바닥 플레이트에 더 근접하도록 또는 상기 바닥 플레이트로부터 더 멀어지도록 이동시키기 위해, 상기 바디의 축을 따라 슬라이드 가능하게 이동가능한,
    서셉터 조립체.
  2. 제 1 항에 있어서,
    각각의 액추에이터는 상기 상단 플레이트 상의 v-블록과 접촉하며,
    각각의 v-블록은 그루브(groove)를 갖고, 상기 그루브는, 상기 봉 단부가 상기 그루브의 길이를 따라 이동할 수 있도록, 상기 바닥 플레이트를 향하고, 상기 상단 플레이트의 중심에 대하여 반경방향으로 정렬되는,
    서셉터 조립체.
  3. 제 2 항에 있어서,
    상기 v-블록들 각각은, 상기 봉 단부가 상기 v-블록 밖으로 슬라이드하는 것을 방지하기 위해, 상기 상단 플레이트의 중심에 대하여 상기 v-블록의 외측 단부에 포지셔닝된 단부 플레이트를 더 포함하는,
    서셉터 조립체.
  4. 제 1 항에 있어서,
    각각의 액추에이터는 상기 상단 플레이트 상의 선형 베어링과 접촉하며,
    각각의 선형 베어링은 상기 상단 플레이트의 중심에 대하여 반경방향으로 정렬되는,
    서셉터 조립체.
  5. 제 4 항에 있어서,
    상기 액추에이터들의 상기 봉 단부는 상기 선형 베어링 상의 소켓과 연결하기 위한 구면 베어링을 갖는,
    서셉터 조립체.
  6. 프로세싱 챔버로서,
    바닥을 갖는 진공 챔버 ― 상기 바닥은 상기 바닥을 통하는 개구를 가짐 ―;
    상기 샤프트가 상기 진공 챔버의 바닥에서의 상기 개구를 통해 연장되도록 포지셔닝된 제 1 항 내지 제 5 항 중 어느 한 항에 기재된 서셉터 조립체; 및
    상기 진공 챔버 내에서 상기 샤프트의 상단에 연결된 서셉터
    를 포함하는,
    프로세싱 챔버.
  7. 제 6 항에 있어서,
    기밀 밀봉을 형성하도록, 상기 진공 챔버에 상기 바닥 플레이트를 연결시키는 벨로즈를 더 포함하는,
    프로세싱 챔버.
  8. 제 7 항에 있어서,
    상기 벨로즈와 상기 진공 챔버 사이에 베어링 조립체를 더 포함하는,
    프로세싱 챔버.
  9. 제 8 항에 있어서,
    상기 베어링 조립체는, 상기 샤프트 주위에 포지셔닝되고 상기 샤프트와 상기 진공 챔버 사이에 밀봉을 형성하는 구면 롤러 베어링을 포함하는,
    프로세싱 챔버.
  10. 제 6 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 샤프트를 회전시키기 위한 세타 모터를 더 포함하는,
    프로세싱 챔버.
  11. 프로세싱 챔버로서,
    바닥을 갖는 진공 챔버 ― 상기 바닥은 상기 바닥을 통하는 개구를 가짐 ―;
    상기 개구를 통해 연장되는 샤프트 ― 상기 샤프트는 상기 진공 챔버 내에서 서셉터를 지지함 ―; 및
    상기 샤프트와 상기 진공 챔버 사이에 밀봉을 형성하도록, 상기 샤프트 주위에 포지셔닝된 구면 롤러 베어링을 포함하는 베어링 조립체
    를 포함하는,
    프로세싱 챔버.
  12. 제 11 항에 있어서,
    상기 베어링 조립체는 벨로즈 및 립 밀봉부를 더 포함하며,
    상기 벨로즈는, 기밀 연결을 형성하도록, 상기 진공 챔버의 바닥에 상기 립 밀봉부를 연결시키는,
    프로세싱 챔버.
  13. 제 12 항에 있어서,
    상기 립 밀봉부는, 상기 벨로즈가 진공 밀봉을 유지하도록 팽창되거나 또는 수축되도록, 상기 서셉터를 상승시키거나 또는 하강시킬 시에, z-축을 따라 상기 샤프트와 함께 이동하는,
    프로세싱 챔버.
  14. 제 11 항 내지 제 13 항 중 어느 한 항에 있어서,
    바닥 플레이트, 상단 플레이트, 및 상기 바닥 플레이트와 상기 상단 플레이트 사이에 포지셔닝되고 상기 바닥 플레이트 및 상기 상단 플레이트와 접촉하는 적어도 3개의 액추에이터들을 더 포함하며,
    상기 액추에이터들 각각은 바디, 및 상기 바디 내에 포지셔닝된 봉 단부를 갖는 봉을 갖고, 각각의 봉은, 상기 상단 플레이트를 상기 바닥 플레이트에 더 근접하도록 또는 상기 바닥 플레이트로부터 더 멀어지도록 이동시키기 위해, 상기 바디의 축을 따라 슬라이드 가능하게 이동가능한,
    프로세싱 챔버.
  15. 제 11 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 샤프트와 연통하는 스테이지를 더 포함하며,
    상기 스테이지는, 상기 서셉터를 기울이고, 상승시키고, 하강시키기 위한, x-축, y-축, 및 z-축을 따르는 이동을 제공하는,
    프로세싱 챔버.
KR1020187000427A 2015-06-05 2016-05-25 서셉터 포지션 및 회전 장치, 및 사용 방법들 KR20180006496A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562171968P 2015-06-05 2015-06-05
US62/171,968 2015-06-05
US15/163,857 US10597779B2 (en) 2015-06-05 2016-05-25 Susceptor position and rational apparatus and methods of use
PCT/US2016/034039 WO2016196105A1 (en) 2015-06-05 2016-05-25 Susceptor position and rotation apparatus and methods of use
US15/163,857 2016-05-25

Publications (1)

Publication Number Publication Date
KR20180006496A true KR20180006496A (ko) 2018-01-17

Family

ID=57441596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187000427A KR20180006496A (ko) 2015-06-05 2016-05-25 서셉터 포지션 및 회전 장치, 및 사용 방법들

Country Status (4)

Country Link
US (1) US10597779B2 (ko)
JP (1) JP6802191B2 (ko)
KR (1) KR20180006496A (ko)
WO (1) WO2016196105A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024118334A1 (en) * 2022-11-28 2024-06-06 Northrop Grumman Systems Corporation Optical mount assembly with adjustment mechanism having a ball bearing

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018235619A1 (ja) * 2017-06-21 2018-12-27 東京エレクトロン株式会社 基板処理システム、基板処理方法及びコンピュータ記憶媒体
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN107723683B (zh) * 2017-10-16 2020-06-23 江苏鲁汶仪器有限公司 化学气相沉积镀膜设备
TWI802439B (zh) * 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
KR20190052533A (ko) 2017-11-08 2019-05-16 삼성전자주식회사 기판 지지 및 이송 장치, 기판 지지 및 이송 방법 및 이를 이용한 표시 장치의 제조 방법
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112639164B (zh) * 2018-09-28 2023-10-10 应用材料公司 具有动态调平的同轴升降装置
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11117265B2 (en) * 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20220025899A (ko) 2019-07-12 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
EP4018473B1 (en) * 2019-08-19 2024-07-03 Oem Group, LLC Systems and methods for a lift and rotate wafer handling process
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
EP3905311A1 (de) 2020-04-27 2021-11-03 Siltronic AG Verfahren und vorrichtung zum abscheiden einer epitaktischen schicht auf einer substratscheibe aus halbleitermaterial
KR102651307B1 (ko) * 2020-08-17 2024-03-27 도쿄엘렉트론가부시키가이샤 진공 처리 장치 및 진공 처리 장치의 제어 방법

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6140031A (ja) * 1984-07-31 1986-02-26 Tokuda Seisakusho Ltd 真空処理装置
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
US5373153A (en) 1993-01-04 1994-12-13 Motorola, Inc. Optoelectronic tilt detector having tapered floors extending in same direction
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
JP2000138282A (ja) * 1998-10-29 2000-05-16 Fujitsu Ltd 真空シール方法及び真空吸着装置
JP2003133233A (ja) 2001-10-23 2003-05-09 Hitachi Kokusai Electric Inc 基板処理装置
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
DE10217806A1 (de) * 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004165439A (ja) * 2002-11-13 2004-06-10 Canon Inc ステージ装置
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7007919B2 (en) 2003-04-17 2006-03-07 Applied Materials, Inc. Slit valve method and apparatus
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20040255442A1 (en) * 2003-06-19 2004-12-23 Mcdiarmid James Methods and apparatus for processing workpieces
JP2005039123A (ja) * 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
JP2005050904A (ja) * 2003-07-30 2005-02-24 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法、ならびに基板載置機構
JP2006013238A (ja) * 2004-06-28 2006-01-12 Hitachi Kokusai Electric Inc 半導体製造装置
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
WO2006052855A2 (en) * 2004-11-04 2006-05-18 Nikon Corporation Fine stage z support apparatus
JP4354426B2 (ja) * 2004-11-24 2009-10-28 シーケーディ株式会社 傾斜機能付き浮上ユニット及び浮上装置
WO2007018139A1 (ja) 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2008246644A (ja) * 2007-03-30 2008-10-16 Daihen Corp 搬送装置
US7972470B2 (en) 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
JP4971063B2 (ja) * 2007-07-27 2012-07-11 株式会社ダイヘン 搬送装置
JP5004891B2 (ja) * 2008-07-25 2012-08-22 ボンドテック株式会社 傾斜調整機構およびこの傾斜調整機構の制御方法
KR101862234B1 (ko) * 2009-08-20 2018-05-29 가부시키가이샤 니콘 물체 처리 장치, 노광 장치와 노광 방법, 및 디바이스 제조 방법
DE102010016477A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Thermisches Behandlungsverfahren mit einem Aufheizschritt, einem Behandlungsschritt und einem Abkühlschritt
US20120225206A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP2013187315A (ja) * 2012-03-07 2013-09-19 Nippon Seisan Gijutsu Kenkyusho:Kk インライン型プラズマcvd装置
KR101363083B1 (ko) * 2012-11-22 2014-02-14 (주)유시스템 플레이트 정렬 장치
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
JP6160287B2 (ja) * 2013-03-04 2017-07-12 日本精工株式会社 シールユニット、搬送装置および半導体製造装置
KR20150130524A (ko) 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024118334A1 (en) * 2022-11-28 2024-06-06 Northrop Grumman Systems Corporation Optical mount assembly with adjustment mechanism having a ball bearing

Also Published As

Publication number Publication date
JP6802191B2 (ja) 2020-12-16
US20160355927A1 (en) 2016-12-08
JP2018518056A (ja) 2018-07-05
US10597779B2 (en) 2020-03-24
WO2016196105A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
US10597779B2 (en) Susceptor position and rational apparatus and methods of use
JP7166858B2 (ja) 半導体プロセスモジュールのためのインサイチュ装置
US9493306B2 (en) Low cost high throughput processing platform
US10427303B2 (en) Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
US7682454B2 (en) Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20240096688A1 (en) Single wafer processing environments with spatial separation
US11180846B2 (en) Fine leveling of large carousel based susceptor
CN107735857B (zh) 基座定位及旋转设备及使用方法
JP4960362B2 (ja) バッチ堆積ツールおよび圧縮ボート
SG194310A1 (en) Carousel reactor for multi-station, sequential processing systems
TW201801138A (zh) 用於旋轉料架基座中的晶圓旋轉的設備及方法
JP2002516243A (ja) 磁気駆動装置を有する基板移送シャトル
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US20070074663A1 (en) Batch wafer handling system
US7642523B1 (en) Vacuum chamber stage with application of vacuum from below
KR20230057445A (ko) 정확한 챔버 매칭 및 프로세스 제어를 위한 페디스털 지지체 설계
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
KR20190093693A (ko) 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
US11309198B2 (en) Wafer processing system
US20220106683A1 (en) Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US11798825B2 (en) In-situ wafer rotation for carousel processing chambers
US20240213070A1 (en) Rotational indexers with wafer centering capability
US20230170247A1 (en) Substrate processing apparatus
JP7430641B2 (ja) 基板搬送装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal