JP2002516243A - 磁気駆動装置を有する基板移送シャトル - Google Patents

磁気駆動装置を有する基板移送シャトル

Info

Publication number
JP2002516243A
JP2002516243A JP2000550768A JP2000550768A JP2002516243A JP 2002516243 A JP2002516243 A JP 2002516243A JP 2000550768 A JP2000550768 A JP 2000550768A JP 2000550768 A JP2000550768 A JP 2000550768A JP 2002516243 A JP2002516243 A JP 2002516243A
Authority
JP
Japan
Prior art keywords
pinion
magnets
chamber
shuttle
magnetic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000550768A
Other languages
English (en)
Inventor
ウェンデル, ティ. ブロニガン,
ジョン, エム. ホワイト,
Original Assignee
エーケーティー株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーケーティー株式会社 filed Critical エーケーティー株式会社
Publication of JP2002516243A publication Critical patent/JP2002516243A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 半導体製造装置においてチャンバ間の直線経路に沿って基板移送シャトルを移動するための磁気駆動システムが提供される。ラック磁石を持つラックは、シャトルに固着され、ピニオン磁石を持つ回転可能なピニオンは、ラックに隣接して位置決めされ、それにより、ピニオン磁石はラック磁石と磁気的に係合できる。従って、ピニオンの回転は、シャトルを直線経路に沿って移動させるであろう。磁石は、その主軸とピニオンの回転の軸との間に螺旋角を持ち配向されてもよい。ひとつのラックおよびひとつのピニオンが、シャトルの各側面に配置される。一組の下側案内ローラはシャトルを支持し、一組の上側案内ローラは、シャトルが下側案内ローラから離れ持上がることを阻止する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
関連出願 本出願は、1997年10月8日に出願された、同時係属中の米国特許出願第
08/946,922号、発明の名称「モジュラーオンライン処理システム」に
関連するとともに、本出願と同時に出願された次の米国特許出願に関連する。す
なわち、(1)「基板移送および処理のための装置および方法」[代理人整理番
号2519/US/AKT(05542/235001)]、(2)「隔離弁」[
代理人整理番号2157/US/AKT(05542/226001)](3)
「自動基板処理システム」[代理人整理番号2429/US/AKT(0554
2/245001)]、(4)「基板移送シャトル」[代理人整理番号2688/
US/AKT(05542/265001)]、(5)「インシトゥー(In-situ
)基板移送シャトル」[代理人整理番号2703/US/AKT(05542/
266001)](6)「モジュラー基板処理システム」[代理人整理番号231
1/US/AKT(05542/233001)]、(7)「基板処理システム
用多機能チャンバ」[代理人整理番号2712/US/AKT(05542/2
68001)]である。
【0002】 上記出願は本出願の譲受人へ譲渡された出願であるが、それら全体は引用して
本願明細書中へ組み込まれる。
【0003】 本発明は、一般的には基板処理に関し、より詳細には処理チャンバへのおよび
そこからの基板の移送に関する。
【0004】
【従来の技術】
ガラス基板は、とりわけアクティブマトリクステレビジョンおよびコンピュー
タディスプレイ等の用途に使用されている。各ガラス基板は、複数のディスプレ
イモニタを形成することができ、各ディスプレイモニタは100万個を超える薄
膜トランジスタを含む。
【0005】 大型ガラス基板の処理は、例えば、化学気相堆積(CVD)プロセス、物理蒸
着(PVD)プロセス、あるいはエッチプロセスの実行を含め、多数の逐次ステ
ップの実行を伴うことが多い。ガラス基板を処理するシステムは、それらプロセ
スを実行するために一つ以上のプロセスチャンバを含むことができる。
【0006】 ガラス基板の寸法は、例えば、550mmx650mmである。この寸法の傾
向は、650mmx830mm以上であるように、一様により大きな基板サイズ
へ向かい、基板上へより多くのディスプレイが形成されることを許容するととも
に、より大型のディスプレイが生産されることを許容する。大きいサイズほど、
処理システムの将来に更に多大な需要をもたらす。
【0007】 大型ガラス基板上へ薄膜を堆積させるための基本的処理技術は、例えば、半導
体ウェハの処理で使用される技術にほぼ類似する。しかし、いくつかの類似性に
もかかわらず、大型ガラス基板の処理においては、半導体ウェハおよび小型ガラ
ス基板で現在取られている技術を用いて、コスト効果があるように実際的なやり
方で克服することができない多くの困難に直面していた。
【0008】 例えば、効率的な生産ライン処理は、ひとつのワークステーションから別のワ
ークステーションへのガラス基板、および真空環境と大気環境間のガラス基板の
急速移動を必要とする。ガラス基板の大きなサイズと形状は、処理システム内の
一方の位置から他方の位置へのそれらの移送を困難にする。その結果、半導体ウ
ェハおよび、例えば550mmx650mmまでの小型ガラス基板の真空処理に
適するクラスターツールが、例えば650mmx830mm以上の大型ガラス基
板の、同じような処理に十分適するとはいえない。その上、クラスターツールは
比較的大きい床面積を必要とする。
【0009】 同様に、比較的小さい半導体ウェハの処理のために設計されたチャンバ構成は
、特にこれら大型ガラス基板の処理には適さない。チャンバは、大型基板がチャ
ンバを出入りできる十分な大きさの開口部を含まなくてはならない。更に、処理
チャンバ内での基板処理は、普通は真空中または低圧下で実行されなければなら
ない。従って、処理チャンバ間のガラス基板の動きは、特に広口の開口部を閉じ
ることで真空密なシールを提供する能力を持ち、汚染も最小限にしなくてはなら
ない弁機構を使用する必要がある。
【0010】 更に、比較的少数の欠陥が、基板上に形成さたモニタ全体を不合格にさせてし
まう可能性がある。従がって、ガラス基板が一方の位置から他方の位置へ移送さ
れるときにそのガラス基板内での欠陥の発生を減らすことが重要である。同様に
、基板が移送されて、処理システム内に位置決めされる際の基板のミスアライメ
ントは、ガラスが一旦ディスプレイへ形成されてしまうと、ガラス基板のひとつ
のエッジが電気的に機能しなくなるほどにまで、プロセス均一性に障害を引き起
こす可能性がある。ミスアラインメントが深刻な場合、それは、基板が真空チャ
ンバ内側の構造物に当たって破壊する原因となるかもしれない。
【0011】 大型ガラス基板の処理に伴う他の問題は、それらの独特な熱的性質に起因して
生ずる。例えば、ガラスの比較的低い熱伝導率が、基板を一様に加熱または冷却
することをより難しくする。特に、どのような大面積の薄い基板も、そのエッジ
近傍の熱損失は、その中心近傍の熱損失より大きくなる傾向があり、その結果、
基板を横断して一様でない温度勾配をもたらす。従がって、ガラス基板の、その
サイズに伴う熱的性質は、処理された基板の表面各部の上へ形成される電子構成
要素の一様な性質の獲得を、より困難にする。更に、その劣った熱伝導率の結果
、基板の急速かつ一様な加熱または冷却は一層困難になり、それにより、高いス
ループットを達成するシステムの能力を低下させる。
【0012】 処理システム内のチャンバ間での基板の移送は、通常は自動化される。高スル
ープットを達成するために、基板は、チャンバ間を可能な限り速く移送されねば
ならない。しかし、基板は、正しく処理されるために高い精度で載置されねばな
らない。その結果、移送中の基板の急加速または急減速が、基板をガタガタ揺ら
したり、ミスアライメント状態にするかもしれない。例えば、駆動機構に起因す
る震えつまり振動、または基板がひとつの駆動機構から次へ移送される際の突然
の衝撃は、基板をミスアライメント状態にし、損傷さえ与え、それによって、プ
ロセス歩留りを低下させる。
【0013】 基板搬送における別の問題は汚染である。詳細には、駆動機構は、基板を汚染
する粒子を生成してしまい、それによってプロセス歩留りを低下させる欠陥を引
き起こす。
【0014】
【課題を解決するための手段】
一局面では、本発明は、基板上で薄膜製造プロセスを実行するための装置へ向
けられる。装置は、第1のチャンバと、第1のチャンバと連通する第2のチャン
バと、第1のチャンバと第2のチャンバとの間の経路に沿って移動可能な基板支
持体と、第1の磁界を生成する第1の磁気表面と第2の磁界を生成する第2の磁
気表面とを含む磁気駆動部とを有する。第1の磁気表面は、第2の磁気表面と磁
気的に係合可能であり、それにより、第2の磁界の移動は、支持体を直線経路に
沿って移動させる。
【0015】 本発明の実施形態は、以下を含むことができる。第2の磁界の移動は、電磁駆
動組立体での電磁石を流れる電流を変更することによって、または、第2の磁気
表面の移動によって生じさせてもよい。第1の磁気表面は、支持体上に配置させ
てもよく、第2の磁気表面は、第1および第2のチャンバのプロセス環境の外側
に配置されてもよい。回転可能なピニオンは、第2の磁気表面を有していてもよ
い。回転可能なピニオンは、排気可能チャンバの外側に配置されてもよい。第1
および第2のチャンバ間の経路は、略直線状であってもよい。
【0016】 支持体は、複数の直線的に間隔を置いた磁石を含んでいてもよく、回転可能な
ピニオンは、複数のピニオン磁石を有していてもよい。ピニオン磁石と直線的に
間隔を置いた磁石とは、磁気的に係合でき、それにより、ピニオンの回転は、支
持体を経路に沿って移動させるであろう。磁気浮上組立体が、基板支持体を磁気
的に浮上させてもよい。案内ローラは、支持体の上側および下側の表面に接触で
きる。直線的に間隔を置いた磁石は、支持体の少なくともひとつの側面に沿って
いてもよい。案内ローラも、支持体の少なくともひとつの側面に係合するよう位
置決めされてもよい。
【0017】 ピニオン磁石は、第1の極性を有する第1のセットの磁石を、第2の極性を有
する第2のセットの磁石とインタリーブされて含んでいてもよい。直線的に間隔
を置いた磁石は、第2の極性を持つ第3のセットの磁石を、第1の極性を持つ第
4のセットの磁石とインタリーブされて含んでいてもよい。ピニオン磁石と直線
的に間隔を置いた磁石とは、第1および第3のセットの磁石が磁気的に結合でき
、第2のおよび第4のセットの磁石が磁気的に結合できるように位置決めされて
もよい。第1および第2の複数の磁石は、実質的に放射状に配向される磁気軸を
有していてもよく、第3のおよび第4の複数の磁石は、ピニオンの回転軸に対し
実質的に垂直に配向される磁気軸を有していてもよい。ピニオンは、第1および
第2のチャンバ間に配置されてもよい。
【0018】 第3のチャンバが、第2のチャンバと連通されていてもよく、第2の回転可能
なピニオンが、第2および第3のチャンバ間に配置されていてもよい。第2のピ
ニオンは、第2の複数のピニオン磁石を含んでもよい。支持体は、第2のチャン
バと第3のチャンバとの間の第2の経路に沿って移動可能であってもよく、第2
の複数のピニオン磁石と直線的に間隔を置いた磁石とは、磁気的に結合でき、そ
れにより、第2のピニオンの回転は支持体を第2の直線経路に沿って移動させる
であろう。第1のモータが、第1のピニオンを回転させてもよく、第2のモータ
が、第2のピニオンを回転させてもよい。制御システムは、第1および第2のモ
ータの動作を同期する。
【0019】 各ラック磁石と各ピニオン磁石とは、プレートであってもよい。各プレートは
、プレートの主軸とピニオンの回転軸との間で角度α、例えば、約0°と45°
との間に配向されてもよい。
【0020】 シャトルは、シャトルの対向側面に沿って配置される第1および第2の複数の
ラック磁石を有していてもよく、第1の複数のピニオン磁石を有する第1の回転
可能なピニオンと、第2の複数のピニオン磁石を有する第2の回転可能なピニオ
ンとがあってもよい。第1の複数のピニオン磁石は、第1の複数のラック磁石と
磁気的に係合でき、第2の複数のピニオン磁石は、第2の複数のラック磁石と磁
気的に係合でき、それにより、第1のおよび第2のピニオンの回転は、直線経路
に沿ったシャトルの移動を生じる。第1のモータが第1のピニオンを駆動しても
よい。第2のモータが第2のピニオンを駆動してもよく、または駆動シャフトが
、第1のピニオンと第2のピニオンとを接続してもよい。
【0021】 基板支持体は、基板移送シャトルであってもよい。複数の直線的に間隔を置い
た磁石は、シャトルのひとつの側面上、例えば、ラック内にあってもよい。複数
のピニオン磁石を有する回転可能なピニオンは、シャトルの一側面に隣接して位
置決めされてもよい。例えば、第2のラック内の第2の複数の直線的に間隔を置
いた磁石は、シャトルの対向する側面上に位置決めされてもよく、第2の複数の
ピニオン磁石を有する第2の回転可能なピニオンは、シャトルの対向する側面に
隣接して位置決めされてもよい。
【0022】 別の局面では、本発明は、基板搬送装置へ向けられる。基板搬送装置は、略直
線状経路に沿って移動可能であり、複数の直線的に間隔を置いた磁石を有する移
送シャトルと、複数のピニオン磁石を有する回転可能なピニオンとを含む。ピニ
オン磁石と直線的に間隔を置いた磁石とは、磁気的に係合でき、それにより、ピ
ニオンの回転は、シャトルを経路に沿って移動させるであろう。
【0023】 別の局面で、本発明は、製造システムのチャンバ間で基板を搬送する方法へ向
けられる。この方法では、基板は、複数の直線的に間隔を置いた磁石を有し、そ
して第1のチャンバと第2のチャンバとの間の経路に沿って移動可能である基板
支持体上に支持される。複数のピニオン磁石を有するピニオンが回転され、それ
により、ピニオン磁石と直線的に間隔を置いた磁石とが磁気的に係合し、基板支
持体を経路に沿って移動させる。
【0024】 本発明の利点は、以下を含んでもよい。基板、特に大型ガラスプレートは、円
滑かつ急速にチャンバ間で円滑な加速と減速により、震え、衝突、または、振動
することなく搬送され得る。駆動機構による粒子の生成は最少化され、それによ
って欠陥を低減するとともに、プロセス歩留りを増大させる。
【0025】 本発明の他の特長および利点は、図面と特許請求の範囲とを含む、以下の説明
から明らかとなるであろう。
【0026】
【発明の実施の形態】
図1および図2を参照すると、基板処理システム20が、入口ロードロックチ
ャンバ22と、出口ロードロックチャンバ24と、3つの処理チャンバ26a、
26b、および26cとを含むものとして示されている。処理チャンバは、2つ
のロードロックチャンバ間で略直線的な編成で連続して接続される。3つの処理
チャンバが示されているとはいえ、処理システム20は、実行される製造プロセ
スによって、1、2、または4、あるいはそれより多い処理チャンバを含むこと
ができよう。類似の処理システムの説明は、前記出願の発明の名称「基板移送お
よび処理の方法および装置 」に見出すことができる。
【0027】 各処理チャンバは、チャンバの対向端部に配置される、それぞれの第1のおよ
び第2のゲート弁28a〜28cおよび30a〜30cを含む。各ゲート弁は、
弁を通り基板が移送されることを可能にするよう開放でき、隣接するチャンバを
封止するよう閉鎖することができる。ゲート弁28aは、入口ロードロックチャ
ンバ22を第1の処理チャンバ26aから封止し、ゲート弁30aおよび28b
は、第1の処理チャンバ26aを第2の処理チャンバ26bから封止し、ゲート
弁30bおよび28cは、第2の処理チャンバ26bを第3の処理チャンバ26
cから封止し、ゲート弁30cは、第3の処理チャンバ26cを出口ロードロッ
クチャンバ24から封止する。各ゲート弁は、前記出願の発明の名称「隔離弁」
に説明されたように構成されてもよい。
【0028】 加えて、入口および出口のロードロックチャンバ22および24は、それぞれ
、スリットすなわちゲート弁32および34を有する。弁32および34は、処
理システム20からの基板の導入または除去を可能にするよう開放され、関連す
るロードロックチャンバを外部雰囲気から封止するよう閉鎖され得る。
【0029】 動作では、基板10(図1に想像線で示す)は、入口ロードロックチャンバ2
2、処理チャンバ26a〜26c、および出口ロードロックチャンバ24を通過
する略直線状の経路に沿ったひとつ以上の基板移送シャトル40によって搬送さ
れる。2つの移送シャトルが図示されているとはいえ、ひとつだけのシャトル、
または3つのシャトル、または(3つより多い処理チャンバがある場合)3つよ
り更に多いシャトルがあり得よう。各シャトルは、他のシャトルと独立して移動
できる。シャトルの最大数は、(処理およびロードロックチャンバの両方を含む
)処理システムでのチャンバの総数よりひとつ少ない。処理システム20がひと
つのシャトルだけを含む場合、そのシャトルは、基板を各チャンバを介して移送
してもよい。図示のように、処理システム20が、2つのシャトルを含む場合、
ひとつのシャトルは、基板を入口ロードロックチャンバ22から第1の処理チャ
ンバ26aを介して第2の処理チャンバ26bへ移送してもよく、もう一方のシ
ャトルは、基板を第2の処理チャンバ26bから第3の処理チャンバ26cを介
して出口ロードロックチャンバ24へ移送してもよい。他の移送手順も可能であ
る。
【0030】 図1および図4を参照すると、処理システム20は、処理システムを介して延
在する固定の水平経路に沿ってひとつまたは両方のシャトル40を支持し、案内
する案内システム80を含む。詳細には、各ロードロックチャンバおよび各処理
チャンバは、シャトルを、それがチャンバを通過する際に係合するよう、チャン
バの各側面上に2つの下側案内ローラ82と2つの上側案内ローラ84とを含む
【0031】 図3を参照すると、各シャトル40は、略横方向に対称であり、シャトルが処
理システムに位置決めされる場合に処理システムの長手軸に対し実質的に平行に
延在する2つの間隔を置いた側面レール42を含む。後で詳細に説明するように
、各側面レール42の下部の内向き部分50は、下側案内ローラ82と係合する
よう平坦である(図4参照)。各レールの上部表面52は、上側案内ローラ84
と係合するよう平坦である(図4参照)。従って、下側案内ローラ82はシャト
ルを支持し、上側案内ローラ84は、シャトル40が下側案内ローラ82を離れ
鉛直に上昇することを阻止する。
【0032】 2つの側面レール42は、ひとつ以上の横方向の横断部材54によって接続さ
れる。図示のように、ひとつの横断部材は、シャトルの各端部近傍に配置されて
もよい。各横断部材54は、平坦な水平に延在するストリップ56と、ストリッ
プの端部から吊下り、側面レール42へ接続される2つの脚部58とを含む。
【0033】 シャトルの各側面上に複数、例えば、3個の基板支持フィンガ60が、側面レ
ール42から内方向に延在する。各フィンガ60は、側面レールから上方に延在
する近在部分62と、先端へ内方向に水平に延在する遠在部分64とを有する。
最外部のフィンガでは、遠在部分64は、内方向に角度付けられてもよい。パッ
ド66(図4も参照)は、各フィンガの先端の上側表面上に位置決めされて、基
板を支持する。パッド66は、セラミック、ステンレス鋼、石英等の材料で作成
されてもよく、それに対し、シャトル40の残部は、ステンレス鋼、インバール
、または、低熱膨張係数および低熱容量を有する別の材料で作成されてもよい。
【0034】 図4を参照すると、各処理チャンバ、例えば、チャンバ26aは、内側チャン
バ壁70と外側チャンバ壁72とによって画成される。内側チャンバ壁70は、
外側チャンバ壁70と平行に延在して、処理チャンバ構成要素を支持してもよい
。略水平のスロット74が、内側チャンバ壁70に形成されてもよく、凹部75
が、スロット74に隣接して外側チャンバ壁72に形成されてもよい。封止プレ
ート86が、凹部75にわたり外側チャンバ壁72の外表面に対して固着されて
もよく、O−リングのような封止材88が、周囲雰囲気からプロセス環境を封止
するよう使用されてもよい。下側および上側のローラ82および84は、封止プ
レート86へ回転可能に接続されてもよく、それにより、ローラは凹部75の内
側に懸垂される。各側面レール42の一部44は、ローラに接触するようスロッ
ト74を介して凹部75内へ延在してもよい。スロットは、側面レールと相互作
用する構成要素、例えば、下側および上側のローラ82および84が、チャンバ
内側で生じる製造プロセスから一般的に保護されるよう十分に狭い。同様に、ス
ロット74は、ローラ82および84によって生成された粒子が、チャンバの中
央領域へ入り、基板上に蓄積することを阻止するよう作用する。ローラ82およ
び84は、Delaware 州 Wilmington の E. I. du Pont de Nemours and Company
から入手可能な Vespel(登録商標) 等のポリイミドプラスチック等の粒子を
生成しない傾向の材料から作成されてもよい。ローラのための他の可能性のある
材料は、セラミック、石英、および、Illinois 州 Lake Villa の CS Hyde Comp
any, Inc. から入手可能な Teflam(登録商標)被膜を持つアルミニウムまたは
ステンレス鋼を含む。
【0035】 図1および図2に示すように、処理システム20は、案内システム80によっ
て画成される水平経路に沿ってシャトルを移動させるための複数の磁気駆動機構
90a〜90dを有する駆動システムを含む。ひとつの磁気駆動機構90aは、
入口ロードロックチャンバ22の下流端に配置され、ひとつの磁気駆動機構90
dは、出口ロードロックチャンバ24の上流端に配置される(上流および下流は
、入口ロードロックから出口ロードロックへの基板の行程に関連する)。磁気駆
動機構90bは、隣接する処理チャンバ26aおよび26b間に配置され、それ
に対し、磁気駆動機構90cは、隣接する処理チャンバ26bおよび26c間に
配置される。2つのいわゆる中間の駆動機構90bおよび90cは、隣接する弁
、30a、28bおよび30b、28cそれぞれの間に配置されてもよい。2つ
のいわゆる端部駆動機構90aおよび90dは、それぞれ弁28aおよび30c
に隣接して配置されてもよい。これは、各処理チャンバが類似の構造を有し、交
換可能であるので、高度のモジュール性を提供する。各磁気駆動機構は、独立し
て操作されてシャトルを処理システムを介して移動できる。シャトルのレール4
2は、2つの駆動機構にまたがるよう十分に長い。
【0036】 図5および図6A〜6Cを参照すると(明快にするために、基板処理システム
のいくつかの構成要素を図6A〜6Cでは図示しない)、各磁気駆動機構、例え
ば、駆動機構90bは、処理システムの対向側面上で外側チャンバ壁72の外側
に位置決めされる2つのホイール形状の磁気ピニオン92を含む。各磁気ピニオ
ン92は、最も近接する2つの下側ローラ82から等距離に位置決めされてもよ
い。中間の駆動機構に対して、磁気ピニオン92は、隣接する弁間に配置され、
それに対し、ローラ82および84は、処理チャンバでの弁の間隙の対向側面上
に(図1参照)配置される。駆動機構90aおよび90bの磁気ピニオン92は
、ロードロックチャンバ22および24への弁の、それぞれ上流および下流側面
上に配置される。これらの端部駆動機構に対して、一対のローラが、関連するロ
ードロックチャンバに配置され、一対のローラが、隣接する処理チャンバに配置
される(図1参照)。
【0037】 図5で最良に示すように、処理システムの各側面上に、カップ78が、外側チ
ャンバ壁72での開口部に、例えば、ボルト(図示せず)によって固着されても
よい。O−リング77が、カップ78と外側チャンバ壁72との間に配置されて
もよく、外部つまり周囲の環境からプロセス環境を封止する。カップは、凹部7
6を画成し、各磁気ピニオン92は、関連する凹部76内に位置決めされてもよ
く、それにより、ピニオンは、プロセス環境の外側に配置されるが、磁気ラック
48のひとつの直下に位置決めされる。従って、磁気ピニオン92は、磁気ラッ
ク48から幅W(図6B参照)を有する間隙によって分離される。磁気ラック4
8と磁気ピニオン92との間のカップ78の部分は、アルミニウム等の低透磁率
を有する材料で形成される。
【0038】 各磁気ピニオン92は、モータ94へ駆動シャフト96によって結合されても
よい。駆動シャフト96および磁気ピニオン92の回転の軸(破線98で示す)
は、レール42の長手寸法に対し略垂直である。
【0039】 各ピニオン92は、交互逆極性の複数のインタリーブされたピニオン磁石10
0aおよび100bを含む。各ピニオン磁石は、その磁気軸が実質的にピニオン
の回転の軸98を通過するように整列される。同様に、各ラック48は、交互逆
極性の複数のインタリーブされたラック磁石110aおよび110bを含む。各
ラック磁石の磁気軸は、ピニオンの回転の軸に対し実質的に垂直に、例えば、回
転軸が実質的に水平の場合、垂直軸124に沿って、整列される。ラック磁石1
10aおよび110bは、磁石がラック48の底表面と面一であるように凹所に
設けられてもよく、ピニオン磁石は、磁石がピニオン92の外側リムと面一であ
るように凹所に設けられてもよい。
【0040】 ラック48およびピニオン92での各磁石は、実質的に方形のプレートであっ
てもよく、プレートの一面に北極「N」が、およびプレートの反対の面に南極「
S」があるように磁化される。例えば、ピニオン磁石100aは、プレートの外
部面102にそのN極を、プレートの内部面104にそのS極を持って配向され
る。これに対し、ピニオン磁石100bは、プレートの内部面104にそのN極
を、プレートの外部面102にそのS極を持って配向される。同様に、各ラック
磁石110aは、プレートの上側面114にN極を、プレートの下側面112に
S極を持って配向される。逆に、各ラック磁石110bは、プレートの下側面1
12にN極を、プレートの上側面114にS極を持って配向される。
【0041】 図6Aに示すように、各ラック磁石プレートの主軸(破線108で示す)は、
回転軸98と主軸108との間に、いわゆる「螺旋」角αを持って編成されても
よい。図6Cに示すように、ピニオン磁石プレートは、ピニオン92の回転軸9
8とピニオン磁石の主軸108'との間の同じ螺旋角α'を持って編成されてもよ
い。螺旋角は、約45°までであってもよい。代替として、各磁石の主軸は、ピ
ニオンの回転軸98に対し略平行(α=0°)に配向されてもよい。従って、ら
せん角は、約0および45°間であってもよい。磁石を螺旋角に位置決めするこ
とによって、ラックとピニオンとの間で、その磁界が係合し、脱係合する際の、
磁力の変動は低減され、それによって、シャトルの平滑な直線運動を提供する。
どちらの場合でも、接近の最近接点において、ピニオン磁石は、関連するラック
磁石と実質的に共面になるであろう。
【0042】 ピニオン磁石100aと100bとは、ピッチPで分離され、それは、ラック
磁石110aと110bとのピッチP'と等しい。ピッチPおよびP'は約1/4
インチであってもよい。特定のピッチは、磁石の強さ、シャトルの重さ、ラック
とピニオンとの間の間隙の幅W、および、シャトルがチャンバ間に移動するであ
ろう所望の速さに基づいて選定されてもよい。
【0043】 図6Bで最良に示すように、ラック磁石とピニオン磁石とは、その反対の磁極
が相互に組合う(磁力線118で示す)際に係合する。ピニオン92が回転して
いる場合、各ピニオン磁石、例えば、ピニオン磁石100aが、ラック48に向
かって移動する場合、それは、反対極性の最近接磁石、例えば、ラック磁石11
0aと磁気的に結合するであろう。加えて、(ピニオン磁石100aと反対極性
の)隣接するピニオン磁石100bは、隣接するラック磁石110bと磁気的に
結合するであろう。従って、ピニオン92が、例えば、矢印106で示す方向に
回転する際に、シャトル40は、水平に、すなわち、矢印116で示す方向に駆
動されるであろう。逆に、ピニオン92が矢印106と反対方向に回転する場合
、シャトル40は、矢印116と反対方向に駆動されるであろう。
【0044】 磁石の交互逆極性は、ラックおよびピニオンでの隣接する磁石間の磁気的結合
のすべりを阻止する。ラックとピニオンとの間の係合は磁気的であるので、結合
に僅かの弾力性があり、それにより、ラックの運動は、実質的に、衝突または機
械的衝撃がない。更に、シャトルの各側面上への磁気ラックの配置は、シャトル
を中心に保つ傾向にある。詳細には、右側ピニオンと右側ラックとの間に磁気が
あり、左側ピニオンと左側ラックとの間に同様な磁力がある。この2つの磁力は
、シャトルによる横方向の運動、すなわち、処理システムの長手軸に対し垂直な
運動を阻止する。これは、処理システムが横方向の案内ローラなしに構成される
ことを可能にする。その上、回転する貫通部またはラックとピニオンとの間の直
接の物理的接触はないので、駆動機構からの汚染の危険は低減される。詳細には
、モータおよびピニオンは、チャンバのプロセス環境(すなわち、基板のハンド
リング、膜堆積、および、膜処理が生じる、弁32と34との間の封止された環
境)の外側に配置されるので、処理チャンバおよびロードロックチャンバは、汚
染されることにならない。
【0045】 各駆動機構は、エンコーダ120を含んでいてもよく、それは、関連する駆動
シャフトの回転を指示するよう制御システム122、例えば、汎用のプログラム
可能なデジタルコンピュータへの入力を提供する。制御システム122は、処理
システムの動作を制御するために、各チャンバへも、およびいずれかのロボット
のハンドリング装置へも接続されてもよい。駆動機構90a〜90dは、ひとつ
の駆動機構から次へシャトルを平滑に移送、つまり「受渡し」するよう、制御シ
ステム122によって同期されてもよい。
【0046】 シャトル40を入口ロードロックチャンバ22から第1の処理チャンバ26a
へ移動するために、駆動機構90aだけが使用される。しかし、シャトルを第2
の処理チャンバ26bへ移動するために、シャトルは、駆動機構90aから駆動
機構90bへ切換え、つまり受渡されなければならない。この受渡しを達成する
ために、シャトルは、駆動機構90aによって、処理チャンバ26aでの位置か
ら、シャトルの磁気ラック48が駆動機構90bの磁気ピニオンに係合するまで
前方へ駆動される。この点で、駆動機構90aによって生じる磁気ラックの前方
への運動は、駆動機構90bの磁気ピニオンでの回転を誘導する。この回転が駆
動機構90bのエンコーダ120によって検知される場合に、制御システム12
2は、駆動機構90bのモータに電力供給し、駆動機構90aのモータを遮断す
る。駆動機構90bがシャトルを第2の処理チャンバへ移動するのに従い、磁気
ラックは、駆動機構90aから脱係合する。従って、処理システムは、シャトル
をひとつの駆動システムから次へいずれか突然の衝撃または震動運動なしに移送
できる。同様のプロセスが、シャトルを第2の処理チャンバ26bから第3の処
理チャンバ26cへ、および、第3の処理チャンバ26cから出口ロードロック
チャンバ24へ移送することに使用される。
【0047】 図7を参照すると、入口ロードロックチャンバ22は、処理の前の加熱中に基
板を支持するための垂直作動可能なプラテン130を含む。出口ロードロックチ
ャンバ24も、処理後の冷却中に基板を支持する垂直作動可能なプラテン130
を含む。各プラテンは、プラテンが上昇または下降される場合にシャトルのフィ
ンガ60の垂直運動を提供するよう構成される複数のチャネル132(図1参照
)を有する。
【0048】 記載したように、基板10は、入口ロードロックチャンバ22内へ第1のロボ
ット端部エフェクタ36によって弁32を介して水平にローディングされる。次
いで、ロボット端部エフェクタは、端部エフェクタの歯がシャトルフィンガ60
の間を通過し、基板がパッド66上に載るまで降下する。端部エフェクタは、次
いで、ロードロックチャンバ22から退出し、弁32が閉鎖され、チャンバが排
気される。プラテン130は、それがシャトルのフィンガ60のまわりを通過し
、各フィンガがひとつのチャネル132によって収納されるように上昇される。
基板の入口ロードロックチャンバ内へのローディングは、前記出願の発明の名称
「基板移送および処理の方法および装置」で詳細に検討されている。次いで、基
板は、処理の前に所望の温度へ、プラテンまたはチャンバ壁内の加熱素子(図示
せず)によって予備加熱されてもよい。
【0049】 基板が加熱されると、プラテンを下降させてもよく、それにより、基板はシャ
トル上に載る。次いで、弁28aは開放されてもよく、駆動機構90aが起動さ
れて、シャトルを下流の第1の処理チャンバ26a内へ移動させてもよい。
【0050】 図8を参照すると、各処理チャンバ、例えば、第1の処理チャンバ26aは、
処理中に基板を支持するための垂直作動可能なサセプタ140を含んでいてもよ
い。リフトピンプレート144によってサセプタ140に対して上昇および下降
され得る複数のリフトピン142が、サセプタ内の垂直通路146を介して延在
する。
【0051】 シャトルが処理チャンバに入る場合に、基板およびシャトルフィンガは、サセ
プタ140の上を通る。シャトルが停止した後に、リフトピンが上昇され、それ
により、基板をシャトルから持上げる。次いで、シャトルは、処理チャンバから
退出されてもよい。シャトルがチャンバの外に出た後に、チャンバは、弁28a
(および、開放された場合、弁30a)を閉鎖することによって封止されてもよ
い。リフトピン142は、次いで、サセプタに対して下降されてもよく、処理の
ために基板をサセプタの上に載置する。処理が完了した後に、リフトピンは上昇
され、基板をサセプタから持上げ、シャトル40が処理チャンバ26aへ戻され
、そして、サセプタおよびピンは下降されて、基板をシャトル上に載置する。
【0052】 この点で、基板は、第2の処理チャンバ26bへ配送されてもよい。この移送
のステップは、基板を入口ロードロックチャンバ22から第1の処理チャンバ2
6bへ移送することに関与したステップと同様であってもよい。基板は、第3の
処理チャンバ26cへ第2のシャトル40によって同様なセットのステップで移
送されてもよい。最後に、基板は、第1のシャトルでなく第2のシャトルが使用
されるとはいえ、入口ロードロックチャンバ22から第1の処理チャンバ26a
へ基板を移送することに使用されたステップを実質的に逆転することによって、
出口ロードロックチャンバ24へ搬送される。ここで、基板は、ハンドリング温
度まで冷却され、出口ロードロックチャンバは加圧され、そして、基板は、第2
のロボット端部エフェクタ38によって、基板を入口ロードロックチャンバ22
へ導入することに使用されたステップを実質的に逆転することで除去される。
【0053】 図9および図10を参照すると、別の実施形態では、各磁気駆動機構、例えば
、駆動機構90b'は、基板処理システムのひとつの側面上に配置されるひとつ
の磁気ピニオン92'およびひとつのモータ94'と、磁気ピニオン92'に同じ
シャトルの側面上に配置されるひとつの磁気ラック48'とを含む。この実施の
形態では、各ロードロックチャンバおよび各処理チャンバは、少なくとも2つの
横方向の案内ローラ150を含む。各横方向の案内ローラ150は、外側チャン
バ壁72にブラケット152によって固着されてもよい。横方向の案内ローラ1
50は、レール42の側面に係合し、シャトルがチャンバ間の水平経路に沿って
移動する際にシャトルの横方向の運動を阻止する。
【0054】 図11を参照すると、別の実施形態では、各磁気駆動機構、例えば、駆動機構
92b''は、処理システムの対向側面上に配置される2つの磁気ピニオン92''
と、シャトルの対向側面上に配置される2つの磁気ラック48''と、基板処理シ
ステムのひとつの側面上に配置されるひとつのモータ94''とを含む。車軸16
0は、磁気ピニオン92''を接続するようチューブ162を介して延在する。処
理システムのひとつの側面上で、チューブ162は、外側チャンバ壁72での開
口部へO−リング77''によって封止されてもよい。処理システムのもう一方の
側面上で、チューブは、突起164を含んでいてもよく、突起は、右側の外側チ
ャンバ壁での受納凹部166内へ延在する。車軸160のひとつの側は、モータ
94''によって支持され、車軸160の反対側は、突起164内の回転軸受16
8によって支持される。従って、モータ94''が駆動シャフト96''を回転させ
る際に、両方のピニオン92''が回転する。チューブ162は、ピニオン92''
および車軸160をチャンバ内側のプロセス環境から保護する。駆動機構90a
''および90d''のために、チューブ162は、それぞれ、入口および出口のロ
ードロックチャンバのひとつの横側面からもう一方へ延在する。駆動機構90b
''および90c''のために、チューブ162は、近隣の処理チャンバ間のゲート
弁ハウジングを介して延在する。この実施形態は、横方向の案内ローラを必要と
しない。
【0055】 他の構成が磁気のラックおよびピニオンに対して、可能である。例えば、図1
7を参照すると、磁気ピニオン92'''は、鉛直軸98'のまわりに回転され得て
、各ピニオン磁石は、その磁石軸が実質的にピニオンの回転の軸を通過するよう
に整列される。この構成では、ラック48'''での各磁石の磁気軸は、ピニオン
磁石と磁気的に係合するよう、実質的に水平の軸124'に沿って編成されるで
あろう。そのような構成は、シャトルを支持する下側ローラ82と、シャトルの
側面に係合する横方向の案内ローラ150とを含み得るであろう。
【0056】 他の場所が案内システム80の案内ローラに対して、可能である。例えば、図
12を参照すると、下側案内ローラ170が、各磁気ピニオン92と同軸に位置
決めされ、側面レール42の下部の内向き部分50に係合する。案内ローラ17
0は、チャンバの基部へ固着されてもよいブラケット172によって支持される
【0057】 他の構成が磁気ラックおよびピニオン磁石に対して可能である。例えば、ラッ
クおよびピニオンは、交互逆極性のインタリーブされた磁石を含む必要はない。
図13を参照すると、ピニオン92'''の磁石100'およびラック48'の磁石
110'は、ラックおよびピニオンの磁石の最も近接する面が同じ磁極(例えば
、北極)を有するように編成され得るであろう。従って、ラックおよびピニオン
の磁石は相互に反発する。この反発力は、ピニオン磁石100'をラック磁石1
10'間の間隙に整列させ、逆も同じである。結果として、ピニオン92'''が、
例えば、矢印106で示す方向に回転する際に、ピニオン磁石100'は、ラッ
ク磁石110'を離れるよう追立て、シャトルを水平に、例えば、矢印116で
示す方向に駆動するであろう。この構成は、図9および図10に示すものと同様
の横方向の案内ローラと上側ローラとを使用して、磁石間の反発力がシャトルを
下側ローラから離れ持上がることを阻止するであろう。要するに、ラック磁石と
ピニオン磁石との間の磁気的係合は、反対磁極を有する磁石間の磁気的結合によ
って、または、同じ磁極を有する磁石間の磁気的反発によって達成できる。
【0058】 他の場所が、駆動システムの磁気駆動機構に対して可能である。例えば、チャ
ンバ間に配置されるのでなく、ひとつの磁気駆動機構が、各チャンバの中心線に
配置されてもよい。別の例として、各チャンバに2つの磁気駆動機構があり得よ
う。この場合、シャトルのレールは、チャンバの長さより短くできるであろう。
更に、追加の駆動機構が、駆動システムのトルク能力を増大するよう追加されて
もよい。しかし、ひとつの磁気駆動機構を出口ロードロックチャンバの下流端部
に、ひとつの磁気駆動機構を出口ロードロックチャンバの上流端部に、そして、
ひとつの磁気駆動機構を各処理チャンバ間に置くことによって、駆動機構の数は
最少化される。
【0059】 図示し、説明した案内システムは、例示である。例えば、下側案内ローラは、
レールの外向き部分に係合できるであろうし、上側案内ローラ84は、レールの
内向き部分に係合できるであろう。また、案内ローラは、シャトルの他の部分に
係合してもよい。しかし、案内ローラがラック磁石に直接接触しないことが好ま
しい。同様に、図示し、説明したシャトルは、例示である。支持フィンガ、レー
ルおよび横断部材等のシャトルの構成要素の数、場所、および構造関係は、本発
明から逸脱することなく変更されてもよい。本発明は、一般的に、処理システム
の2つのチャンバ間の経路に沿って基板を移送する基板支持体のいずれの種類へ
も適用可能である。加えて、処理チャンバおよびロードロックチャンバのチャン
バ壁の構成は、例示である。本発明は、一般的に、周囲雰囲気からプロセス環境
を封止するであろうチャンバ壁構成のいずれの種類へも適用可能である。
【0060】 図14、図15および図16を参照すると、案内ローラは、磁気浮上システム
によって置換され得よう。磁気浮上システムは、シャトル40の対向側面上のレ
ール42へ固着される2つの磁気シャトル素子180と、各チャンバの対向側面
上に配置される2つの直線状の磁気浮上組立体182とを含む。各磁気浮上組立
体182は、凹部188を画成する内表面186を持つ略C字形の断面を有して
いてもよい。図15で最良に示すように、処理システムの各側面上に、細長い方
形の鉢78'が、外側チャンバ壁72'での開口部に、例えば、ボルト(図示せず
)で固着されてもよい。鉢78'は、アルミニウム等の低透磁率を有する材料で
形成される。溝79'は、鉢78'の内部側面全体に形成され、それに沿って延在
されてもよい。O−リング77'は、鉢78'と外側チャンバ壁72'との間に配
置されてもよく、外部つまり周囲の環境からプロセス環境を封止する。処理シス
テムのひとつの側面、例えば左側面を参照すると、磁気シャトル素子180は、
鉢78'の直線状の溝79'内へ延在し、C字形磁気浮上組立体182は、溝79
'を取巻く内部表面186により、鉢78'に位置決めされる。従って、磁気浮上
組立体182は、プロセス環境の外側に配置されるが、関連する磁気シャトル素
子180の3つの側面を取巻く。代替として、開口部および鉢は、削除されても
よく、磁気浮上組立体182は、プロセス環境の内側で外側チャンバ壁72に固
着されてもよい。
【0061】 各磁気シャトル素子180は、永久磁石から構成されてもよく、それに対し、
各磁気浮上組立体182は、永久磁石と電磁石との組合せから構成されてもよい
。磁石は、磁気浮上組立体182の内表面186が磁気的に活性な素子180の
隣接する表面196と同じ磁極(例えば、北極)を有するように配向される。従
って、磁石間の反発力が、シャトルを浮上させる。永久磁石が、シャトルを支持
する主たる電磁力を提供する。電磁石は、永久磁石によって生成される磁界を調
節つまり整調することに使用され、それにより、シャトル40は、磁気浮上組立
体またはチャンバでの他の構成要素に接触することなく、鉛直方向および横方向
に安定して保持される。シャトルの対向側面で生成される磁気的反発力は、シャ
トルによる横方向の運動を阻止する。
【0062】 磁気浮上組立体182はまた、シャトルを水平にチャンバ間で駆動することに
も使用されてもよい。これは、磁気ピニオンが削除されることを可能にする。例
えば、磁気シャトル素子180は、複数の均等に間隔を置いた磁気プレートを含
んでいてもよく、電磁石が、磁気プレート間の間隙と整列する強い磁界の区域を
提供してもよい。電磁石はプロセッサ198へ接続されて、プロセッサは電磁石
を通り流れる電流を変更することによって電磁界を制御してもよい。プロセッサ
は、処理システムの長手軸に沿い強い磁界の区域を移動させるよう構成されて、
それにより、磁気シャトル素子180を水平に移動させ、シャトル40をチャン
バ間で駆動してもよい。代替として、磁気ピニオンが、磁気浮上組立体の代りに
、または、それに加えて使用されて、シャトルを駆動してもよい。
【0063】 本発明は、図示し、説明した実施形態に限定されない。むしろ、本発明の適用
範囲は、付属の特許請求の範囲によって特徴づけられる。
【図面の簡単な説明】
【図1】 本発明による基板処理システムの概略平面図である。
【図2】 図1の基板処理システムの概略側面図である。
【図3】 図1の基板処理システムで使用される基板移送シャトルの概略斜視図である。
【図4】 図1の基板処理システムの処理チャンバおよび基板搬送シャトルの概略部分断
面図であり、シャトルのための案内ローラを示す。
【図5】 図1の基板処理システムの駆動機構および基板搬送シャトルの概略断面図であ
り、磁気ラックおよびピニオン駆動部を示す。
【図6A】 図1の基板処理システムの左側面での磁気ラックおよびピニオン駆動機構の概
略平面図である。
【図6B】 図6Aの磁気ラックおよびピニオン駆動機構の概略側面図である。
【図6C】 図6Aの磁気ラックおよびピニオン駆動機構の磁気ピニオンの底面図である。
【図7】 図1の基板処理システムのロードロックチャンバの概略断面図である。
【図8】 図1の基板処理システムの処理チャンバの概略断面図である。
【図9】 ひとつのモータおよびひとつの磁気ピニオンを有する磁気ラックおよびピニオ
ン駆動機構の一実施形態の概略断面図である。
【図10】 図9の実施形態の概略拡大断面図である。
【図11】 ひとつのモータおよび2つの磁気ピニオンを有する磁気ラックおよびピニオン
駆動機構の一実施形態の概略断面図である。
【図12】 磁気ピニオンと同軸に整列される支持ローラを有する磁気ラックおよびピニオ
ン駆動機構の一実施形態の概略断面図である。
【図13】 ラック磁石およびピニオン磁石の近傍面が同じ極性を有する、磁気ラックおよ
びピニオン駆動機構の一実施形態の概略側面図である。
【図14】 磁気浮上駆動機構を使用する磁気駆動システムの一実施形態の概略断面図であ
る。
【図15】 図14の磁気駆動システムの概略断面図である。
【図16】 図15の拡大図である。
【図17】 磁気ピニオンが垂直軸のまわりに回転する磁気ラックおよびピニオン駆動機構
の概略断面図である。
【符号の説明】
10…基板、20…基板処理システム、22…入口ロードロックチャンバ、2
4…出口ロードロックチャンバ、26a…第1処理チャンバ、26b…第2処理
チャンバ、26c…第3処理チャンバ、28a、28b、28c、30b、30
c、32、34…ゲート弁、36…第1ロボット終端エフェクタ、38…第2ロ
ボット終端エフェクタ、40…基板移送シャトル、42…側面レール、48、4
8'、48''…磁気ラック、50…内向き部分、52…上部表面、54…横断部
材、56…ストリップ、58…脚部、60…フィンガ、62…近在部分、64…
遠在部分、66…パッド、70…内チャンバ壁、72、72'…外チャンバ壁、
74…水平スロット、74…スロット、75…凹部、77'、77''…O−リン
グ、78…カップ、78'…鉢、79'…溝、80…案内システム、82…下側案
内ローラ、84…上側案内ローラ、86…封止プレート、88…封止材、90a
、90a''、90b、90b'、90b''、90c、90c''、90d…駆動機
構、92、92'、92''、92'''、100a、100b…磁気ピニオン、94
、94'、94''…モータ、96、96''…駆動シャフト、98、98'…回転軸
、100'、110'…磁石、110a、110b…ラック磁石、102…プレー
トの外部面、104…プレートの内部面、106、116…矢印、108、10
8'…主軸、112…プレートの下側面、114…プレートの上側面、120…
エンコーダ、122…制御システム、124…鉛直軸、124'…水平の軸、1
30…プラテン、132…チャネル、140…サセプタ、142、144…リフ
トピンプレート、146…通路、150…案内ローラ、152…ブラケット、1
60…車軸、162…チューブ、164…突起、166…受納凹部、168…回
転軸受、170…下側案内ローラ、172…ブラケット、180…磁気シャトル
素子、182…磁気浮上組立体、186…内表面、188…凹部、196…表面
、198…プロセッサ。

Claims (43)

    【特許請求の範囲】
  1. 【請求項1】 基板上で薄膜製造プロセスを実行するための装置であって、 第1のチャンバと、 前記第1のチャンバと連通する第2のチャンバと、 前記第1のチャンバと前記第2のチャンバとの間の経路に沿い移動可能な基板
    支持体と、 前記基板支持体上の、第1の磁界を生成する第1の磁気表面、および前記第1
    のチャンバと前記第2のチャンバの外側に配置され第2の磁界を生成する第2の
    磁気表面を含み、前記第1の磁界は前記第2の磁界と磁気的に係合可能であり、
    それにより、前記第2の磁界の移動が前記基板支持体を前記経路に沿い移動させ
    る、磁気駆動部と を備える装置。
  2. 【請求項2】 前記磁気駆動部は、複数の電磁石を有する電磁駆動組立体を
    含み、前記第2の磁界の移動は、前記電磁石を通って流れる電流を変更すること
    によって引起される、請求項1に記載の装置。
  3. 【請求項3】 前記第2の磁界の移動は、前記第2の磁気表面の移動によっ
    て引起される、請求項1に記載の装置。
  4. 【請求項4】 更に、前記基板支持体を磁気的に浮上させる磁気浮上組立体
    を含む、請求項1に記載の装置。
  5. 【請求項5】 更に、前記基板支持体を支持する複数の案内ローラを含む、
    請求項1に記載の装置。
  6. 【請求項6】 基板上で薄膜製造プロセスを実行するための装置であって、 第1のチャンバと、 前記第1のチャンバと連通する第2のチャンバと、 前記第1のチャンバと前記第2のチャンバとの間の略直線状の経路に沿い移動
    可能な基板支持体と、 前記基板支持体上の第1の磁気表面、および前記第1のチャンバと前記第2の
    チャンバとのプロセス環境の外側に配置される第2の磁気表面を含み、前記第1
    の磁気表面は前記第2の磁気表面と磁気的に係合可能であり、それにより、前記
    第2の磁気表面によって生成される前記第2の磁界の移動が前記基板支持体を前
    記直線経路に沿い移動させる、磁気駆動部と を備える装置。
  7. 【請求項7】 基板上で薄膜製造プロセスを実行するための装置であって、 プロセス環境を有する排気可能チャンバと、 第1の磁気表面を有し、前記チャンバを通る略直線状の経路に沿い移動可能な
    、基板支持体と、 前記チャンバの前記プロセス環境の外側に配置され、前記第1の磁気表面と磁
    気的に係合可能な第2の磁気表面を有し、それにより、前記第2の磁気表面の移
    動が前記基板支持体を前記直線経路に沿い移動させる、回転可能なピニオンと を備える装置。
  8. 【請求項8】 基板を処理するための装置であって、 第1のチャンバと、 前記第1のチャンバと連通する第2のチャンバと、 前記第1のチャンバと前記第2のチャンバとの間の略直線状の経路に沿い移動
    可能であり、複数の直線的に間隔を置いた磁石を含む、基板支持体と、 複数のピニオン磁石を有する回転可能なピニオンと を備え、前記ピニオン磁石と前記直線的に間隔を置いた磁石とは、磁気的に係
    合でき、それにより、前記ピニオンの回転が前記基板支持体を前記直線経路に沿
    い移動させる装置。
  9. 【請求項9】 前記直線的に間隔を置いた磁石は、前記基板支持体の少なく
    ともひとつの側面に沿い配置される、請求項8に記載の装置。
  10. 【請求項10】 更に、前記基板支持体の下側部分に接触するよう位置決め
    される第1の複数の案内ローラを含む、請求項8に記載の装置。
  11. 【請求項11】 更に、前記基板支持体の上側表面部分に接触するよう位置
    決めされ、基板支持体が前記第1の複数の案内ローラから離れ持上がることを阻
    止する第2の複数の案内ローラを含む、請求項10に記載の装置。
  12. 【請求項12】 前記基板支持体はレールを含み、前記直線的に間隔を置い
    た磁石は前記レールの外向き部分に配置され、前記第1の複数の案内ローラは前
    記レールの下側表面の内向き部分に接触し、前記第2の複数の案内ローラは前記
    レールの上側表面部分に接触する、請求項11に記載の装置。
  13. 【請求項13】 前記直線的に間隔を置いた磁石はラック上にあり、前記ラ
    ックは前記レールへ取付けられる、請求項12に記載の装置。
  14. 【請求項14】 前記ピニオンは前記ラックの真下に位置決めされる、請求
    項13に記載の装置。
  15. 【請求項15】 前記複数のピニオン磁石は、第1の極性を有する第1のセ
    ットの磁石を、第2の極性を有する第2のセットの磁石とインタリーブされて含
    み、前記複数の直線的に間隔を置いた磁石は、前記第2の極性を有する第3のセ
    ットの磁石を、前記第1の極性を有する第4セットの磁石とインタリーブされて
    含み、前記ピニオン磁石と前記直線的に間隔を置いた磁石とは、前記第1のセッ
    トの磁石と前記第3のセットの磁石とが磁気的に結合でき、前記第2のセットの
    磁石と前記第4セットの磁石とが磁気的に結合できるように位置決めされる、請
    求項8に記載の装置。
  16. 【請求項16】 前記第1のセットの磁石と前記第2のセットの磁石とは、
    実質的に半径方向に配向される磁気軸を有し、前記第3のセットの磁石と前記第
    4セットの磁石とは、前記ピニオンの回転の前記軸に対し実質的に垂直に配向さ
    れる磁気軸を有する、請求項15に記載の装置。
  17. 【請求項17】 前記ピニオンは回転されることができ、それにより、前記
    ピニオン磁石のひとつが前記直線的に間隔を置いた磁石のひとつと実質的に同一
    平面上にある、請求項8に記載の装置。
  18. 【請求項18】 更に、前記ピニオンを回転させるモータを含む、請求項8
    に記載の装置。
  19. 【請求項19】 前記第1のチャンバおよび前記第2のチャンバは、プロセ
    ス環境を提供し、前記モータおよび前記ピニオンは、前記プロセス環境の外側に
    配置される、請求項18に記載の装置。
  20. 【請求項20】 前記ピニオンは、前記第1のチャンバと前記第2のチャン
    バとの間に配置される、請求項8に記載の装置。
  21. 【請求項21】 更に、 前記第2のチャンバと連通する第3のチャンバと、 前記第2のチャンバと前記第3のチャンバとの間に配置され、第2の複数のピ
    ニオン磁石を含む、第2の回転可能なピニオンと を備え、前記基板支持体は、前記第2のチャンバと前記第3のチャンバとの間
    の第2の略直線状の経路に沿い移動可能であり、前記第2の複数のピニオン磁石
    と前記直線的に間隔を置いた磁石とは磁気的に係合でき、それにより、前記第2
    のピニオンの回転が前記基板支持体を前記第2の直線経路に沿い移動させる、請
    求項20に記載の装置。
  22. 【請求項22】 更に、前記第1のピニオンを回転させる第1のモータと、
    前記第2のピニオンを回転させる第2のモータとを含む、請求項21に記載の装
    置。
  23. 【請求項23】 更に、前記第1のモータおよび前記第2のモータの動作を
    同期させる制御システムを含む、請求項22に記載の装置。
  24. 【請求項24】 前記ピニオンの第1の方向への回転は、前記基板支持体を
    前記第1のチャンバから前記第2のチャンバへ移動させ、前記ピニオンの第2の
    方向への回転は、前記基板支持体を前記第2のチャンバから前記第1のチャンバ
    へ移動させる、請求項8に記載の装置。
  25. 【請求項25】 各ラック磁石と各ピニオン磁石とは、プレートである、請
    求項8に記載の装置。
  26. 【請求項26】 各プレートは、前記プレートの主軸と前記ピニオンの回転
    の軸との間に角度αで配向される、請求項25に記載の装置。
  27. 【請求項27】 前記角度αは、約0°と45°との間である、請求項26
    に記載の装置。
  28. 【請求項28】 基板を処理するために装置であって、 第1のチャンバと、 前記第1のチャンバと連通する第2のチャンバと、 前記第1のチャンバと前記第2のチャンバとの間の略直線状の経路に沿い移動
    可能であり、複数の直線的に間隔を置いた磁石を少なくともひとつの側面上に含
    む、基板移送シャトルと、 複数のピニオン磁石を有し、前記シャトルの前記ひとつの側面に隣接して位置
    決めされる、回転可能なピニオンと を備え、前記複数のピニオン磁石は、前記複数の直線的に間隔を置いた磁石と
    磁気的に係合でき、それにより、前記ピニオンの回転が前記直線経路に沿う前記
    シャトルの移動をさせる装置。
  29. 【請求項29】 前記複数の直線的に間隔を置いた磁石は、第1のラック内
    にある、請求項28に記載の装置。
  30. 【請求項30】 更に、第2の複数の直線的に間隔を置いた磁石を、前記シ
    ャトルの反対の側面上に備える、請求項28に記載の装置。
  31. 【請求項31】 更に、第2の複数のピニオン磁石を有し、前記シャトルの
    前記反対の側面に隣接して位置決めされる、第2の回転可能なピニオンを備える
    、請求項30に記載の装置。
  32. 【請求項32】 前記第2の複数の直線的に間隔を置いた磁石は、第2のラ
    ック内にある、請求項30に記載の装置。
  33. 【請求項33】 更に、前記シャトルの前記ひとつの側面に係合するよう位
    置決めされる複数の案内ローラを備える、請求項28に記載の装置。
  34. 【請求項34】 基板を処理するために装置であって、 第1のチャンバと、 前記第1のチャンバと連通する第2のチャンバと、 前記第1のチャンバと前記第2のチャンバとの間の略直線状の経路に沿い移動
    可能であり、対向側面に沿い配置される第1のおよび第2の複数のラック磁石を
    含む、基板移送シャトルと、 第1の複数のピニオン磁石を有する第1の回転可能なピニオンと、 第2の複数のピニオン磁石を有する第2の回転可能なピニオンと を備え、前記第1の複数のピニオン磁石は、前記第1の複数のラック磁石と磁
    気的に係合でき、前記第2の複数のピニオン磁石は、前記第2の複数のラック磁
    石と磁気的に係合でき、それにより、前記第1のおよび第2のピニオンの回転が
    前記直線経路に沿う前記シャトルの移動をさせる装置。
  35. 【請求項35】 更に、前記シャトルを支持し前記直線経路に沿い案内する
    よう前記シャトルの下側部分に接触するよう位置決めされる第1の複数の案内ロ
    ーラと、 前記シャトルが前記第1の複数の案内ローラから離れ持上がることを阻止する
    ために前記シャトルの上側表面部分に接触するよう位置決めされる第2の複数の
    案内ローラとを含む、請求項34に記載の装置。
  36. 【請求項36】 前記第1のおよび第2の複数のピニオン磁石は、各々が、
    第1の極性を有する第1のセットの磁石を、第2の極性を有する第2のセットの
    磁石とインタリーブされて含み、前記第1のおよび第2の複数のラック磁石は、
    各々が、前記第2の極性を有する第3のセットの磁石を、前記第1の極性を有す
    る第4セットの磁石とインタリーブされて含み、前記第1のおよび第2の複数の
    ピニオンおよびラック磁石は、前記第1のセットの磁石と前記第3のセットの磁
    石とが磁気的に結合でき、前記第2のセットの磁石と前記第4セットの磁石とが
    磁気的に結合できるように位置決めされる、請求項34に記載の装置。
  37. 【請求項37】 前記第1のセットの磁石と前記第2のセットの磁石とは、
    実質的に半径方向に配向される磁気軸を有し、前記第3のセットの磁石と前記第
    4セットの磁石とは、実質的に鉛直に配向される磁気軸を有する、請求項36に
    記載の装置。
  38. 【請求項38】 更に、前記第1のピニオンを駆動する第1のモータを備え
    る、請求項34に記載の装置。
  39. 【請求項39】 更に、前記第2のピニオンを駆動する第2のモータを備え
    る、請求項38に記載の装置。
  40. 【請求項40】 更に、前記第1のピニオンと前記第2のピニオンとを接続
    する駆動シャフトを備える、請求項38に記載の装置。
  41. 【請求項41】 基板上で薄膜プロセスを実行するための装置であって、 第1のロードロックチャンバと、 前記第1のロードロックチャンバと連通する少なくともひとつのプロセスチャ
    ンバと、 前記プロセスチャンバと連通する第2のロードロックチャンバと、 前記第1のロードロックチャンバと前記プロセスチャンバとの間、および、前
    記プロセスチャンバと前記第2のロードロックチャンバとの間の経路に沿い移動
    可能であり、複数の直線的に間隔を置いた磁石を含む、少なくともひとつの基板
    移送シャトルと、 前記第1のロードロックチャンバに隣接して配置され、第1の複数のピニオン
    磁石を有する、第1の回転可能なピニオンと を備え、前記直線的に間隔を置いた磁石と前記第1の複数のピニオン磁石とは
    磁気的に結合でき、それにより、前記第1のピニオンの回転が前記第1のロード
    ロックチャンバと前記プロセスチャンバとの間の前記経路に沿い前記シャトルを
    移動させ、更に、前記第2のロードロックチャンバに隣接して配置され、第2の
    複数のピニオン磁石を有する、第2の回転可能なピニオン、を備え、 前記直線的に間隔を置いた磁石と前記第2の複数のピニオン磁石とは磁気的に
    結合でき、それにより、前記第2のピニオンの回転が前記プロセスチャンバと前
    記第2のロードロックチャンバとの間の前記経路に沿い前記シャトルを移動させ
    る装置。
  42. 【請求項42】 基板搬送装置であって、 略経路に沿い移動可能であり、複数の直線的に間隔を置いた磁石を含む、移送
    シャトルと、 複数のピニオン磁石を有する回転可能なピニオンと を備え、前記ピニオン磁石と前記直線的に間隔を置いた磁石とは、磁気的に結
    合でき、それにより、前記ピニオンの回転が前記シャトルを前記経路に沿い移動
    させる基板搬送装置。
  43. 【請求項43】 製造システムのチャンバ間で基板を搬送する方法であって
    、 複数の直線的に間隔を置いた磁石を有し、第1のチャンバと第2のチャンバと
    の間の経路に沿い移動可能である、基板支持体上に、基板を支持する工程と、 複数のピニオン磁石を有し、それにより、前記ピニオン磁石と前記直線的に間
    隔を置いた磁石とが磁気的に係合し、前記基板支持体を前記経路に沿い移動させ
    る、ピニオンを、回転させる工程と を含む方法。
JP2000550768A 1998-05-20 1999-05-13 磁気駆動装置を有する基板移送シャトル Pending JP2002516243A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/082,605 1998-05-20
US09/082,605 US6206176B1 (en) 1998-05-20 1998-05-20 Substrate transfer shuttle having a magnetic drive
PCT/IB1999/001507 WO1999061350A2 (en) 1998-05-20 1999-05-13 Substrate transfer shuttle having a magnetic drive

Publications (1)

Publication Number Publication Date
JP2002516243A true JP2002516243A (ja) 2002-06-04

Family

ID=22172214

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000550768A Pending JP2002516243A (ja) 1998-05-20 1999-05-13 磁気駆動装置を有する基板移送シャトル

Country Status (6)

Country Link
US (3) US6206176B1 (ja)
EP (1) EP1087897A2 (ja)
JP (1) JP2002516243A (ja)
KR (1) KR100633637B1 (ja)
TW (1) TW427949B (ja)
WO (1) WO1999061350A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011207599A (ja) * 2010-03-30 2011-10-20 Sanki Eng Co Ltd クロスソータを備えた仕分コンベヤ
JP2014181771A (ja) * 2013-03-19 2014-09-29 Azbil Corp 磁気リニアアクチュエータ

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095094A1 (en) * 1997-07-22 2005-05-05 Maynard Michael D. Robotic parts handler system
US7682122B2 (en) * 1997-07-22 2010-03-23 Maynard Michael D Article retrieving and positioning system and apparatus for articles, layers, cases, and pallets
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
DE19907601A1 (de) * 1999-02-22 2000-08-31 Angew Solarenergie Ase Gmbh Verfahren sowie Anordnung zum kontinuierlichen Behandeln von Gegenständen
US6443686B1 (en) * 1999-03-05 2002-09-03 Pri Automation, Inc. Material handling and transport system and process
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20030183490A1 (en) * 2000-05-09 2003-10-02 Friedrich Eschenweck Longitudinal conveyor
US6682288B2 (en) * 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
JP2002068476A (ja) 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2005520321A (ja) * 2001-07-16 2005-07-07 アシスト テクノロジーズ インコーポレイテッド ツールのフロントエンド加工物処理のための統合システム
CN1996553A (zh) * 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6571934B1 (en) * 2001-11-14 2003-06-03 Dade Behring Inc. Bi-directional magnetic sample rack conveying system
US6935828B2 (en) * 2002-07-17 2005-08-30 Transfer Engineering And Manufacturing, Inc. Wafer load lock and magnetically coupled linear delivery system
TWI304391B (en) * 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US8545159B2 (en) * 2003-10-01 2013-10-01 Jusung Engineering Co., Ltd. Apparatus having conveyor and method of transferring substrate using the same
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7665946B2 (en) * 2003-11-04 2010-02-23 Advanced Display Process Engineering Co., Ltd. Transfer chamber for flat display device manufacturing apparatus
US7028831B2 (en) * 2004-03-05 2006-04-18 Beckman Coulter, Inc. Magnetic specimen-transport system for automated clinical instrument
JP4471708B2 (ja) * 2004-03-31 2010-06-02 キヤノンアネルバ株式会社 基板搬送装置
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
WO2006026886A1 (en) * 2004-09-10 2006-03-16 Oc Oerlikon Balzers Ag Substrate processing system
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US7146781B1 (en) * 2004-12-06 2006-12-12 Nathan Albert Cole Apparatus and method for insertion of material into uncontaminated containers
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US7438175B2 (en) * 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
CN101167173B (zh) * 2005-06-10 2011-06-22 应用材料股份有限公司 线性真空沉积系统
US7296673B2 (en) * 2005-06-10 2007-11-20 Applied Materials, Inc. Substrate conveyor system
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR20070008192A (ko) * 2005-07-13 2007-01-17 삼성전자주식회사 평판 표시 장치 제조용 반송 시스템
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US20070020067A1 (en) * 2005-07-22 2007-01-25 Au Optronics Corporation Storage cassette for large panel glass substrates
US20100158657A1 (en) * 2005-08-12 2010-06-24 Toru Maekawa Method for Manipulation Using Rotational Magnetic Field
US7572334B2 (en) * 2006-01-03 2009-08-11 Applied Materials, Inc. Apparatus for fabricating large-surface area polycrystalline silicon sheets for solar cell application
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
TWI316502B (en) * 2006-08-11 2009-11-01 Ind Tech Res Inst Substrate transportation device
CN101139045B (zh) * 2006-09-05 2011-10-12 财团法人工业技术研究院 基板传输装置
DE102006056511A1 (de) * 2006-11-30 2008-06-05 Fischerwerke Gmbh & Co. Kg Führungseinrichtung und Vorrichtung mit Führungseinrichtung
KR101073271B1 (ko) * 2006-12-05 2011-10-12 가부시키가이샤 시마쓰세사쿠쇼 팔레트 반송 장치 및 기판 검사 장치
CN101646800A (zh) * 2007-02-01 2010-02-10 威拉德&凯尔西太阳能集团有限责任公司 用于玻璃板半导体涂覆的系统和方法
DE502007004989D1 (de) * 2007-02-09 2010-10-21 Applied Materials Inc Anlage mit einer Transportvorrichtung zur Behandlung von Substraten
EP1973154B1 (de) * 2007-03-13 2012-04-25 Applied Materials, Inc. Vorrichtung zum Bewegen eines Carriers in einer Vakuumkammer
DE102007014876B4 (de) * 2007-03-26 2010-04-08 Kba-Metronic Aktiengesellschaft Transportsystem
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US8752449B2 (en) * 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
KR101288599B1 (ko) * 2007-05-29 2013-07-22 엘지디스플레이 주식회사 기판 이송 장치
WO2009003193A1 (en) * 2007-06-27 2008-12-31 Brooks Automation, Inc. Position feedback for self bearing motor
US8659205B2 (en) * 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US8823294B2 (en) * 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
WO2009003186A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Multiple dimension position sensor
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
KR102617936B1 (ko) 2007-07-17 2023-12-27 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
US20090022572A1 (en) * 2007-07-19 2009-01-22 Thomas Pass Cluster tool with a linear source
US8408858B2 (en) * 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
JP4494524B2 (ja) * 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
KR100904532B1 (ko) * 2007-11-23 2009-06-25 세메스 주식회사 기판 반송 장치
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
JP5529484B2 (ja) * 2008-10-28 2014-06-25 キヤノンアネルバ株式会社 基板搬送装置、及び磁気記録媒体の製造方法
US9105778B2 (en) * 2009-06-12 2015-08-11 Apollo Precision (Kunming) Yuanhong Limited Systems methods and apparatuses for magnetic processing of solar modules
US8062384B2 (en) * 2009-06-12 2011-11-22 Miasole Systems, methods and apparatuses for magnetic processing of solar modules
JP2011047515A (ja) * 2009-07-28 2011-03-10 Canon Anelva Corp 駆動装置及び真空処理装置
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101128737B1 (ko) * 2009-12-30 2012-03-23 엘아이지에이디피 주식회사 증착장치
KR101121429B1 (ko) * 2009-12-30 2012-03-16 엘아이지에이디피 주식회사 증착장치
KR101128738B1 (ko) * 2009-12-30 2012-03-23 엘아이지에이디피 주식회사 증착장치
DE102010028769A1 (de) 2010-05-07 2011-11-10 Pvt Probenverteiltechnik Gmbh System zum Transportieren von Behältern zwischen unterschiedlichen Stationen und Behälterträger
US20120251964A1 (en) * 2011-04-01 2012-10-04 Applied Materials, Inc. Method and apparatus for selective substrate support and alignment in a thermal treatment chamber
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
JP2013021036A (ja) * 2011-07-08 2013-01-31 Canon Anelva Corp 搬送装置
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
US9837294B2 (en) * 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
EP2589967A1 (en) 2011-11-04 2013-05-08 Roche Diagnostics GmbH Laboratory sample distribution system and corresponding method of operation
EP2589968A1 (en) 2011-11-04 2013-05-08 Roche Diagnostics GmbH Laboratory sample distribution system, laboratory system and method of operating
KR20130069037A (ko) * 2011-12-16 2013-06-26 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 표시 장치의 제조 방법 및 유기 발광 표시 장치
US9337014B1 (en) 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
CN103420165B (zh) * 2013-08-06 2015-09-02 中国电子科技集团公司第四十八研究所 一种基片传输装置
CA2927066C (en) * 2013-10-14 2019-02-19 Automatic Feed Company Overhead conveyor system
CH708881B1 (de) * 2013-11-20 2017-06-15 Besi Switzerland Ag Durchlaufofen für Substrate, die mit Bauteilen bestückt werden, und Die Bonder.
EP2927625A1 (de) 2014-03-31 2015-10-07 Roche Diagniostics GmbH Probenverteilungssystem und Laborautomatisierungssystem
EP2927167B1 (de) 2014-03-31 2018-04-18 F. Hoffmann-La Roche AG Versandvorrichtung, Probenverteilungssystem und Laborautomatisierungssystem
EP2927168A1 (de) 2014-03-31 2015-10-07 Roche Diagniostics GmbH Transportvorrichtung, Probenverteilungssystem und Laborautomatisierungssystem
EP2927163B1 (de) 2014-03-31 2018-02-28 Roche Diagnostics GmbH Vertikalfördervorrichtung, Probenverteilungssystem und Laborautomatisierungssystem
EP2977766A1 (en) 2014-07-24 2016-01-27 Roche Diagniostics GmbH Laboratory sample distribution system and laboratory automation system
EP2995580A1 (en) 2014-09-09 2016-03-16 Roche Diagniostics GmbH Laboratory sample distribution system and laboratory automation system
EP2995381A1 (en) * 2014-09-09 2016-03-16 Roche Diagniostics GmbH Set of sample container carriers for a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
US9952242B2 (en) 2014-09-12 2018-04-24 Roche Diagnostics Operations, Inc. Laboratory sample distribution system and laboratory automation system
EP2995958A1 (en) 2014-09-15 2016-03-16 Roche Diagniostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
EP3016116A1 (en) 2014-11-03 2016-05-04 Roche Diagniostics GmbH Printed circuit board arrangement, coil for a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
TWI676227B (zh) 2015-01-23 2019-11-01 美商應用材料股份有限公司 半導體工藝設備
EP3070479B1 (en) 2015-03-16 2019-07-03 Roche Diagniostics GmbH Transport carrier, laboratory cargo distribution system and laboratory automation system
EP3073270B1 (en) 2015-03-23 2019-05-29 Roche Diagniostics GmbH Laboratory sample distribution system and laboratory automation system
EP3095739A1 (en) 2015-05-22 2016-11-23 Roche Diagniostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
EP3096145B1 (en) 2015-05-22 2019-09-04 Roche Diagniostics GmbH Method of operating a laboratory automation system and laboratory automation system
EP3096146A1 (en) 2015-05-22 2016-11-23 Roche Diagniostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
EP3112874A1 (en) 2015-07-02 2017-01-04 Roche Diagnostics GmbH Storage module, method of operating a laboratory automation system and laboratory automation system
EP3121603A1 (en) 2015-07-22 2017-01-25 Roche Diagnostics GmbH Sample container carrier, laboratory sample distribution system and laboratory automation system
EP3139175B1 (en) 2015-09-01 2021-12-15 Roche Diagnostics GmbH Laboratory cargo distribution system, laboratory automation system and method of operating a laboratory cargo distribution system
CN105151803B (zh) * 2015-09-24 2018-03-27 Abb瑞士股份有限公司 输送装置及其传输系统
EP3153867B1 (en) 2015-10-06 2018-11-14 Roche Diagniostics GmbH Method of configuring a laboratory automation system, laboratory sample distribution system and laboratory automation system
EP3153866A1 (en) 2015-10-06 2017-04-12 Roche Diagnostics GmbH Method of determining a handover position and laboratory automation system
EP3156352B1 (en) 2015-10-13 2019-02-27 Roche Diagniostics GmbH Laboratory sample distribution system and laboratory automation system
EP3156353B1 (en) 2015-10-14 2019-04-03 Roche Diagniostics GmbH Method of rotating a sample container carrier, laboratory sample distribution system and laboratory automation system
US9862006B2 (en) 2015-12-29 2018-01-09 Solarcity Corporation Systems for levitating a metallic tray
EP3211430A1 (en) 2016-02-26 2017-08-30 Roche Diagnostics GmbH Transport device with base plate modules
EP3211428A1 (en) 2016-02-26 2017-08-30 Roche Diagnostics GmbH Transport device unit for a laboratory sample distribution system
EP3211429A1 (en) 2016-02-26 2017-08-30 Roche Diagnostics GmbH Transport device having a tiled driving surface
US20190292653A1 (en) * 2016-05-18 2019-09-26 Stefan Bangert Apparatus and method for transportation of a deposition source
JP6708787B2 (ja) 2016-06-03 2020-06-10 エフ.ホフマン−ラ ロシュ アーゲーF. Hoffmann−La Roche Aktiengesellschaft ラボラトリ試料分配システム及びラボラトリ自動化システム
EP3255519B1 (en) 2016-06-09 2019-02-20 Roche Diagniostics GmbH Laboratory sample distribution system and method of operating a laboratory sample distribution system
EP3260867A1 (en) 2016-06-21 2017-12-27 Roche Diagnostics GmbH Method of setting a handover position and laboratory automation system
JP6752350B2 (ja) 2016-08-04 2020-09-09 エフ.ホフマン−ラ ロシュ アーゲーF. Hoffmann−La Roche Aktiengesellschaft ラボラトリ試料分配システム及びラボラトリ自動化システム
TWI609831B (zh) * 2016-08-16 2018-01-01 Usun Technology Co Ltd 磁性傳動之輸送方法
CN106429258B (zh) * 2016-09-26 2018-10-12 管家依 一种消防主机壳体的搬运限位机构
CN106241348B (zh) * 2016-09-26 2018-12-07 绍兴柯桥东进纺织有限公司 一种消防主机壳体的移动机构
CN106241243B (zh) * 2016-09-26 2018-07-03 浙安集团有限公司 一种用于工作台之间的消防主机壳体搬运装置
CN106276159B (zh) * 2016-09-26 2019-01-15 李雨露 一种具有自动锁定功能的消防主机壳体搬运机构
EP3330717B1 (en) 2016-12-01 2022-04-06 Roche Diagnostics GmbH Laboratory sample distribution system and laboratory automation system
CN110035965B (zh) * 2016-12-27 2021-03-02 Abb瑞士股份有限公司 输送系统
EP3343232B1 (en) 2016-12-29 2021-09-15 Roche Diagnostics GmbH Laboratory sample distribution system and laboratory automation system
EP3355065B1 (en) 2017-01-31 2021-08-18 Roche Diagnostics GmbH Laboratory sample distribution system and laboratory automation system
EP3357842B1 (en) 2017-02-03 2022-03-23 Roche Diagnostics GmbH Laboratory automation system
DE102017106373A1 (de) * 2017-03-24 2018-09-27 Nexwafe Gmbh Prozesskammerführung, Prozesskammer und Verfahren zum Führen eines Substratträgers in eine Prozessposition
US10578521B1 (en) 2017-05-10 2020-03-03 American Air Filter Company, Inc. Sealed automatic filter scanning system
EP3410123B1 (en) 2017-06-02 2023-09-20 Roche Diagnostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
EP3428653B1 (en) 2017-07-13 2021-09-15 Roche Diagnostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
KR101922184B1 (ko) 2017-07-25 2018-11-26 세메스 주식회사 기판 처리 장치
CN107299323A (zh) * 2017-08-25 2017-10-27 北京泰科诺科技有限公司 磁力传动大面积蒸发镀膜机
EP3456415B1 (en) 2017-09-13 2021-10-20 Roche Diagnostics GmbH Sample container carrier, laboratory sample distribution system and laboratory automation system
EP3457144B1 (en) 2017-09-13 2021-10-20 Roche Diagnostics GmbH Sample container carrier, laboratory sample distribution system and laboratory automation system
EP3537159B1 (en) 2018-03-07 2022-08-31 Roche Diagnostics GmbH Method of operating a laboratory sample distribution system, laboratory sample distribution system and laboratory automation system
EP3540443B1 (en) 2018-03-16 2023-08-30 Roche Diagnostics GmbH Laboratory system, laboratory sample distribution system and laboratory automation system
DE102018006259A1 (de) 2018-06-14 2019-12-19 Robert Bosch Gmbh Beförderungsvorrichtung zum Befördern mindestens eines Wafers
EP3847400A1 (en) 2018-09-07 2021-07-14 American Air Filter Company, Inc. Filter testing apparatus and method
WO2020072507A1 (en) 2018-10-04 2020-04-09 Applied Materials, Inc. Transport system
EP3925911B1 (en) 2020-06-19 2023-05-24 Roche Diagnostics GmbH Laboratory sample distribution system and corresponding method of operation
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
EP3940388B1 (en) 2020-07-15 2024-04-10 Roche Diagnostics GmbH Laboratory sample distribution system and method for operating the same
US11747356B2 (en) 2020-12-21 2023-09-05 Roche Diagnostics Operations, Inc. Support element for a modular transport plane, modular transport plane, and laboratory distribution system
DE102021129283A1 (de) 2021-11-10 2023-05-11 Mafu Robotics GmbH Anlage zur Behandlung von Werkstücken

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2114470B2 (de) 1971-03-25 1975-02-13 Flachglas Ag Delog-Detag, 4650 Gelsenkirchen Vorrichtung zum kontinuierlichen, einseitigen Beschichten von Platten, wie Glasscheiben, Keramik- oder Kunststoffplatten u. dgl. mittels Kathodenzerstäubung
US3850105A (en) 1972-12-29 1974-11-26 Ibm Apparatus for transferring articles through various processing sectors of a manufacturing system
US3973665A (en) 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4166563A (en) * 1977-09-27 1979-09-04 Societe Suisse Pour L'industrie Horlogere Management Services, S.A. Transfer machine for sealing electronic or like components under vacuum
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4518078A (en) * 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
US4682927A (en) 1982-09-17 1987-07-28 Nacom Industries, Incorporated Conveyor system
JPS5953320A (ja) 1982-09-21 1984-03-28 Fujitsu Ltd 搬送装置
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS61117278A (ja) 1984-11-14 1986-06-04 Hitachi Ltd 高真空下における基板ホルダの水平移動機構
AU572375B2 (en) 1985-01-31 1988-05-05 Boc Group, Inc., The Transporting of workpiece to and from vacuum coating apparatus
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
JPH0829829B2 (ja) * 1986-06-24 1996-03-27 神鋼電機株式会社 クリ−ンル−ム内におけるリニアモ−タ搬送装置
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63141342A (ja) 1986-12-04 1988-06-13 Ushio Inc 半導体ウエハ処理方法及びその装置
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4829445A (en) 1987-03-11 1989-05-09 National Semiconductor Corporation Distributed routing unit for fully-automated flexible manufacturing system
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (ja) 1987-06-26 1991-07-12
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US4877123A (en) * 1987-11-02 1989-10-31 Ichiro Fukuwatari Conveyor means for wafers
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
EP0346815A3 (en) 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
DE3941110A1 (de) 1988-12-19 1990-06-28 Rif O Z Mikroelektroniki Vakuumeinrichtung zum aufdampfen von schichten
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
JPH031991A (ja) 1989-05-30 1991-01-08 Toshiba Corp 携帯可能電子機器
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0858867A3 (en) 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
JP2600399B2 (ja) 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
US5203443A (en) 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JP2893926B2 (ja) * 1990-10-25 1999-05-24 神鋼電機株式会社 推力発生装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH0596478A (ja) * 1991-10-03 1993-04-20 Seiko Seiki Co Ltd 磁気浮上型搬送装置
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5382126A (en) 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
WO1994000868A1 (en) 1992-06-26 1994-01-06 Materials Research Corporation Transport system for wafer processing line
WO1994001354A1 (en) * 1992-07-07 1994-01-20 Ebara Corporation Magnetically levitated carrying apparatus
JPH0627768U (ja) * 1992-09-17 1994-04-12 セイコー精機株式会社 搬送装置
DE69323716T2 (de) 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
DE69304038T2 (de) 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP2683208B2 (ja) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
JP2721108B2 (ja) * 1993-01-29 1998-03-04 信越化学工業株式会社 片末端ビニル重合性シリコーンの製造方法
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5377816A (en) 1993-07-15 1995-01-03 Materials Research Corp. Spiral magnetic linear translating mechanism
JP2683317B2 (ja) 1993-11-19 1997-11-26 マルヤス機械株式会社 コンベア
GB2284205B (en) 1993-11-25 1998-04-29 Nalco Ltd Treatment of agricultural waste
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5881649A (en) * 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
JP3894461B2 (ja) * 1997-01-17 2007-03-22 キヤノンアネルバ株式会社 非接触式磁気搬送装置の位置決め制御装置および位置決め制御方法
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011207599A (ja) * 2010-03-30 2011-10-20 Sanki Eng Co Ltd クロスソータを備えた仕分コンベヤ
JP2014181771A (ja) * 2013-03-19 2014-09-29 Azbil Corp 磁気リニアアクチュエータ

Also Published As

Publication number Publication date
TW427949B (en) 2001-04-01
KR100633637B1 (ko) 2006-10-11
US20010026748A1 (en) 2001-10-04
US6679671B2 (en) 2004-01-20
EP1087897A2 (en) 2001-04-04
WO1999061350A2 (en) 1999-12-02
WO1999061350A3 (en) 2000-07-13
US6206176B1 (en) 2001-03-27
US20030021658A1 (en) 2003-01-30
KR20010025062A (ko) 2001-03-26
US6471459B2 (en) 2002-10-29

Similar Documents

Publication Publication Date Title
JP2002516243A (ja) 磁気駆動装置を有する基板移送シャトル
JP6205368B2 (ja) 複合静的及びパスバイ処理用システム構成
KR102327286B1 (ko) 기판의 양면 처리를 위한 시스템 및 방법
US9922854B2 (en) Vertical inline CVD system
JP4389424B2 (ja) 被処理体の搬送機構及び処理システム
KR100602341B1 (ko) 기판 처리 방법 및 장치
US7770714B2 (en) Transfer apparatus
US20060054495A1 (en) Substrate processing system
KR20050042191A (ko) 대면적 기판 처리 시스템
CN109690751B (zh) 基板处理装置
WO2020200443A1 (en) Carrier transport system, vacuum deposition system, and method of transporting a carrier in a vacuum chamber
JPH07172578A (ja) トンネル搬送装置
JPS60261302A (ja) 高真空中の物品搬送装置
JP4505002B2 (ja) 搬送装置
KR19990045208A (ko) 기판 캐리어에서 자성체 지지물을 사용하는 기판지지 장치 및방법
US20240153803A1 (en) Semiconductor process equipment
JP2023517711A (ja) 基板処理機器のための基板トレイ移送システム
JP4451901B2 (ja) 搬送装置
JP2023139392A (ja) 基板処理システム
TW202202423A (zh) 無方向性輸送裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090609

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090810

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090810

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100223