KR102376372B1 - 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치 - Google Patents

공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치 Download PDF

Info

Publication number
KR102376372B1
KR102376372B1 KR1020187034052A KR20187034052A KR102376372B1 KR 102376372 B1 KR102376372 B1 KR 102376372B1 KR 1020187034052 A KR1020187034052 A KR 1020187034052A KR 20187034052 A KR20187034052 A KR 20187034052A KR 102376372 B1 KR102376372 B1 KR 102376372B1
Authority
KR
South Korea
Prior art keywords
susceptor
plenum
purge gas
vacuum
radial
Prior art date
Application number
KR1020187034052A
Other languages
English (en)
Other versions
KR20180129970A (ko
Inventor
조셉 유도브스키
알렉산더 에스. 폴야크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180129970A publication Critical patent/KR20180129970A/ko
Application granted granted Critical
Publication of KR102376372B1 publication Critical patent/KR102376372B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

지지 기둥과 함께 서셉터를 포함하는 서셉터 조립체들이 설명된다. 서셉터는 바디를 가지며, 그 바디는 상단 표면 및 바닥 표면을 갖는다. 상단 표면은 그 상단 표면에 복수의 오목부들을 갖는다. 지지 기둥은 서셉터 조립체를 회전시키기 위해 서셉터의 바닥 표면에 연결된다. 지지 기둥은 서셉터의 바디 내의 서셉터 진공 플리넘과 유체 연통하는 지지 기둥 진공 플리넘을 포함한다. 지지 기둥은 또한, 퍼지 가스 라인을 포함하며, 그 퍼지 가스 라인은 지지 기둥을 통해 서셉터의 바디 내의 퍼지 가스 플리넘으로 연장된다.

Description

공간적 ALD 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
[0001] 본 개시내용은 일반적으로, 배면 증착을 방지하는 장치 및 방법들에 관한 것이다. 특히, 본 개시내용은 공간적 원자 층 증착 프로세스챔버에서 기판들의 배면 상의 증착을 방지하기 위한 장치 및 방법들에 관한 것이다.
[0002] 공간적 원자 층 증착(ALD) 프로세스들 동안, 증착 가스들이 기판의 배면과 접촉하여 배면 증착을 초래할 수 있다. 배면 증착은 다중-웨이퍼 서셉터 어레인지먼트(multi-wafer susceptor arrangement)를 사용하는 배치(batch) 프로세싱 챔버들에서 문제가 될 수 있다. 현재의 배치 프로세싱 시스템들은 웨이퍼의 외측 에지 주위에서 30 mm 정도의 마진(margin)의 배면 증착을 겪는다. 따라서, 배치 프로세싱 챔버들에서 배면 증착을 방지하기 위한 장치 및 방법들이 본 기술분야에서 필요하다.
[0003] 본 개시내용의 하나 또는 그 초과의 실시예들은, 지지 기둥과 함께 서셉터를 포함하는 서셉터 조립체들에 관한 것이다. 서셉터는 바디를 가지며, 그 바디는 상단 표면 및 바닥 표면을 갖는다. 상단 표면은 그 상단 표면에 복수의 오목부들을 갖는다. 지지 기둥은 서셉터 조립체를 회전시키기 위해 서셉터의 바닥 표면에 연결된다. 지지 기둥은 서셉터의 바디 내의 서셉터 진공 플리넘과 유체 연통하는 지지 기둥 진공 플리넘을 포함한다. 지지 기둥은 또한, 퍼지 가스 라인을 포함하며, 그 퍼지 가스 라인은 지지 기둥을 통해 서셉터의 바디 내의 퍼지 가스 플리넘으로 연장된다.
[0004] 본 개시내용의 부가적인 실시예들은 바디를 갖는 서셉터를 포함하는 서셉터 조립체들에 관한 것이며, 그 바디는 상단 표면 및 바닥 표면을 갖는다. 상단 표면은 그 상단 표면에 복수의 오목부들을 갖는다. 오목부들 각각은, 환상 공동을 형성하는, 오목부의 외측 주변 구역 내에 퍼지 링을 갖는다. 지지 기둥이 서셉터 조립체를 회전시키기 위해 서셉터의 바닥 표면에 연결된다. 지지 기둥은, 서셉터의 바디 내의 서셉터 진공 플리넘과 유체 연통하는 지지 기둥 진공 플리넘; 지지 기둥을 통해 서셉터의 바디 내의 퍼지 가스 플리넘으로 연장되는 퍼지 가스 라인; 바디 내의 복수의 방사상 퍼지 가스 공급 채널들 ― 2개의 방사상 퍼지 가스 공급 채널들이 오목부들 각각의 환상 공동 및 퍼지 가스 플리넘과 유체 연통함 ―; 및 복수의 방사상 진공 공급 채널들을 포함하며, 여기서, 하나의 방사상 진공 공급 채널이 서셉터 진공 플리넘 및 각각의 오목부와 유체 연통한다.
[0005] 본 개시내용의 추가적인 실시예들은 바디를 갖는 서셉터를 포함하는 서셉터 조립체들에 관한 것이며, 그 바디는 상단 표면 및 바닥 표면을 갖는다. 상단 표면은 그 상단 표면에 복수의 오목부들을 갖는다. 오목부들 각각은, 환상 공동을 형성하는, 오목부의 외측 주변 구역 내에 퍼지 링을 갖는다. 지지 기둥이 서셉터 조립체를 회전시키기 위해 서셉터의 바닥 표면에 연결된다. 지지 기둥은, 지지 기둥의 상단의 중앙 부분 내의 지지 기둥 진공 플리넘 ― 지지 기둥 진공 플리넘은 서셉터의 바디 내의 서셉터 진공 플리넘과 유체 연통함 ―; 지지 기둥을 통해 연장되고, 지지 기둥 진공 플리넘 아래의 정션(junction)에서 2개의 상부 퍼지 가스 라인들로 분할되는 퍼지 가스 라인 ― 상부 퍼지 가스 라인들은 실질적으로 동일한 컨덕턴스(conductance)를 갖고, 서셉터의 바디 내의 퍼지 가스 플리넘과 유체 연통함 ―; 바디 내의 복수의 방사상 퍼지 가스 공급 채널들 ― 2개의 방사상 퍼지 가스 공급 채널들이 오목부들 각각의 환상 공동 및 퍼지 가스 플리넘과 유체 연통하고, 방사상 퍼지 가스 공급 채널들 각각은 실질적으로 동일한 컨덕턴스를 갖는 상이한 사이즈들의 2개의 홀들을 가짐 ―; 복수의 방사상 진공 공급 채널들 ― 하나의 방사상 진공 공급 채널이 서셉터 진공 플리넘 및 각각의 오목부와 유체 연통하고, 방사상 진공 공급 채널들 각각은 오목부 내의 복수의 구멍들과 유체 연통함 ―; 및 각각의 오목부 내의 퍼지 링을 포함하며, 퍼지 링은, 제1 링 플리넘 내의 압력 균일성을 증가시키도록 제1 링 플리넘을 형성하는 제1 압축 갭, 및 제2 링 플리넘에서 빠져나가는 가스의 환상 속도(annular velocity)를 정의하도록 제2 링 플리넘을 형성하는 제2 압축 갭을 포함한다.
[0006] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0007] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0008] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분 사시도를 도시한다.
[0009] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0010] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0011] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0012] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 서셉터 조립체의 부분 단면도를 도시한다.
[0013] 도 7은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 서셉터의 투명 도면을 도시한다.
[0014] 도 8은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 퍼지 링의 부분 측면도를 도시한다.
[0015] 도 9는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 서셉터의 부분 평면도를 도시한다.
[0016] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용에 대해 다른 실시예들이 이루어질 수 있고, 본 개시내용은 다양한 방식들로 실시 또는 수행될 수 있다.
[0017] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우에, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0018] 본 개시내용의 일부 실시예들은 공간적 프로세싱 챔버라고 또한 지칭되는 배치 프로세싱 챔버를 사용하는 막 증착 프로세스들에 관한 것이다. 도 1은, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 프로세싱 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 서셉터 조립체(140) 쪽으로 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 외측 주변 에지(124)를 포함하며, 도시된 실시예들에서, 외측 주변 에지(124)는 실질적으로 둥글다.
[0019] 사용되는 가스 분배 조립체(120)의 특정한 타입은 사용되고 있는 특정한 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 이원(binary) 반응에서, 복수의 가스 채널들은 적어도 하나의 제1 반응성 가스(A) 채널, 적어도 하나의 제2 반응성 가스(B) 채널, 적어도 하나의 퍼지 가스(P) 채널, 및/또는 적어도 하나의 진공(V) 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스(B) 채널(들), 및 퍼지 가스(P) 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스(P) 채널(들)을 통해 프로세싱 구역 밖으로 이동한다.
[0020] 일부 실시예들에서, 가스 분배 조립체(120)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 또는 그 초과의 실시예들에서, 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별적인 섹터들(예컨대, 인젝터 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0021] 서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 포지셔닝된다. 서셉터 조립체(140)는 상단 표면(141), 및 상단 표면(141) 내의 적어도 하나의 오목부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 오목부(142)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 1에 도시된 실시예에서, 오목부(142)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 다양할 수 있다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처(feature)들의 존재에 따라 변화될 수 있다.
[0022] 일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 상단 표면(141) 내의 오목부(142)는 오목부(142)에서 지지되는 기판(60)이 서셉터(140)의 상단 표면(141)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 일부 실시예들에서, 상단 표면들은 ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0023] 도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(140)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 미세-조정들을 행할 수 있는 미세 튜닝 액추에이터들(162)을 포함할 수 있다.
[0024] 일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 또는 약 1 mm이다.
[0025] 도면들에서 도시된 프로세싱 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 인젝터 유닛들(122)을 포함할 수 있으며, 각각의 인젝터 유닛(122)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(122)이 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(140) 위에 포지셔닝된 것으로 도시된다. 이러한 수의 인젝터 유닛들(122)은 예시적인 목적들만을 위해 도시된다. 더 많은 또는 더 적은 인젝터 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상과 일치하는 형상을 형성하도록 충분한 수의 파이-형상 인젝터 유닛들(122)이 존재한다. 일부 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(122) 각각은 다른 인젝터 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 기판들(60)을 로딩/언로딩하기 위해 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근할 수 있게 하도록, 하나의 세그먼트가 상승될 수 있다.
[0026] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 3에 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 가스 분배 조립체들(120) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(140)를 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래에 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 가스 분배 조립체들(120)로부터 벗어나도록 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(120)의 수는 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들의 수와 동일한 수의 프로세싱되고 있는 웨이퍼들이 존재한다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 조립체들의 수의 정수배 또는 프랙션(fraction)이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우에, 4x개의 프로세싱되고 있는 웨이퍼들이 존재하며, 여기에서, x는 1과 동일하거나 또는 그 초과인 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(120)는 가스 커튼들에 의해 분리된 8개의 프로세싱 구역들을 포함하고, 서셉터 조립체(140)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0027] 도 3에 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(또한, 가스 분배 조립체들(120)이라고 호칭됨)이 존재한다. 도시된 프로세싱 챔버(100)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(120)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 2에 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0028] 도 3에 도시된 실시예는 로드 락 챔버(180) 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예컨대, 기판들(기판들(60)이라고 또한 지칭됨)이 프로세싱 챔버(100)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(100)의 측면에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(180)에 포지셔닝될 수 있다.
[0029] 캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적일 수 있거나 또는 단속적(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되고 정지될 수 있고, 그 후, 인젝터들 사이의 구역(84)으로 이동되고 정지될 수 있다. 예컨대, 캐러셀은 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러(또는, 인젝터에 인접한 위치에서 정지함), 캐러셀이 다시 멈출 수 있는 그 다음의 인젝터-간 구역으로 이동하도록 회전할 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0030] 도 4는, 인젝터 유닛(122)이라고 지칭될 수 있는, 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(122)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 5에 도시된 바와 같이, 도 4의 인젝터 유닛(122) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않음). 도 4의 인젝터 유닛(122)이 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 둘 모두를 갖지만, 인젝터 유닛(122)이 이들 컴포넌트들 전부를 필요로 하는 것은 아니다.
[0031] 도 4 및 도 5 둘 모두를 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(122))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 155) 및 세장형 진공 포트들(145)은 가스 분배 조립체(220)의 내측 주변 에지(123)에 인접한 영역으로부터 외측 주변 에지(124)에 인접한 영역 쪽으로 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0032] 그러나, 도 4 또는 도 5에 도시된 실시예들을 참조로, 포트들이 적어도 대략 내측 주변 구역으로부터 적어도 대략 외측 주변 구역으로 연장되는 것을 언급하는 경우, 포트들은 내측 구역으로부터 외측 구역으로 단지 반경방향으로만 연장되는 것이 아니라 그 이상의 다른 방식으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러싸는 상태로 접선방향으로 연장될 수 있다. 도 4 및 도 5에 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(125, 135)은 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하는 모든 에지들이 진공 포트(145)에 의해 둘러싸인다.
[0033] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(127)를 따르면, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 가스 포트(135), 및 진공 포트(145)에 노출될 것이거나, 또는 이들을 "보게(see)'될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판은 층을 형성하기 위해 제1 가스 포트(125) 및 제2 가스 포트(135)에 노출되었다. 도시된 인젝터 유닛(122)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는 연속하여 연결된, 도 4의 인젝터 유닛(122) 4개의 조합인 것으로 고려될 수 있다.
[0034] 도 4의 인젝터 유닛(122)은 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0035] 도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세싱 구역들(250)로의 분리를 형성한다. 프로세싱 구역들은 프로세싱 구역들(250) 사이의 가스 커튼(150)으로 인해, 개별적인 반응성 가스 포트들(125, 135) 주위로 대략적으로 정의된다. 도 5에 도시된 실시예는 8개의 별개의 가스 커튼들(150)이 사이에 있는 8개의 별개의 프로세싱 구역들(250)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세싱 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세싱 구역들이 존재한다.
[0036] 프로세싱 동안, 기판은 임의의 주어진 시간에서 하나 초과의 프로세싱 구역(250)에 노출될 수 있다. 그러나, 상이한 프로세싱 구역들에 노출되는 부분들은 2개의 프로세싱 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 가스 포트(135)를 포함하는 프로세싱 구역에 기판의 선행 에지가 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(125)를 포함하는 프로세싱 구역에 있을 것이다.
[0037] 예컨대 로드 락 챔버일 수 있는 팩토리 인터페이스(280)가 프로세싱 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 참조용 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 조립체(120)의 전방 표면(121) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 3 참조). 기판(60)이 제1 반응성 가스 포트(125) 근처에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되어 있으므로, 기판(60)은 프로세싱 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(127)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(100) 주위로 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은 제1 프로세싱 구역(250a)과 제8 프로세싱 구역(250h) 사이의 모든 프로세싱 구역들을 포함하여 제1 프로세싱 구역(250a) 내지 제8 프로세싱 구역(250h)에 노출될 것이다.
[0038] 본 개시내용의 실시예들은 복수의 프로세싱 구역들(250a 내지 250h)을 갖는 프로세싱 챔버(100)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세싱 구역은 가스 커튼(150)에 의해 인접 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 5에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세싱 구역들의 수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 프로세싱 구역들(250a 내지 250h)을 갖는다. 일반적으로, 가스 커튼들의 수는 프로세싱 구역들의 수와 동일하거나 또는 프로세스 구역들의 수보다 더 많다.
[0039] 복수의 기판들(60)이 기판 지지부, 예컨대 도 1 및 도 2에 도시된 서셉터 조립체(140) 상에 포지셔닝된다. 복수의 기판들(60)은 프로세싱을 위해 프로세싱 구역들 주위로 회전된다. 일반적으로, 가스 커튼들(150)은, 챔버 내로 반응성 가스가 전혀 유동하고 있지 않는 기간들을 포함하여 프로세싱 전반에 걸쳐 인게이징(engage)된다(가스 유동 및 진공이 작동됨(on)).
[0040] 도 6 내지 도 9를 참조하면, 본 개시내용의 실시예들은 서셉터 조립체들(300)에 관한 것이다. 서셉터 조립체들(300)은 서셉터(310) 및 지지 기둥(350)을 포함한다. 서셉터(310)는 바디(312)를 가지며, 그 바디(312)는 상단 표면(314) 및 바닥 표면(316)을 갖는다. 상단 표면(314)은 그 상단 표면(314)에 복수의 오목부들(320)을 갖는다.
[0041] 지지 기둥(350)은 서셉터 조립체(300)를 회전시키기 위해 서셉터(310)의 바닥 표면(316)에 연결된다. 지지 기둥(350)은 서셉터(310)의 바디(312) 내의 서셉터 진공 플리넘(322)과 유체 연통하는 지지 기둥 진공 플리넘(352)을 포함한다.
[0042] 도 7에 도시된 바와 같이, 일부 실시예들은 연결부(327)에서 서셉터 진공 플리넘(322)으로부터 연장되는 복수의 방사상 진공 공급 채널들(326)을 더 포함한다. 방사상 진공 공급 채널들(326)은 서셉터 진공 플리넘(322)과 유체 연통한다. 방사상 진공 공급 채널들(326)은 서셉터(310)의 외측 주변 에지(311) 쪽으로 연장되고, 그리고 밀봉된 채널을 형성하도록 플러그(328)로 폐쇄될 수 있다.
[0043] 방사상 진공 공급 채널들(326)은 오목부들(320)에 진공을 제공한다. 도 9에 도시된 바와 같이, 진공은 오목부(320)의 상단 표면(330) 내의 복수의 구멍들(329)을 통해 오목부(320)에 연결될 수 있다. 오목부(320)마다 임의의 적합한 수의 방사상 진공 공급 채널들(326)이 존재할 수 있다. 일부 실시예들에서, 도 7에 도시된 바와 같이, 오목부(320)마다 하나의 방사상 진공 공급 채널(326)이 존재한다. 방사상 진공 공급 채널(326)은 서셉터 진공 플리넘(322)으로부터 오목부(320)의 중심 근처의 포인트를 통해 서셉터(310)의 외측 주변 에지(311) 근처의 포인트로 연장될 수 있다.
[0044] 지지 기둥(350)은 또한, 퍼지 가스 라인(354)을 포함하며, 그 퍼지 가스 라인(354)은 지지 기둥(350)을 통해 서셉터(310)의 바디(312) 내의 퍼지 가스 플리넘(324)으로 연장된다. 일부 실시예들의 퍼지 가스 라인(354)은 지지 기둥(350)을 통해 연장되고, 정션(355)에서 복수의 상부 퍼지 가스 라인들(356)로 분할된다. 일부 실시예들에서, 복수의 상부 퍼지 가스 라인들(356) 각각은 실질적으로 동일한 컨덕턴스를 갖는다. 이에 대하여 사용되는 바와 같이, “실질적으로 동일한 컨덕턴스”라는 용어는 라인들을 통하는 가스 컨덕턴스가 각각의 라인에 대한 평균 컨덕턴스의 ±10 % 내에 있는 것을 의미한다.
[0045] 일부 실시예들에서, 도 6에 도시된 바와 같이, 지지 기둥 진공 플리넘(352)은 지지 기둥(350)의 상단의 중앙 부분에 포지셔닝된다. 도시된 실시예에서, 복수의 상부 퍼지 가스 라인들(356) 각각은 지지 기둥 진공 플리넘(352) 아래의 정션(355)으로부터 지지 기둥 진공 플리넘(352) 주위의 서셉터(310)의 바디(312)로 연장된다.
[0046] 도 7을 다시 참조하면, 일부 실시예들은 정션(325)에서 퍼지 가스 플리넘(324)과 유체 연통하는 복수의 방사상 퍼지 가스 공급 채널들(332)을 더 포함한다. 오목부들(320) 각각과 유체 연통하는 임의의 적합한 수의 방사상 퍼지 가스 공급 채널들(332)이 존재할 수 있다. 일부 실시예들에서, 각각의 오목부(320)와 유체 연통하는 2개의 방사상 퍼지 가스 공급 채널들(332)이 존재한다. 2개의 방사상 퍼지 가스 공급 채널들(332)은 퍼지 가스 플리넘(324)으로부터 서셉터(310)의 외측 주변 에지(311) 쪽으로 연장되고, 그리고 오목부(320)에 중심을 벗어나 연결된다. 방사상 퍼지 가스 공급 채널들(332)의 외측 단부는 플러그(334)로 막힐 수 있다.
[0047] 도시된 실시예에서, 방사상 퍼지 가스 공급 채널들(332) 각각은 2개의 홀들(336)을 이용하여 오목부(320)의 환상 플리넘(340)에 연결된다. 일부 실시예들에서, 2개의 홀들(336) 각각은, 각각의 홀(336)이, 오목부(320)의 환상 플리넘(340) 내로 유동하는 퍼지 가스의 실질적으로 동일한 컨덕턴스를 갖도록, 상이한 사이즈를 갖는다. 이에 대하여 사용되는 바와 같이, “실질적으로 동일한 컨덕턴스”라는 용어는 홀들(336)을 통하는 가스 컨덕턴스가 각각의 홀(336)에 대한 평균 컨덕턴스의 ±10 % 내에 있는 것을 의미한다.
[0048] 도 8에 도시된 바와 같이, 일부 실시예들은 각각의 오목부(320)에 퍼지 가스 링(342)을 더 포함한다. 퍼지 가스 링(342)은 오목부(320)의 환상 플리넘(340) 내에 포지셔닝된다. 일부 실시예들의 퍼지 가스 링(342)은, 제1 링 플리넘(346) 내의 압력 균일성을 증가시키도록 제1 링 플리넘(346)을 형성하는 제1 압축 갭(344)을 포함한다. 제1 압축 갭(344)의 사이즈는 임의의 적합한 사이즈로 이루어질 수 있다. 일부 실시예들에서, 제1 압축 갭(344)은 약 200 μm 내지 약 800 μm의 범위 또는 약 400 μm이다.
[0049] 일부 실시예들에서, 퍼지 가스 링(342)은, 배면 증착을 방지 또는 최소화하기 위해, 제2 링 플리넘(347)에서 빠져나가는 가스의 환상 속도를 정의하도록 제2 링 플리넘(347)을 형성하는 제2 압축 갭(345)을 더 포함한다. 제2 압축 갭은 임의의 적합한 사이즈로 이루어질 수 있다. 일부 실시예들에서, 제2 압축 갭(345)은 약 100 μm 내지 약 500 μm의 범위 또는 약 200 μm이다. 일부 실시예들에서, 제2 압축 갭(345)의 사이즈는 제1 압축 갭(344)의 사이즈보다 더 작다. 당업자는 제1 압축 갭(344) 및 제2 압축 갭(345)이 퍼지 가스 링(342)과 서셉터 바디(312) 사이에 형성된다는 것을 이해할 것이다.
[0050] 퍼지 가스 링(342)은 임의의 적합한 기법 및 하드웨어에 의해 오목부(320)의 환상 플리넘(340)에 홀딩될 수 있다. 일부 실시예들에서, 퍼지 가스 링(342)은 중력만으로 적소에 홀딩되거나, 또는 하나 또는 그 초과의 스크루들(미도시)에 의해 적소에 홀딩된다.
[0051] 도 6을 다시 참조하면, 일부 실시예들은 리프트(351)의 상단에 연결된 토크 플레이트(362)를 포함한다. 토크 플레이트(362)는 서셉터(310)에 지지 기둥(350)의 리프트(351) 부분을 연결하기 위한 견고한 부위를 제공한다. 토크 플레이트는 복수의 볼트들(미도시)에 의해 또는 다른 기계적 파스너들을 통해 서셉터(310)에 연결될 수 있다.
[0052] 도시된 실시예는 퍼지 가스 라인(354)에 연결된 퍼지 가스 유니언(purge gas union)(364)을 포함한다. 퍼지 가스 유니언(364)은, 사용 동안 퍼지 가스의 적합한 유동이 유지될 수 있도록, 퍼지 가스 라인(354)에 퍼지 가스 소스(미도시)를 연결하기 위한 부위를 제공한다.
[0053] 지지 기둥(350)은 또한, 전체 지지 기둥(350)을 상방 및 하방으로 이동시키기 위한 z-축 모터(366)를 포함할 수 있다. 일부 실시예들에서, z-축 모터(366)는 지지 기둥(350) 내에서 지지 기둥(350)의 리프트(351) 부분을 상방 및 하방으로 이동시키도록 구성된다. 벨로즈(367)는 지지 기둥 내의 밀봉을 유지하기 위해 팽창 및 수축될 수 있다.
[0054] 도 9를 참조하면, 퍼지 가스 링(342)의 일부 실시예들은 복수의 로케이팅 핀들(341)을 포함한다. 로케이팅 핀들(341)은 고정되어 있고, 오목부의 환상 플리넘(340)의 중앙에 퍼지 가스 링(342)을 홀딩한다. 임의의 적합한 수의 로케이팅 핀들(341)이 존재할 수 있다. 일부 실시예들에서, 3개 또는 그 초과의 로케이팅 핀들(341)이 존재한다.
[0055] 일부 실시예들은 또한, 퍼지 가스 링(342)의 반경 주위에 위치된 로케이팅 핸들들(343)을 포함한다. 로케이팅 핸들들(343)은 퍼지 가스 링(342) 내에 웨이퍼(361)를 센터링하고, 그에 따라, 배면 퍼지 가스의 유동은 웨이퍼(361)의 외측 에지 주위에서 대략 동일하게 된다. 임의의 적합한 수의 로케이팅 핸들들(343)이 존재할 수 있다. 일부 실시예들에서, 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개 또는 그 초과의 로케이팅 핸들들(343)이 존재한다.
[0056] 하나 또는 그 초과의 실시예들에 따르면, 기판은 층을 형성하기 전에 그리고/또는 층을 형성한 후에 프로세싱을 받는다. 이러한 프로세싱은 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가적인 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동된 후에 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0057] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드 락 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전단부에 포지셔닝된 로드 락 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 양자 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정한 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 탈기, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지는 않음). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전의 산화 없이도, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[0058] 하나 또는 그 초과의 실시예들에 따르면, 기판은 계속 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌프 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 비활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0059] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있고, 여기에서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직진 경로 또는 휘어진 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로의 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[0060] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 가열기/냉각기가 포지셔닝된다.
[0061] 기판은 또한, 프로세싱 동안에 고정될 수 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[0062] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 위치들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0063] 본원의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 애플리케이션들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 서셉터 조립체(susceptor assembly)로서,
    상단 표면 및 바닥 표면을 갖는 바디(body)를 갖는 서셉터 ― 상기 상단 표면은 상기 상단 표면에 복수의 오목부들을 가짐 ―; 및
    상기 서셉터 조립체를 회전시키기 위해 상기 서셉터의 바닥 표면에 연결된 지지 기둥;을 포함하며,
    상기 지지 기둥은,
    상기 서셉터의 바디 내의 서셉터 진공 플리넘(plenum)과 유체 연통하는 지지 기둥 진공 플리넘; 및
    상기 지지 기둥을 통해 상기 서셉터의 바디 내의 퍼지 가스 플리넘으로 연장되는 퍼지 가스 라인;을 포함하는,
    서셉터 조립체.
  2. 제1 항에 있어서,
    상기 지지 기둥을 통해 연장되는 상기 퍼지 가스 라인은 정션(junction)에서 복수의 상부 퍼지 가스 라인들로 분할되는,
    서셉터 조립체.
  3. 제2 항에 있어서,
    상기 복수의 상부 퍼지 가스 라인들 각각은 실질적으로 동일한 컨덕턴스(conductance)를 갖는,
    서셉터 조립체.
  4. 제3 항에 있어서,
    상기 지지 기둥 진공 플리넘은 상기 지지 기둥의 상단의 중앙 부분에 포지셔닝되는,
    서셉터 조립체.
  5. 제4 항에 있어서,
    상기 복수의 상부 퍼지 가스 라인들 각각은, 상기 지지 기둥 진공 플리넘 아래의 상기 정션으로부터 상기 지지 기둥 진공 플리넘 주위의 상기 서셉터의 바디로 연장되는,
    서셉터 조립체.
  6. 제1 항에 있어서,
    상기 서셉터의 바디에 복수의 방사상 퍼지 가스 공급 채널들을 더 포함하며,
    상기 방사상 퍼지 가스 공급 채널들은 상기 퍼지 가스 플리넘과 유체 연통하는,
    서셉터 조립체.
  7. 제6 항에 있어서,
    상기 오목부들 각각과 유체 연통하는 적어도 2개의 방사상 퍼지 가스 공급 채널들이 존재하는,
    서셉터 조립체.
  8. 제7 항에 있어서,
    상기 적어도 2개의 방사상 퍼지 가스 공급 채널들은 상기 오목부에 중심을 벗어나 연결되는,
    서셉터 조립체.
  9. 제8 항에 있어서,
    상기 방사상 퍼지 가스 공급 채널들 각각은 2개의 홀들을 이용하여 상기 오목부의 환상 플리넘(annular plenum)에 연결되는,
    서셉터 조립체.
  10. 제9 항에 있어서,
    상기 2개의 홀들 각각은, 상기 오목부의 상기 환상 플리넘 내로 유동하는 퍼지 가스의 동일한 컨덕턴스를 갖도록, 상이한 사이즈들을 갖는,
    서셉터 조립체.
  11. 제1 항에 있어서,
    복수의 방사상 진공 공급 채널들을 더 포함하며,
    상기 방사상 진공 공급 채널들은 상기 서셉터 진공 플리넘과 유체 연통하는,
    서셉터 조립체.
  12. 제11 항에 있어서,
    상기 복수의 오목부들 각각마다 상기 복수의 방사상 진공 공급 채널들 중 하나가 존재하며,
    상기 복수의 방사상 진공 공급 채널들은 상기 오목부 내의 복수의 구멍들과 유체 연통하는,
    서셉터 조립체.
  13. 제1 항에 있어서,
    상기 복수의 오목부들 각각에 퍼지 링을 더 포함하는,
    서셉터 조립체.
  14. 제13 항에 있어서,
    상기 퍼지 링은, 제1 링 플리넘 내의 압력 균일성을 증가시키도록 상기 제1 링 플리넘의 출구를 형성하는 제1 압축 갭, 및 상기 제1 압축 갭으로부터 제2 링 플리넘을 통해 빠져나가는 가스의 환상 속도(annular velocity)를 규정하도록 상기 제2 링 플리넘의 출구를 형성하는 제2 압축 갭을 포함하는,
    서셉터 조립체.
  15. 서셉터 조립체로서,
    상단 표면 및 바닥 표면을 갖는 바디를 갖는 서셉터 ― 상기 상단 표면은 상기 상단 표면에 복수의 오목부들을 갖고, 상기 오목부들 각각은, 환상 플리넘을 형성하는, 상기 오목부 내에 퍼지 링을 갖고, 상기 퍼지 링은, 제1 링 플리넘 내의 압력 균일성을 증가시키도록 상기 제1 링 플리넘의 출구를 형성하는 제1 압축 갭, 및 상기 제1 압축 갭으로부터 제2 링 플리넘을 통해 빠져나가는 가스의 환상 속도를 규정하도록 상기 제2 링 플리넘의 출구를 형성하는 제2 압축 갭을 포함함 ―;
    상기 서셉터 조립체를 회전시키기 위해 상기 서셉터의 바닥 표면에 연결된 지지 기둥 ― 상기 지지 기둥은,
    상기 지지 기둥의 상단의 중앙 부분 내의 지지 기둥 진공 플리넘; 및
    상기 지지 기둥을 통해 연장되고, 상기 지지 기둥 진공 플리넘 아래의 정션에서 2개의 상부 퍼지 가스 라인들로 분할되는 퍼지 가스 라인;을 포함하고,
    상기 지지 기둥 진공 플리넘은 상기 서셉터의 바디 내의 서셉터 진공 플리넘과 유체 연통하고, 상기 상부 퍼지 가스 라인들은 실질적으로 동일한 컨덕턴스를 갖고, 상기 서셉터의 바디 내의 퍼지 가스 플리넘과 유체 연통함 ―;
    상기 바디 내의 복수의 방사상 퍼지 가스 공급 채널들 ― 2개의 방사상 퍼지 가스 공급 채널들이 상기 오목부들 각각의 상기 환상 플리넘 및 상기 퍼지 가스 플리넘과 유체 연통하고, 상기 방사상 퍼지 가스 공급 채널들 각각은 실질적으로 동일한 컨덕턴스를 갖는 상이한 사이즈들의 2개의 홀들을 가짐 ―; 및
    복수의 방사상 진공 공급 채널들 ― 상기 복수의 방사상 진공 공급 채널들 중 하나는 상기 서셉터 진공 플리넘 및 상기 복수의 오목부들 각각과 유체 연통하고, 상기 방사상 진공 공급 채널들 각각은 상기 오목부 내의 복수의 구멍들과 유체 연통함 ―;을 포함하는,
    서셉터 조립체.
KR1020187034052A 2016-04-24 2017-04-24 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치 KR102376372B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662326816P 2016-04-24 2016-04-24
US62/326,816 2016-04-24
PCT/US2017/029078 WO2017189408A1 (en) 2016-04-24 2017-04-24 Apparatus for prevention of backside deposition in a spatial ald process chamber

Publications (2)

Publication Number Publication Date
KR20180129970A KR20180129970A (ko) 2018-12-05
KR102376372B1 true KR102376372B1 (ko) 2022-03-17

Family

ID=60089083

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034052A KR102376372B1 (ko) 2016-04-24 2017-04-24 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치

Country Status (5)

Country Link
US (1) US10658223B2 (ko)
KR (1) KR102376372B1 (ko)
CN (1) CN109072433B (ko)
TW (1) TWI734770B (ko)
WO (1) WO2017189408A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102600229B1 (ko) * 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
NL2029133B1 (en) 2021-09-06 2023-03-21 Schunk Xycarb Tech B V Substrate carrier bore hole plug
WO2023134039A1 (zh) * 2022-01-12 2023-07-20 北京北方华创微电子装备有限公司 半导体工艺设备及其承载装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010522431A (ja) * 2007-03-20 2010-07-01 ケーエルエー−テンカー・コーポレーション 真空予圧空気軸受チャックを使用する基板の安定

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58181714A (ja) 1982-04-19 1983-10-24 Sanyo Electric Co Ltd a−si感光体ドラム作成装置
US5447570A (en) 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
TWI465599B (zh) 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US9922860B2 (en) * 2013-03-14 2018-03-20 Applied Materials, Inc. Apparatus and methods for wafer chucking on a susceptor for ALD
US9460915B2 (en) 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010522431A (ja) * 2007-03-20 2010-07-01 ケーエルエー−テンカー・コーポレーション 真空予圧空気軸受チャックを使用する基板の安定

Also Published As

Publication number Publication date
WO2017189408A1 (en) 2017-11-02
KR20180129970A (ko) 2018-12-05
TW201807247A (zh) 2018-03-01
US20170309512A1 (en) 2017-10-26
US10658223B2 (en) 2020-05-19
CN109072433A (zh) 2018-12-21
CN109072433B (zh) 2021-06-25
TWI734770B (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
TWI729101B (zh) 用於旋轉料架基座中的晶圓旋轉的設備及方法
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
US20230116396A1 (en) Contour pocket and hybrid susceptor for wafer uniformity
US11530480B2 (en) Injector for batch processing and methods of use
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
US20170306490A1 (en) Enhanced Spatial ALD Of Metals Through Controlled Precursor Mixing
US11887818B2 (en) Methods and systems to modulate film stress
KR102404119B1 (ko) 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
KR102258595B1 (ko) 공간적 ald 프로세싱 챔버에서 증착 균일성을 증가시키기 위한 디바이스
US11581213B2 (en) Susceptor wafer chucks for bowed wafers
US11133205B2 (en) Wafer out of pocket detection
US11798825B2 (en) In-situ wafer rotation for carousel processing chambers
KR102307233B1 (ko) 금속 산화물 후처리를 위한 방법들
US11015246B2 (en) Apparatus and methods for depositing ALD films with enhanced chemical exchange
WO2019152514A1 (en) Gas injector insert segment for spatial ald

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant